diff --git a/game_of_life/game_of_life.cache/wt/gui_handlers.wdf b/game_of_life/game_of_life.cache/wt/gui_handlers.wdf
index 3bc35f4dd0fe8d971e8ac730514cee94342d3d85..bdc286adf9ee252c3e9338651e0d1a1361188ff8 100644
--- a/game_of_life/game_of_life.cache/wt/gui_handlers.wdf
+++ b/game_of_life/game_of_life.cache/wt/gui_handlers.wdf
@@ -1,16 +1,27 @@
 version:1
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:34:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f6164645f656c656d656e74:33:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:37:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f7265747265657461626c6570616e656c5f636f72655f747265655f7461626c65:33:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:32:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:32:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f646566696e655f6d6f64756c65735f616e645f737065636966795f696f5f706f727473:3138:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3234:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:33:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:32:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6578706f7274:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:32:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6f70656e5f726563656e745f66696c65:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6f70656e5f726563656e745f70726f6a656374:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:31:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061727463686f6f7365725f626f61726473:32:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:31:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72656d6f7665736f75726365736469616c6f675f616c736f5f64656c657465:31:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d706c656f757470757470726f647563746469616c6f675f67656e65726174655f6f75747075745f70726f64756374735f696d6d6564696174656c79:31:00:00
 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00
-eof:2910729091
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:33:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:31:00:00
+eof:2151772892
diff --git a/game_of_life/game_of_life.cache/wt/java_command_handlers.wdf b/game_of_life/game_of_life.cache/wt/java_command_handlers.wdf
index 6fa843f863533c0b4c6c3f4d5f7fcb20a5d9bb1b..13fcf2ac0449537fef03aa91c7a61659d0102c8c 100644
--- a/game_of_life/game_of_life.cache/wt/java_command_handlers.wdf
+++ b/game_of_life/game_of_life.cache/wt/java_command_handlers.wdf
@@ -1,5 +1,9 @@
 version:1
+70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:31:00:00
 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636f726576696577:31:00:00
 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637573746f6d697a65636f7265:31:00:00
+70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:31:00:00
+70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974756e646f:31:00:00
 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:32:00:00
-eof:1203675202
+70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:31:00:00
+eof:2487913160
diff --git a/game_of_life/game_of_life.cache/wt/webtalk_pa.xml b/game_of_life/game_of_life.cache/wt/webtalk_pa.xml
index 77b20c560f86afcaa6da7e39bd5cf72bb63ab79c..0bc088baa09c710517a588097e8945febdb7d91e 100644
--- a/game_of_life/game_of_life.cache/wt/webtalk_pa.xml
+++ b/game_of_life/game_of_life.cache/wt/webtalk_pa.xml
@@ -3,7 +3,7 @@
 <!--The data in this file is primarily intended for consumption by Xilinx tools.
 The structure and the elements are likely to change over the next few releases.
 This means code written to parse this file will need to be revisited each subsequent release.-->
-<application name="pa" timeStamp="Mon Nov 26 13:12:34 2018">
+<application name="pa" timeStamp="Tue Nov 27 16:00:41 2018">
 <section name="Project Information" visible="false">
 <property name="ProjectID" value="01722960b9c445a0b34a6663716d359c" type="ProjectID"/>
 <property name="ProjectIteration" value="1" type="ProjectIteration"/>
@@ -17,30 +17,45 @@ This means code written to parse this file will need to be revisited each subseq
 <property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
 </item>
 <item name="Java Command Handlers">
+<property name="AddSources" value="1" type="JavaHandler"/>
 <property name="CoreView" value="1" type="JavaHandler"/>
 <property name="CustomizeCore" value="1" type="JavaHandler"/>
+<property name="EditDelete" value="1" type="JavaHandler"/>
+<property name="EditUndo" value="1" type="JavaHandler"/>
 <property name="NewProject" value="2" type="JavaHandler"/>
+<property name="SaveFileProxyHandler" value="1" type="JavaHandler"/>
 </item>
 <item name="Gui Handlers">
-<property name="BaseDialog_OK" value="4" type="GuiHandlerData"/>
+<property name="AbstractCombinedPanel_ADD_ELEMENT" value="3" type="GuiHandlerData"/>
+<property name="BaseDialog_CANCEL" value="1" type="GuiHandlerData"/>
+<property name="BaseDialog_OK" value="7" type="GuiHandlerData"/>
 <property name="ConstraintsChooserPanel_CREATE_FILE" value="1" type="GuiHandlerData"/>
 <property name="CoreTreeTablePanel_CORE_TREE_TABLE" value="3" type="GuiHandlerData"/>
 <property name="CreateConstraintsFilePanel_FILE_NAME" value="1" type="GuiHandlerData"/>
-<property name="CreateSrcFileDialog_FILE_NAME" value="1" type="GuiHandlerData"/>
-<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="2" type="GuiHandlerData"/>
-<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="2" type="GuiHandlerData"/>
+<property name="CreateSrcFileDialog_FILE_NAME" value="2" type="GuiHandlerData"/>
+<property name="DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS" value="18" type="GuiHandlerData"/>
+<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="24" type="GuiHandlerData"/>
+<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="3" type="GuiHandlerData"/>
 <property name="GettingStartedView_CREATE_NEW_PROJECT" value="2" type="GuiHandlerData"/>
+<property name="MainMenuMgr_EXPORT" value="1" type="GuiHandlerData"/>
+<property name="MainMenuMgr_FILE" value="2" type="GuiHandlerData"/>
+<property name="MainMenuMgr_OPEN_RECENT_FILE" value="1" type="GuiHandlerData"/>
+<property name="MainMenuMgr_OPEN_RECENT_PROJECT" value="1" type="GuiHandlerData"/>
+<property name="PACommandNames_ADD_SOURCES" value="1" type="GuiHandlerData"/>
 <property name="PartChooser_BOARDS" value="2" type="GuiHandlerData"/>
 <property name="ProjectNameChooser_CHOOSE_PROJECT_LOCATION" value="1" type="GuiHandlerData"/>
 <property name="ProjectNameChooser_PROJECT_NAME" value="1" type="GuiHandlerData"/>
+<property name="RDICommands_DELETE" value="1" type="GuiHandlerData"/>
+<property name="RemoveSourcesDialog_ALSO_DELETE" value="1" type="GuiHandlerData"/>
 <property name="SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY" value="1" type="GuiHandlerData"/>
 <property name="SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT" value="1" type="GuiHandlerData"/>
-<property name="SrcChooserPanel_CREATE_FILE" value="1" type="GuiHandlerData"/>
+<property name="SrcChooserPanel_CREATE_FILE" value="3" type="GuiHandlerData"/>
+<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="1" type="GuiHandlerData"/>
 </item>
 <item name="Other">
-<property name="GuiMode" value="10" type="GuiMode"/>
+<property name="GuiMode" value="4" type="GuiMode"/>
 <property name="BatchMode" value="0" type="BatchMode"/>
-<property name="TclMode" value="8" type="TclMode"/>
+<property name="TclMode" value="2" type="TclMode"/>
 </item>
 </section>
 </application>
diff --git a/game_of_life/game_of_life.srcs/sources_1/new/cell.vhd b/game_of_life/game_of_life.srcs/sources_1/new/cell.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..02f35a9c07b2bdb9adaf6d01e8a6ed7889253d74
--- /dev/null
+++ b/game_of_life/game_of_life.srcs/sources_1/new/cell.vhd
@@ -0,0 +1,95 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 11/26/2018 01:05:37 PM
+-- Design Name: 
+-- Module Name: game_of_life - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity cell is
+    Port (
+        clk_1hz : in STD_LOGIC;                  -- this signal shows when to refresh
+        locked : in STD_LOGIC;                   -- locked shows if the gol's inner clock is locked
+        switch : in STD_LOGIC;                   -- switch between user input and automatic working
+        flip_val : inout STD_LOGIC;              -- signal to switch state if user input is activated (switch=true)
+        neighbours : in STD_LOGIC_VECTOR(1 to 8);-- state of neighbours
+        output : out STD_LOGIC                   -- output of the cell
+    );
+end cell;
+
+architecture Behavioral of cell is
+
+signal state, next_state : STD_LOGIC := '0';
+signal neighbour_count : UNSIGNED(3 downto 0);
+signal flip_val_sig : STD_LOGIC;
+
+begin
+
+    life_cycle : process(clk_1hz)               -- for now we dont care about the switches, later should written          
+    begin
+        if clk_1hz'event and clk_1hz='1' and locked='1' and switch='1' then
+            if neighbour_count=3 then
+                next_state <= '1';
+            elsif neighbour_count=2 then
+                next_state <= state;
+            elsif neighbour_count<2 or neighbour_count>3 then
+                next_state <= '0';
+            end if;
+            state <= next_state;
+        elsif clk_1hz'event and clk_1hz='1' and locked='1' and switch='0' then
+            if flip_val_sig='1' then
+                next_state <= not state;
+            end if;
+            state <= next_state;
+        end if;
+        
+    end process; -- life_cycle
+    
+    count_neighbours : process (clk_1hz)         -- this process calculates active neighbours, if the clk chages to 0
+        variable temp_count : UNSIGNED(3 downto 0);
+    begin
+        if clk_1hz'event and clk_1hz='0' and locked='1' and switch='1' then
+            temp_count := (others=>'0');
+            for n in neighbours'range loop
+                 if neighbours(n) = '1' then
+                    temp_count := temp_count+1;
+                 end if;
+            end loop;
+            neighbour_count <= temp_count;
+        end if;
+    end process; -- count_neighbours
+ 
+    handle_flip_val_input : process (flip_val)
+    begin
+        if flip_val'event and flip_val='0' then
+            flip_val_sig<='1';
+            flip_val<='0';
+        end if;
+    end process;
+ 
+end Behavioral;
\ No newline at end of file
diff --git a/game_of_life/game_of_life.srcs/sources_1/new/game_of_life.vhd b/game_of_life/game_of_life.srcs/sources_1/new/game_of_life.vhd
index 8e5b25568e80a0fcc718ec75780d94b985d26e78..66d50b715662631d50ea64a2efccb24a8b8be744 100644
--- a/game_of_life/game_of_life.srcs/sources_1/new/game_of_life.vhd
+++ b/game_of_life/game_of_life.srcs/sources_1/new/game_of_life.vhd
@@ -50,7 +50,33 @@ port
  );
 end component;
 
-signal locked, inner_clk : STD_LOGIC;
+component one_second_clock
+port
+  (
+    clock_in : in STD_LOGIC;
+    locked : in STD_LOGIC;
+    clock_out : out STD_LOGIC
+  );
+end component;
+
+component cell
+port
+ (
+   clk_1hz : in STD_LOGIC;
+   locked : in STD_LOGIC;
+   switch : in STD_LOGIC;
+   flip_val : inout STD_LOGIC;
+   neighbours : in STD_LOGIC_VECTOR(1 to 8);
+   output : out STD_LOGIC
+ );
+end component;
+
+signal locked, inner_clk, one_sec_clk : STD_LOGIC;
+
+-- the cell_outputs signal holds the output of the cells
+-- the 0th element holds the boundary condition
+-- we have 64×48 cells
+signal cell_outputs : STD_LOGIC_VECTOR(0 to 3072);
 
 begin
 
@@ -64,6 +90,14 @@ tic_toc : clk_wiz_0
    clk_in1 => clk_sys
  );
  
+one_hertz_gen : one_second_clock
+  port map 
+  (
+    clock_in => inner_clk,
+    locked => locked,
+    clock_out => one_sec_clk
+);
+ 
 synchron : process(inner_clk)
 begin
     --
diff --git a/game_of_life/game_of_life.xpr b/game_of_life/game_of_life.xpr
index 07a6e452bdd7c2e30aa5fdd2073c6479b8cdf226..76c9babd5d704876efb33d18ffe7756b70f70662 100644
--- a/game_of_life/game_of_life.xpr
+++ b/game_of_life/game_of_life.xpr
@@ -3,7 +3,7 @@
 <!--                                                         -->
 <!-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.   -->
 
-<Project Version="7" Minor="35" Path="/home/vagle/fpga_project/game_of_life/game_of_life.xpr">
+<Project Version="7" Minor="35" Path="/home/link/Documents/ppke/fpga/fpga_project/game_of_life/game_of_life.xpr">
   <DefaultLaunch Dir="$PRUNDIR"/>
   <Configuration>
     <Option Name="Id" Val="371a98f33a2a4b6a881ffa6392349aed"/>
@@ -57,13 +57,19 @@
   <FileSets Version="1" Minor="31">
     <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
       <Filter Type="Srcs"/>
+      <File Path="$PSRCDIR/sources_1/new/one_second_clock.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
       <File Path="$PSRCDIR/sources_1/new/game_of_life.vhd">
         <FileInfo>
           <Attr Name="UsedIn" Val="synthesis"/>
           <Attr Name="UsedIn" Val="simulation"/>
         </FileInfo>
       </File>
-      <File Path="$PSRCDIR/sources_1/new/one_second_clock.vhd">
+      <File Path="$PSRCDIR/sources_1/new/cell.vhd">
         <FileInfo>
           <Attr Name="AutoDisabled" Val="1"/>
           <Attr Name="UsedIn" Val="synthesis"/>