From bcd79e8253f14228e745c3ce6f4f61da26a2df5d Mon Sep 17 00:00:00 2001
From: =?UTF-8?q?Mlink=C3=B3=20P=C3=A9ter?= <mlinko.peter@hallgato.ppke.hu>
Date: Mon, 10 Dec 2018 15:42:34 +0100
Subject: [PATCH] We are GOOD heregit add *git add *

---
 .../game_of_life_v2.cache/wt/gui_handlers.wdf |   24 +
 .../wt/java_command_handlers.wdf              |    6 +
 .../game_of_life_v2.cache/wt/synthesis.wdf    |   39 +
 .../wt/synthesis_details.wdf                  |    3 +
 .../game_of_life_v2.cache/wt/webtalk_pa.xml   |   51 +
 .../game_of_life_v2.ip_user_files/README.txt  |    1 +
 .../ip/clk_wiz_0/clk_wiz_0.vho                |   92 +
 .../ip/clk_wiz_0/clk_wiz_0_stub.v             |   21 +
 .../ip/clk_wiz_0/clk_wiz_0_stub.vhdl          |   30 +
 .../ipstatic/mmcm_pll_drp_func_7s_mmcm.vh     |  665 +++
 .../ipstatic/mmcm_pll_drp_func_7s_pll.vh      |  527 ++
 .../ipstatic/mmcm_pll_drp_func_us_mmcm.vh     |  668 +++
 .../ipstatic/mmcm_pll_drp_func_us_pll.vh      |  524 ++
 .../mmcm_pll_drp_func_us_plus_mmcm.vh         |  855 +++
 .../ipstatic/mmcm_pll_drp_func_us_plus_pll.vh |  530 ++
 .../sim_scripts/clk_wiz_0/README.txt          |   83 +
 .../clk_wiz_0/activehdl/README.txt            |   49 +
 .../clk_wiz_0/activehdl/clk_wiz_0.sh          |  150 +
 .../clk_wiz_0/activehdl/clk_wiz_0.udo         |    0
 .../clk_wiz_0/activehdl/compile.do            |   22 +
 .../clk_wiz_0/activehdl/file_info.txt         |    5 +
 .../sim_scripts/clk_wiz_0/activehdl/glbl.v    |   71 +
 .../clk_wiz_0/activehdl/simulate.do           |   17 +
 .../sim_scripts/clk_wiz_0/activehdl/wave.do   |    2 +
 .../sim_scripts/clk_wiz_0/ies/README.txt      |   48 +
 .../sim_scripts/clk_wiz_0/ies/clk_wiz_0.sh    |  177 +
 .../sim_scripts/clk_wiz_0/ies/file_info.txt   |    5 +
 .../sim_scripts/clk_wiz_0/ies/glbl.v          |   71 +
 .../sim_scripts/clk_wiz_0/ies/run.f           |   14 +
 .../sim_scripts/clk_wiz_0/modelsim/README.txt |   49 +
 .../clk_wiz_0/modelsim/clk_wiz_0.sh           |  168 +
 .../clk_wiz_0/modelsim/clk_wiz_0.udo          |    0
 .../sim_scripts/clk_wiz_0/modelsim/compile.do |   22 +
 .../clk_wiz_0/modelsim/file_info.txt          |    5 +
 .../sim_scripts/clk_wiz_0/modelsim/glbl.v     |   71 +
 .../clk_wiz_0/modelsim/simulate.do            |   16 +
 .../sim_scripts/clk_wiz_0/modelsim/wave.do    |    2 +
 .../sim_scripts/clk_wiz_0/questa/README.txt   |   49 +
 .../sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh |  175 +
 .../clk_wiz_0/questa/clk_wiz_0.udo            |    0
 .../sim_scripts/clk_wiz_0/questa/compile.do   |   22 +
 .../sim_scripts/clk_wiz_0/questa/elaborate.do |    1 +
 .../clk_wiz_0/questa/file_info.txt            |    5 +
 .../sim_scripts/clk_wiz_0/questa/glbl.v       |   71 +
 .../sim_scripts/clk_wiz_0/questa/simulate.do  |   16 +
 .../sim_scripts/clk_wiz_0/questa/wave.do      |    2 +
 .../sim_scripts/clk_wiz_0/riviera/README.txt  |   49 +
 .../clk_wiz_0/riviera/clk_wiz_0.sh            |  152 +
 .../clk_wiz_0/riviera/clk_wiz_0.udo           |    0
 .../sim_scripts/clk_wiz_0/riviera/compile.do  |   22 +
 .../clk_wiz_0/riviera/file_info.txt           |    5 +
 .../sim_scripts/clk_wiz_0/riviera/glbl.v      |   71 +
 .../sim_scripts/clk_wiz_0/riviera/simulate.do |   17 +
 .../sim_scripts/clk_wiz_0/riviera/wave.do     |    2 +
 .../sim_scripts/clk_wiz_0/vcs/README.txt      |   49 +
 .../sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh    |  231 +
 .../sim_scripts/clk_wiz_0/vcs/file_info.txt   |    5 +
 .../sim_scripts/clk_wiz_0/vcs/glbl.v          |   71 +
 .../sim_scripts/clk_wiz_0/vcs/simulate.do     |    2 +
 .../sim_scripts/clk_wiz_0/xsim/README.txt     |   49 +
 .../sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh   |  217 +
 .../sim_scripts/clk_wiz_0/xsim/cmd.tcl        |   12 +
 .../sim_scripts/clk_wiz_0/xsim/file_info.txt  |    3 +
 .../sim_scripts/clk_wiz_0/xsim/glbl.v         |   71 +
 .../sim_scripts/clk_wiz_0/xsim/vlog.prj       |    7 +
 .../.jobs/vrs_config_1.xml                    |    5 +
 .../.jobs/vrs_config_2.xml                    |    8 +
 .../.Vivado_Synthesis.queue.rst               |    0
 .../.Xil/clk_wiz_0_propImpl.xdc               |    3 +
 .../clk_wiz_0_synth_1/.vivado.begin.rst       |    5 +
 .../clk_wiz_0_synth_1/.vivado.end.rst         |    0
 .../clk_wiz_0_synth_1/ISEWrap.js              |  244 +
 .../clk_wiz_0_synth_1/ISEWrap.sh              |   63 +
 .../clk_wiz_0_synth_1/clk_wiz_0.dcp           |  Bin 0 -> 9200 bytes
 .../clk_wiz_0_synth_1/clk_wiz_0.tcl           |  167 +
 .../clk_wiz_0_synth_1/clk_wiz_0.vds           |  323 ++
 .../clk_wiz_0_utilization_synth.pb            |  Bin 0 -> 224 bytes
 .../clk_wiz_0_utilization_synth.rpt           |  170 +
 .../clk_wiz_0_synth_1/dont_touch.xdc          |   32 +
 .../clk_wiz_0_synth_1/gen_run.xml             |   44 +
 .../clk_wiz_0_synth_1/htr.txt                 |    9 +
 .../clk_wiz_0_synth_1/project.wdf             |   32 +
 .../clk_wiz_0_synth_1/rundef.js               |   40 +
 .../clk_wiz_0_synth_1/runme.bat               |   11 +
 .../clk_wiz_0_synth_1/runme.log               |  322 ++
 .../clk_wiz_0_synth_1/runme.sh                |   39 +
 .../clk_wiz_0_synth_1/vivado.jou              |   12 +
 .../clk_wiz_0_synth_1/vivado.pb               |  Bin 0 -> 36850 bytes
 .../impl_1/.Vivado_Implementation.queue.rst   |    0
 .../impl_1/.Xil/Vivado-6105-VLSI-01/.lpr      |    6 +
 .../.Xil/Vivado-6105-VLSI-01/wt/project.wpc   |    3 +
 .../impl_1/.init_design.begin.rst             |    5 +
 .../impl_1/.init_design.end.rst               |    0
 .../impl_1/.opt_design.begin.rst              |    5 +
 .../impl_1/.opt_design.end.rst                |    0
 .../impl_1/.place_design.begin.rst            |    5 +
 .../impl_1/.place_design.end.rst              |    0
 .../impl_1/.route_design.begin.rst            |    5 +
 .../impl_1/.vivado.begin.rst                  |    5 +
 .../game_of_life_v2.runs/impl_1/ISEWrap.js    |  244 +
 .../game_of_life_v2.runs/impl_1/ISEWrap.sh    |   63 +
 .../impl_1/game_of_life.tcl                   |  170 +
 .../impl_1/game_of_life.vdi                   |  280 +
 .../game_of_life_control_sets_placed.rpt      |   67 +
 .../impl_1/game_of_life_drc_opted.pb          |  Bin 0 -> 37 bytes
 .../impl_1/game_of_life_drc_opted.rpt         |   41 +
 .../impl_1/game_of_life_drc_opted.rpx         |  Bin 0 -> 354 bytes
 .../impl_1/game_of_life_io_placed.rpt         |  526 ++
 .../impl_1/game_of_life_opt.dcp               |  Bin 0 -> 561210 bytes
 .../impl_1/game_of_life_placed.dcp            |  Bin 0 -> 925123 bytes
 .../impl_1/game_of_life_utilization_placed.pb |  Bin 0 -> 224 bytes
 .../game_of_life_utilization_placed.rpt       |  218 +
 .../game_of_life_v2.runs/impl_1/gen_run.xml   |  107 +
 .../game_of_life_v2.runs/impl_1/htr.txt       |    9 +
 .../impl_1/init_design.pb                     |  Bin 0 -> 5073 bytes
 .../game_of_life_v2.runs/impl_1/opt_design.pb |  Bin 0 -> 7633 bytes
 .../impl_1/place_design.pb                    |  Bin 0 -> 14890 bytes
 .../game_of_life_v2.runs/impl_1/project.wdf   |   32 +
 .../impl_1/route_design.pb                    |  Bin 0 -> 1135 bytes
 .../game_of_life_v2.runs/impl_1/rundef.js     |   44 +
 .../game_of_life_v2.runs/impl_1/runme.bat     |   11 +
 .../game_of_life_v2.runs/impl_1/runme.log     |  279 +
 .../game_of_life_v2.runs/impl_1/runme.sh      |   43 +
 .../game_of_life_v2.runs/impl_1/vivado.jou    |   12 +
 .../game_of_life_v2.runs/impl_1/vivado.pb     |    0
 .../synth_1/.Vivado_Synthesis.queue.rst       |    0
 .../synth_1/.Xil/game_of_life_propImpl.xdc    |   41 +
 .../synth_1/.vivado.begin.rst                 |    5 +
 .../synth_1/.vivado.end.rst                   |    0
 .../game_of_life_v2.runs/synth_1/ISEWrap.js   |  244 +
 .../game_of_life_v2.runs/synth_1/ISEWrap.sh   |   63 +
 .../synth_1/game_of_life.dcp                  |  Bin 0 -> 416429 bytes
 .../synth_1/game_of_life.tcl                  |   57 +
 .../synth_1/game_of_life.vds                  |  442 ++
 .../synth_1/game_of_life_utilization_synth.pb |  Bin 0 -> 224 bytes
 .../game_of_life_utilization_synth.rpt        |  185 +
 .../game_of_life_v2.runs/synth_1/gen_run.xml  |   45 +
 .../game_of_life_v2.runs/synth_1/htr.txt      |    9 +
 .../game_of_life_v2.runs/synth_1/rundef.js    |   40 +
 .../game_of_life_v2.runs/synth_1/runme.bat    |   11 +
 .../game_of_life_v2.runs/synth_1/runme.log    |  441 ++
 .../game_of_life_v2.runs/synth_1/runme.sh     |   39 +
 .../game_of_life_v2.runs/synth_1/vivado.jou   |   12 +
 .../game_of_life_v2.runs/synth_1/vivado.pb    |  Bin 0 -> 55867 bytes
 .../sources_1/ip/clk_wiz_0/clk_wiz_0.dcp      |  Bin 0 -> 9200 bytes
 .../sources_1/ip/clk_wiz_0/clk_wiz_0.v        |   90 +
 .../sources_1/ip/clk_wiz_0/clk_wiz_0.vho      |   92 +
 .../sources_1/ip/clk_wiz_0/clk_wiz_0.xci      |  668 +++
 .../sources_1/ip/clk_wiz_0/clk_wiz_0.xdc      |   60 +
 .../sources_1/ip/clk_wiz_0/clk_wiz_0.xml      | 4792 +++++++++++++++++
 .../ip/clk_wiz_0/clk_wiz_0_board.xdc          |    3 +
 .../ip/clk_wiz_0/clk_wiz_0_clk_wiz.v          |  201 +
 .../sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc  |   58 +
 .../ip/clk_wiz_0/clk_wiz_0_sim_netlist.v      |  238 +
 .../ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl   |  187 +
 .../sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v   |   21 +
 .../ip/clk_wiz_0/clk_wiz_0_stub.vhdl          |   30 +
 .../clk_wiz_0/doc/clk_wiz_v5_4_changelog.txt  |  167 +
 .../ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh |  665 +++
 .../ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh  |  527 ++
 .../ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh |  668 +++
 .../ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh  |  524 ++
 .../mmcm_pll_drp_func_us_plus_mmcm.vh         |  855 +++
 .../mmcm_pll_drp_func_us_plus_pll.vh          |  530 ++
 164 files changed, 22178 insertions(+)
 create mode 100644 game_of_life_v2/game_of_life_v2.cache/wt/gui_handlers.wdf
 create mode 100644 game_of_life_v2/game_of_life_v2.cache/wt/java_command_handlers.wdf
 create mode 100644 game_of_life_v2/game_of_life_v2.cache/wt/synthesis.wdf
 create mode 100644 game_of_life_v2/game_of_life_v2.cache/wt/synthesis_details.wdf
 create mode 100644 game_of_life_v2/game_of_life_v2.cache/wt/webtalk_pa.xml
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/README.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_mmcm.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_pll.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_mmcm.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_pll.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_mmcm.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_pll.vh
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/README.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/README.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/clk_wiz_0.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/file_info.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/glbl.v
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/run.f
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v
 create mode 100644 game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/.jobs/vrs_config_1.xml
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/.jobs/vrs_config_2.xml
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.vivado.begin.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.vivado.end.rst
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/ISEWrap.js
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/ISEWrap.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/gen_run.xml
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/htr.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/project.wdf
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/rundef.js
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.bat
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.log
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.jou
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.Vivado_Implementation.queue.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.Xil/Vivado-6105-VLSI-01/.lpr
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.Xil/Vivado-6105-VLSI-01/wt/project.wpc
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.init_design.begin.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.init_design.end.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.opt_design.begin.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.opt_design.end.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.place_design.begin.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.place_design.end.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.route_design.begin.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/.vivado.begin.rst
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/impl_1/ISEWrap.js
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/impl_1/ISEWrap.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.tcl
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.vdi
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_control_sets_placed.rpt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpx
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_io_placed.rpt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_opt.dcp
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_placed.dcp
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_utilization_placed.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_utilization_placed.rpt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/gen_run.xml
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/htr.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/init_design.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/opt_design.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/place_design.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/project.wdf
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/route_design.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/rundef.js
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/runme.bat
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/runme.log
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/impl_1/runme.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.jou
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/.Vivado_Synthesis.queue.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/game_of_life_propImpl.xdc
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/.vivado.begin.rst
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/.vivado.end.rst
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/synth_1/ISEWrap.js
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/synth_1/ISEWrap.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.dcp
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.tcl
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.vds
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life_utilization_synth.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life_utilization_synth.rpt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/gen_run.xml
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/htr.txt
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/rundef.js
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/runme.bat
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/runme.log
 create mode 100755 game_of_life_v2/game_of_life_v2.runs/synth_1/runme.sh
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.jou
 create mode 100644 game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.pb
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.vho
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xml
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
 create mode 100644 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
 create mode 100755 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/doc/clk_wiz_v5_4_changelog.txt
 create mode 100755 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh
 create mode 100755 game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh

diff --git a/game_of_life_v2/game_of_life_v2.cache/wt/gui_handlers.wdf b/game_of_life_v2/game_of_life_v2.cache/wt/gui_handlers.wdf
new file mode 100644
index 0000000..6b8d134
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.cache/wt/gui_handlers.wdf
@@ -0,0 +1,24 @@
+version:1
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:35:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f796573:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f7265747265657461626c6570616e656c5f636f72655f747265655f7461626c65:34:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f646566696e655f6d6f64756c65735f616e645f737065636966795f696f5f706f727473:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3131:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:34:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e756d6a6f627363686f6f7365725f6e756d6265725f6f665f6a6f6273:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061727463686f6f7365725f626f61726473:32:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:33:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d706c656f757470757470726f647563746469616c6f675f67656e65726174655f6f75747075745f70726f64756374735f696d6d6564696174656c79:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f736f75726365735f66726f6d5f7375626469726563746f72696573:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f7461726765745f6c616e6775616765:31:00:00
+70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f7365727461626c655f7372635f63686f6f7365725f7461626c65:32:00:00
+eof:2487251874
diff --git a/game_of_life_v2/game_of_life_v2.cache/wt/java_command_handlers.wdf b/game_of_life_v2/game_of_life_v2.cache/wt/java_command_handlers.wdf
new file mode 100644
index 0000000..de7e819
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.cache/wt/java_command_handlers.wdf
@@ -0,0 +1,6 @@
+version:1
+70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636f726576696577:34:00:00
+70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637573746f6d697a65636f7265:31:00:00
+70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00
+70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:31:00:00
+eof:40476691
diff --git a/game_of_life_v2/game_of_life_v2.cache/wt/synthesis.wdf b/game_of_life_v2/game_of_life_v2.cache/wt/synthesis.wdf
new file mode 100644
index 0000000..3e2f44a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.cache/wt/synthesis.wdf
@@ -0,0 +1,39 @@
+version:1
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a303230636c673438342d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:67616d655f6f665f6c696665:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a333673:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313833322e3235304d42:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3636322e3738314d42:00:00
+eof:26945428
diff --git a/game_of_life_v2/game_of_life_v2.cache/wt/synthesis_details.wdf b/game_of_life_v2/game_of_life_v2.cache/wt/synthesis_details.wdf
new file mode 100644
index 0000000..78f8d66
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.cache/wt/synthesis_details.wdf
@@ -0,0 +1,3 @@
+version:1
+73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00
+eof:2511430288
diff --git a/game_of_life_v2/game_of_life_v2.cache/wt/webtalk_pa.xml b/game_of_life_v2/game_of_life_v2.cache/wt/webtalk_pa.xml
new file mode 100644
index 0000000..3322af2
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.cache/wt/webtalk_pa.xml
@@ -0,0 +1,51 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<document>
+<!--The data in this file is primarily intended for consumption by Xilinx tools.
+The structure and the elements are likely to change over the next few releases.
+This means code written to parse this file will need to be revisited each subsequent release.-->
+<application name="pa" timeStamp="Mon Dec 10 15:37:40 2018">
+<section name="Project Information" visible="false">
+<property name="ProjectID" value="ce4c9e5318b847e4b9c4d067fe664fc8" type="ProjectID"/>
+<property name="ProjectIteration" value="2" type="ProjectIteration"/>
+</section>
+<section name="PlanAhead Usage" visible="true">
+<item name="Project Data">
+<property name="SrcSetCount" value="1" type="SrcSetCount"/>
+<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
+<property name="DesignMode" value="RTL" type="DesignMode"/>
+<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/>
+<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
+</item>
+<item name="Java Command Handlers">
+<property name="CoreView" value="4" type="JavaHandler"/>
+<property name="CustomizeCore" value="1" type="JavaHandler"/>
+<property name="NewProject" value="1" type="JavaHandler"/>
+<property name="RunBitgen" value="1" type="JavaHandler"/>
+</item>
+<item name="Gui Handlers">
+<property name="BaseDialog_OK" value="5" type="GuiHandlerData"/>
+<property name="BaseDialog_YES" value="1" type="GuiHandlerData"/>
+<property name="ConstraintsChooserPanel_CREATE_FILE" value="1" type="GuiHandlerData"/>
+<property name="CoreTreeTablePanel_CORE_TREE_TABLE" value="4" type="GuiHandlerData"/>
+<property name="CreateConstraintsFilePanel_FILE_NAME" value="1" type="GuiHandlerData"/>
+<property name="CreateSrcFileDialog_FILE_NAME" value="1" type="GuiHandlerData"/>
+<property name="CreateSrcFileDialog_FILE_TYPE" value="1" type="GuiHandlerData"/>
+<property name="DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS" value="1" type="GuiHandlerData"/>
+<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="11" type="GuiHandlerData"/>
+<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="4" type="GuiHandlerData"/>
+<property name="GettingStartedView_CREATE_NEW_PROJECT" value="1" type="GuiHandlerData"/>
+<property name="NumJobsChooser_NUMBER_OF_JOBS" value="1" type="GuiHandlerData"/>
+<property name="PartChooser_BOARDS" value="2" type="GuiHandlerData"/>
+<property name="ProgressDialog_BACKGROUND" value="1" type="GuiHandlerData"/>
+<property name="ProjectNameChooser_CHOOSE_PROJECT_LOCATION" value="3" type="GuiHandlerData"/>
+<property name="ProjectNameChooser_PROJECT_NAME" value="1" type="GuiHandlerData"/>
+<property name="SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY" value="1" type="GuiHandlerData"/>
+<property name="SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT" value="1" type="GuiHandlerData"/>
+<property name="SrcChooserPanel_ADD_SOURCES_FROM_SUBDIRECTORIES" value="1" type="GuiHandlerData"/>
+<property name="SrcChooserPanel_CREATE_FILE" value="1" type="GuiHandlerData"/>
+<property name="SrcChooserPanel_TARGET_LANGUAGE" value="1" type="GuiHandlerData"/>
+<property name="SrcChooserTable_SRC_CHOOSER_TABLE" value="2" type="GuiHandlerData"/>
+</item>
+</section>
+</application>
+</document>
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/README.txt
new file mode 100644
index 0000000..023052c
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/README.txt
@@ -0,0 +1 @@
+The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho b/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho
new file mode 100755
index 0000000..86c38a5
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho
@@ -0,0 +1,92 @@
+
+-- 
+-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+-- 
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+-- 
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+-- 
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+-- 
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+-- 
+------------------------------------------------------------------------------
+-- User entered comments
+------------------------------------------------------------------------------
+-- None
+--
+------------------------------------------------------------------------------
+--  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+--   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+------------------------------------------------------------------------------
+-- clk_out1____25.000______0.000______50.0______181.828____104.359
+--
+------------------------------------------------------------------------------
+-- Input Clock   Freq (MHz)    Input Jitter (UI)
+------------------------------------------------------------------------------
+-- __primary_________100.000____________0.010
+
+
+-- The following code must appear in the VHDL architecture header:
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component clk_wiz_0
+port
+ (-- Clock in ports
+  -- Clock out ports
+  clk_out1          : out    std_logic;
+  -- Status and control signals
+  locked            : out    std_logic;
+  clk_in1           : in     std_logic
+ );
+end component;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : clk_wiz_0
+   port map ( 
+  -- Clock out ports  
+   clk_out1 => clk_out1,
+  -- Status and control signals                
+   locked => locked,
+   -- Clock in ports
+   clk_in1 => clk_in1
+ );
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v b/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v
new file mode 100644
index 0000000..2baafeb
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v
@@ -0,0 +1,21 @@
+// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+// Date        : Mon Dec 10 14:55:55 2018
+// Host        : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+// Command     : write_verilog -force -mode synth_stub
+//               /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
+// Design      : clk_wiz_0
+// Purpose     : Stub declaration of top-level module interface
+// Device      : xc7z020clg484-1
+// --------------------------------------------------------------------------------
+
+// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
+// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
+// Please paste the declaration into a Verilog source file or add the file as an additional source.
+module clk_wiz_0(clk_out1, locked, clk_in1)
+/* synthesis syn_black_box black_box_pad_pin="clk_out1,locked,clk_in1" */;
+  output clk_out1;
+  output locked;
+  input clk_in1;
+endmodule
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl b/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
new file mode 100644
index 0000000..1b2166b
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
@@ -0,0 +1,30 @@
+-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+-- Date        : Mon Dec 10 14:55:55 2018
+-- Host        : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+-- Command     : write_vhdl -force -mode synth_stub
+--               /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+-- Design      : clk_wiz_0
+-- Purpose     : Stub declaration of top-level module interface
+-- Device      : xc7z020clg484-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+entity clk_wiz_0 is
+  Port ( 
+    clk_out1 : out STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+
+end clk_wiz_0;
+
+architecture stub of clk_wiz_0 is
+attribute syn_black_box : boolean;
+attribute black_box_pad_pin : string;
+attribute syn_black_box of stub : architecture is true;
+attribute black_box_pad_pin of stub : architecture is "clk_out1,locked,clk_in1";
+begin
+end;
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_mmcm.vh b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_mmcm.vh
new file mode 100755
index 0000000..a1326b4
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_mmcm.vh
@@ -0,0 +1,665 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Karl Kurbjun and Carl Ribbing
+//    Date:             7/30/2014
+//    Design Name:      MMCME2 DRP
+//    Module Name:      mmcme2_drp_func.h
+//    Version:          1.04
+//    Target Devices:   7 Series || MMCM
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 MMCM.
+//                      
+//	Revision Notes:	3/12 - Updating lookup_low/lookup_high (CR)
+//			4/13 - Fractional divide function in mmcm_frac_count_calc function. CRS610807
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_0111_00,
+         10'b0010_1011_00,
+         10'b0010_1101_00,
+         10'b0010_0011_00,
+         10'b0010_0101_00,
+         10'b0010_0101_00,
+         10'b0010_1001_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0100_1111_00,
+         10'b0101_1011_00,
+         10'b0111_0111_00,
+         10'b1101_0111_00,
+         10'b1110_1011_00,
+         10'b1110_1101_00,
+         10'b1111_0011_00,
+         10'b1110_0101_00,
+         10'b1111_0101_00,
+         10'b1111_1001_00,
+         10'b1101_0001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0111_0001_00,
+         10'b0111_0001_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0110_0001_00,
+         10'b0110_0001_00,
+         10'b0101_0110_00,
+         10'b0101_0110_00,
+         10'b0101_0110_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0100_1010_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_pll.vh b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_pll.vh
new file mode 100755
index 0000000..7652088
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_pll.vh
@@ -0,0 +1,527 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Karl Kurbjun and Carl Ribbing
+//    Date:             7/30/2014
+//    Design Name:      PLLE2 DRP
+//    Module Name:      plle2_drp_func.h
+//    Version:          2.00
+//    Target Devices:   7 Series || PLL
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      Updated for CR663854.
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+`ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+`endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+`ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+`endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+`ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+`endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+`ifdef DEBUG
+      $display("temp: %h", temp);
+`endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_0111_00,
+         10'b0010_1101_00,
+         10'b0010_0101_00,
+         10'b0010_0101_00,
+         10'b0010_1001_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0011_0111_00,
+         10'b0011_0111_00,
+         10'b0101_1111_00,
+         10'b0111_1111_00,
+         10'b0111_1011_00,
+         10'b1101_0111_00,
+         10'b1110_1011_00,
+         10'b1110_1101_00,
+         10'b1111_1101_00,
+         10'b1111_0111_00,
+         10'b1111_1011_00,
+         10'b1111_1101_00,
+         10'b1111_0011_00,
+         10'b1110_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b0100_0010_00,
+         10'b0100_0010_00,
+         10'b0100_0010_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0011_0100_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+`endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+`ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+`endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_mmcm.vh b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_mmcm.vh
new file mode 100755
index 0000000..f1314b0
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_mmcm.vh
@@ -0,0 +1,668 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa
+//    Date:             7/30/2014
+//    Design Name:      MMCME2 DRP
+//    Module Name:      mmcme2_drp_func.h
+//    Version:          1.04
+//    Target Devices:   UltraScale Architecture || MMCM 
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 MMCM.
+//                      
+//	Revision Notes:	3/22 - Updating lookup_low/lookup_high (CR)
+//				4/13 - Fractional divide function in mmcm_frac_count_calc function. CRS610807
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_0111_11,
+         10'b0010_0111_11,
+         10'b0010_0111_11,
+         10'b0010_1101_11,
+         10'b0010_1101_11,
+         10'b0010_1101_11,
+         10'b0010_0011_11,
+         10'b0010_0101_11,
+         10'b0010_0101_11,
+         10'b0010_0101_11,
+         10'b0010_1001_11,
+         10'b0010_1001_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1011_11,
+         10'b0011_1111_11,
+         10'b0100_1111_11,
+         10'b0100_1111_11,
+         10'b0101_1111_11,
+         10'b0110_1111_11,
+         10'b0111_1111_11,
+         10'b0111_1111_11,
+         10'b1100_1111_11,
+         10'b1101_1111_11,
+         10'b0001_1111_11,
+         10'b1111_1111_11,
+         10'b1111_1111_11,
+         10'b1110_0111_11,
+         10'b1110_1011_11,
+         10'b1111_0111_11,
+         10'b1111_1011_11,
+         10'b1111_1011_11,
+         10'b1110_1101_11,
+         10'b1111_1101_11,
+         10'b1111_1101_11,
+         10'b1111_0011_11,
+         10'b1111_0011_11,
+         10'b1111_0011_11,
+         10'b1110_0101_11,
+         10'b1110_0101_11,
+         10'b1110_0101_11,
+         10'b1111_0101_11,
+         10'b1111_0101_11,
+         10'b1111_0101_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+			  reg  [15:0]     drp_reg1;
+			  reg  [15:0]     drp_reg2;
+			  reg  [5:0]     drp_regshared;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
     
+      drp_regshared[5:0] = { 2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac};	
+      drp_reg2[15:0] = { 1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, 4'h0, dt[5:0] };	
+      drp_reg1[15:0] = { pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0] };	
+      mmcm_frac_count_calc[37:0] =   {drp_regshared, drp_reg2, drp_reg1} ;
+
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_pll.vh b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_pll.vh
new file mode 100755
index 0000000..d12a6f7
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_pll.vh
@@ -0,0 +1,524 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa
+//    Date:             6/15/2015
+//    Design Name:      PLLE3 DRP
+//    Module Name:      plle3_drp_func.h
+//    Version:          1.10
+//    Target Devices:   UltraScale Architecture
+//    Tool versions:    2015.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      
+//	Revision Notes:	8/11 - PLLE3 updated for PLLE3 file 4564419
+//	Revision Notes:	6/15 - pll_filter_lookup fixed for max M of 19
+//                         PM_Rise bits have been removed for PLLE3
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [759:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001, //1  
+         40'b00110_00110_1111101000_1111101001_0000000001, //2
+         40'b01000_01000_1111101000_1111101001_0000000001, //3
+         40'b01011_01011_1111101000_1111101001_0000000001, //4
+         40'b01110_01110_1111101000_1111101001_0000000001, //5
+         40'b10001_10001_1111101000_1111101001_0000000001, //6
+         40'b10011_10011_1111101000_1111101001_0000000001, //7
+         40'b10110_10110_1111101000_1111101001_0000000001, //8
+         40'b11001_11001_1111101000_1111101001_0000000001, //9
+         40'b11100_11100_1111101000_1111101001_0000000001, //10
+         40'b11111_11111_1110000100_1111101001_0000000001, //11
+         40'b11111_11111_1100111001_1111101001_0000000001, //12
+         40'b11111_11111_1011101110_1111101001_0000000001, //13
+         40'b11111_11111_1010111100_1111101001_0000000001, //14
+         40'b11111_11111_1010001010_1111101001_0000000001, //15
+         40'b11111_11111_1001110001_1111101001_0000000001, //16
+         40'b11111_11111_1000111111_1111101001_0000000001, //17
+         40'b11111_11111_1000100110_1111101001_0000000001, //18
+         40'b11111_11111_1000001101_1111101001_0000000001 //19
+         
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((19-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary. Removing bandwidth setting for PLLE3.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide // Max divide is 19
+   );
+   
+   reg [639:0] lookup;
+   reg [9:0] lookup_entry;
+   
+   begin
+
+      lookup = {
+         // CP_RES_LFHF
+         10'b0010_1111_01, //1
+         10'b0010_0011_11, //2
+         10'b0011_0011_11, //3
+         10'b0010_0001_11, //4
+         10'b0010_0110_11, //5
+         10'b0010_1010_11, //6
+         10'b0010_1010_11, //7
+         10'b0011_0110_11, //8
+         10'b0010_1100_11, //9
+         10'b0010_1100_11, //10
+         10'b0010_1100_11, //11
+         10'b0010_0010_11, //12
+         10'b0011_1100_11, //13
+         10'b0011_1100_11, //14
+         10'b0011_1100_11, //15
+         10'b0011_1100_11, //16
+         10'b0011_0010_11, //17
+         10'b0011_0010_11, //18
+         10'b0011_0010_11 //19
+      };
+      
+         mmcm_pll_filter_lookup = lookup [ ((19-divide)*10) +: 10];
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function set the CLKOUTPHY divide settings to match
+// the desired CLKOUTPHY_MODE setting. To create VCO_X2, then
+// the CLKOUTPHY will be set to 2'b00 since the VCO is internally
+// doubled and 2'b00 will represent divide by 1. Similarly "VCO" // will need to divide the doubled clock VCO clock frequency by // 2 therefore 2'b01 will match a divide by 2.And VCO_HALF will // need to divide the doubled VCO by 4, therefore 2'b10
+function [9:0] mmcm_pll_clkoutphy_calc
+   (
+      input [8*9:0] CLKOUTPHY_MODE
+   );
+
+      if(CLKOUTPHY_MODE == "VCO_X2") begin
+         mmcm_pll_clkoutphy_calc= 2'b00;
+      end else if(CLKOUTPHY_MODE == "VCO") begin
+         mmcm_pll_clkoutphy_calc= 2'b01;
+      end else if(CLKOUTPHY_MODE == "CLKIN") begin
+         mmcm_pll_clkoutphy_calc= 2'b11;
+      end else begin // Assume "VCO_HALF"
+         mmcm_pll_clkoutphy_calc= 2'b10;
+      end
+      
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], 3'b000);//Removed PM_Rise bits
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_pll_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_pll_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = (odd_and_frac >=2) && (odd_and_frac <=9);//IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_pll_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			3'b000, 1'b0, ht_frac[5:0], lt_frac[5:0] //Removed PM_Rise bits
+//			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, 3'b000, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_mmcm.vh b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_mmcm.vh
new file mode 100755
index 0000000..c4978df
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_mmcm.vh
@@ -0,0 +1,855 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa. Updated by Ralf Krueger
+//    Date:             7/30/2014
+//    Design Name:      MMCME4 DRP
+//    Module Name:      mmcme4_drp_func.h
+//    Version:          1.31
+//    Target Devices:   UltraScale Plus Architecture
+//    Tool versions:    2017.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for UltraScal+ MMCM.
+//                      
+//	Revision Notes:	3/22 - Updating lookup_low/lookup_high (CR)
+//				4/13 - Fractional divide function in mmcm_frac_count_calc function
+//              2/28/17 - Updated for Ultrascale Plus
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2017 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages during elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+// point numbers.  These should not be modified, they are for development only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+// greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+// fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      // of 1 would modify the fractional so that instead of being a .16
+      // fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+// of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//       is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      // assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_phase-divide:%d,phase:%d", divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [7:0] divide // Max M divide is 128 in UltrascalePlus
+   );
+   
+   reg [5119:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,      // M=1 (not allowed)
+         40'b00110_00110_1111101000_1111101001_0000000001,      // M=2
+         40'b01000_01000_1111101000_1111101001_0000000001,      // M=3
+         40'b01011_01011_1111101000_1111101001_0000000001,      // M=4
+         40'b01110_01110_1111101000_1111101001_0000000001,      // M=5
+         40'b10001_10001_1111101000_1111101001_0000000001,      // M=6
+         40'b10011_10011_1111101000_1111101001_0000000001,      // M=7
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,                                                                    
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,                                                                    
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,      // M=127
+         40'b11111_11111_0011111010_1111101001_0000000001       // M=128
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((128-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [7:0] divide, //  input [7:0] divide // Max M divide is 128 in UltraScalePlus
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [1279:0] lookup_low;
+   reg [1279:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+       	10'b0011_1111_11,    // M=1 - not legal
+       	10'b0011_1111_11,    // M=2
+       	10'b0011_1101_11,    // M=3
+       	10'b0011_0101_11,    // M=4
+       	10'b0011_1001_11,    // M=5
+       	10'b0011_1110_11,    // M=6
+       	10'b0011_1110_11,    // M=7
+       	10'b0011_0001_11,
+       	10'b0011_0110_11,
+       	10'b0011_0110_11,
+       	10'b0011_0110_11,
+       	10'b0011_1010_11,
+       	10'b0011_1010_11,
+       	10'b0011_1010_11,
+       	10'b0100_0110_11,
+       	10'b0011_1100_11,
+       	10'b1110_0110_11,
+       	10'b1111_0110_11,
+       	10'b1110_1010_11,
+       	10'b1110_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1101_1100_11,
+       	10'b1101_1100_11,
+       	10'b1101_1100_11,
+       	10'b1110_1100_11,
+       	10'b1110_1100_11,
+       	10'b1110_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11, // M=127
+       	10'b1101_1000_11  // M=128
+};										
+      
+      lookup_high = {
+         // CP_RES_LFHF
+       10'b0111_1111_11,    // M=1 - not legal
+       10'b0111_1111_11,    // M=2
+       10'b1110_1111_11,    // M=3
+       10'b1111_1111_11,    // M=4
+       10'b1111_1011_11,    // M=5
+       10'b1111_1101_11,    // M=6
+       10'b1111_0011_11,    // M=7
+       10'b1110_0101_11,
+       10'b1111_1001_11,
+       10'b1111_1001_11,
+       10'b1110_1110_11,
+       10'b1111_1110_11,
+       10'b1111_0001_11,
+       10'b1111_0001_11,
+       10'b1111_0001_11,
+       10'b1110_0110_11,
+       10'b1110_0110_11,
+       10'b1111_0110_11,
+       10'b1110_1010_11,
+       10'b1110_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1101_1100_11,
+       10'b1101_1100_11,
+       10'b1101_1100_11,
+       10'b1110_1100_11,
+       10'b1110_1100_11,
+       10'b1110_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11     // M=128
+};
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((128-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((128-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 100,000. Not programmable in fractional
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || (clkout0_divide_int == 2 && clkout0_divide_frac == 1);   //IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);    //IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], 2'b00, dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_pll.vh b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_pll.vh
new file mode 100755
index 0000000..9bfa6c8
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_pll.vh
@@ -0,0 +1,530 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Ralf Krueger, updated for Ultrascale+ 
+//    Date:             6/15/2015
+//    Design Name:      PLLE4 DRP
+//    Module Name:      plle4_drp_func.h
+//    Version:          2.0
+//    Target Devices:   UltraScale+ Architecture
+//    Tool versions:    2017.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      
+//	Revision Notes:	8/11 - PLLE3 updated for PLLE3 file 4564419
+//	Revision Notes:	6/15 - pll_filter_lookup fixed for max M of 19
+//                           M_Rise bits have been removed for PLLE3
+//	Revision Notes:	2/28/17 - pll_filter_lookup and CPRES updated for 
+//                           Ultrascale+ and for max M of 21
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2017 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 21
+   );
+   
+   reg [839:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001, //1 illegal in Ultrascale+
+         40'b00110_00110_1111101000_1111101001_0000000001, //2
+         40'b01000_01000_1111101000_1111101001_0000000001, //3
+         40'b01011_01011_1111101000_1111101001_0000000001, //4
+         40'b01110_01110_1111101000_1111101001_0000000001, //5
+         40'b10001_10001_1111101000_1111101001_0000000001, //6
+         40'b10011_10011_1111101000_1111101001_0000000001, //7
+         40'b10110_10110_1111101000_1111101001_0000000001, //8
+         40'b11001_11001_1111101000_1111101001_0000000001, //9
+         40'b11100_11100_1111101000_1111101001_0000000001, //10
+         40'b11111_11111_1110000100_1111101001_0000000001, //11
+         40'b11111_11111_1100111001_1111101001_0000000001, //12
+         40'b11111_11111_1011101110_1111101001_0000000001, //13
+         40'b11111_11111_1010111100_1111101001_0000000001, //14
+         40'b11111_11111_1010001010_1111101001_0000000001, //15
+         40'b11111_11111_1001110001_1111101001_0000000001, //16
+         40'b11111_11111_1000111111_1111101001_0000000001, //17
+         40'b11111_11111_1000100110_1111101001_0000000001, //18
+         40'b11111_11111_1000001101_1111101001_0000000001, //19
+         40'b11111_11111_0111110100_1111101001_0000000001, //20
+         40'b11111_11111_0111011011_1111101001_0000000001  //21
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((21-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary. Removing bandwidth setting for PLLE3.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide // Max divide is 21
+   );
+   
+   reg [209:0] lookup;
+   reg [9:0] lookup_entry;
+   
+   begin
+
+      lookup = {
+         // CP_RES_LFHF
+         10'b0011_0111_11, //1  not legal in Ultrascale+
+         10'b0011_0111_11, //2
+         10'b0011_0011_11, //3
+         10'b0011_1001_11, //4
+         10'b0011_0001_11, //5
+         10'b0100_1110_11, //6
+         10'b0011_0110_11, //7
+         10'b0011_1010_11, //8
+         10'b0111_1001_11, //9
+         10'b0111_1001_11, //10
+         10'b0101_0110_11, //11
+         10'b1100_0101_11, //12
+         10'b0101_1010_11, //13
+         10'b0110_0110_11, //14
+         10'b0110_1010_11, //15
+         10'b0111_0110_11, //16
+         10'b1111_0101_11, //17
+         10'b1100_0110_11, //18
+         10'b1110_0001_11, //19
+         10'b1101_0110_11, //20
+         10'b1111_0001_11  //21
+      };
+      
+         mmcm_pll_filter_lookup = lookup [ ((21-divide)*10) +: 10];
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function set the CLKOUTPHY divide settings to match
+// the desired CLKOUTPHY_MODE setting. To create VCO_X2, then
+// the CLKOUTPHY will be set to 2'b00 since the VCO is internally
+// doubled and 2'b00 will represent divide by 1. Similarly "VCO" 
+// will need to divide the doubled clock VCO clock frequency by 
+// 2 therefore 2'b01 will match a divide by 2.And VCO_HALF will 
+// need to divide the doubled VCO by 4, therefore 2'b10
+function [9:0] mmcm_pll_clkoutphy_calc
+   (
+      input [8*9:0] CLKOUTPHY_MODE
+   );
+
+      if(CLKOUTPHY_MODE == "VCO_X2") begin
+         mmcm_pll_clkoutphy_calc= 2'b00;
+      end else if(CLKOUTPHY_MODE == "VCO") begin
+         mmcm_pll_clkoutphy_calc= 2'b01;
+      end else if(CLKOUTPHY_MODE == "CLKIN") begin
+         mmcm_pll_clkoutphy_calc= 2'b11;
+      end else begin // Assume "VCO_HALF"
+         mmcm_pll_clkoutphy_calc= 2'b10;
+      end
+      
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], 3'b000); //Removed PM_Rise bits
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_pll_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("pll_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = (odd_and_frac >=2) && (odd_and_frac <=9);//IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_pll_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			3'b000, 1'b0, ht_frac[5:0], lt_frac[5:0] //Removed PM_Rise bits
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, 3'b000, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/README.txt
new file mode 100644
index 0000000..567342e
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/README.txt
@@ -0,0 +1,83 @@
+################################################################################
+# Vivado (TM) v2017.4 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required
+#             to simulate the design for a simulator, the directory structure
+#             and the generated exported files.
+#
+################################################################################
+
+1. Simulate Design
+
+To simulate design, cd to the simulator directory and execute the script.
+
+For example:-
+
+% cd questa
+% ./top.sh
+
+The export simulation flow requires the Xilinx pre-compiled simulation library
+components for the target simulator. These components are referred using the
+'-lib_map_path' switch. If this switch is specified, then the export simulation
+will automatically set this library path in the generated script and update,
+copy the simulator setup file(s) in the exported directory.
+
+If '-lib_map_path' is not specified, then the pre-compiled simulation library
+information will not be included in the exported scripts and that may cause
+simulation errors when running this script. Alternatively, you can provide the
+library information using this switch while executing the generated script.
+
+For example:-
+
+% ./top.sh -lib_map_path /design/questa/clibs
+
+Please refer to the generated script header 'Prerequisite' section for more details.
+
+2. Directory Structure
+
+By default, if the -directory switch is not specified, export_simulation will
+create the following directory structure:-
+
+<current_working_directory>/export_sim/<simulator>
+
+For example, if the current working directory is /tmp/test, export_simulation
+will create the following directory path:-
+
+/tmp/test/export_sim/questa
+
+If -directory switch is specified, export_simulation will create a simulator
+sub-directory under the specified directory path.
+
+For example, 'export_simulation -directory /tmp/test/my_test_area/func_sim'
+command will create the following directory:-
+
+/tmp/test/my_test_area/func_sim/questa
+
+By default, if -simulator is not specified, export_simulation will create a
+simulator sub-directory for each simulator and export the files for each simulator
+in this sub-directory respectively.
+
+IMPORTANT: Please note that the simulation library path must be specified manually
+in the generated script for the respective simulator. Please refer to the generated
+script header 'Prerequisite' section for more details.
+
+3. Exported script and files
+
+Export simulation will create the driver shell script, setup files and copy the
+design sources in the output directory path.
+
+By default, when the -script_name switch is not specified, export_simulation will
+create the following script name:-
+
+<simulation_top>.sh  (Unix)
+When exporting the files for an IP using the -of_objects switch, export_simulation
+will create the following script name:-
+
+<ip-name>.sh  (Unix)
+Export simulation will create the setup files for the target simulator specified
+with the -simulator switch.
+
+For example, if the target simulator is "ies", export_simulation will create the
+'cds.lib', 'hdl.var' and design library diectories and mappings in the 'cds.lib'
+file.
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt
new file mode 100644
index 0000000..e086fdf
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2017.4 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Dec 10 14:55:33 CET 2018
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh
new file mode 100755
index 0000000..326592a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh
@@ -0,0 +1,150 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2017.4 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Aldec Active-HDL Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Dec 10 14:55:33 CET 2018
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+#
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2017.4 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  # Compile design files
+  source compile.do 2>&1 | tee -a compile.log
+
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  runvsimsa -l simulate.log -do "do {simulate.do}"
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy library.cfg file
+copy_setup_file()
+{
+  file="library.cfg"
+  lib_map_path=""
+  if [[ ($1 != "") ]]; then
+    lib_map_path="$1"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    cp $src_file .
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work activehdl)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do
new file mode 100644
index 0000000..84230b8
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do
@@ -0,0 +1,22 @@
+vlib work
+vlib activehdl
+
+vlib activehdl/xil_defaultlib
+vlib activehdl/xpm
+
+vmap xil_defaultlib activehdl/xil_defaultlib
+vmap xpm activehdl/xpm
+
+vlog -work xil_defaultlib  -sv2k12 "+incdir+../../../ipstatic" "+incdir+../../../ipstatic" \
+"/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -93 \
+"/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd" \
+
+vlog -work xil_defaultlib  -v2k5 "+incdir+../../../ipstatic" "+incdir+../../../ipstatic" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v" \
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt
new file mode 100644
index 0000000..7208881
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt
@@ -0,0 +1,5 @@
+xpm_cdc.sv,systemverilog,xil_defaultlib,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../ipstatic"incdir="../../../ipstatic"
+xpm_VCOMP.vhd,vhdl,xpm,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,incdir="../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v,incdir="../../../ipstatic"incdir="../../../ipstatic"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v
new file mode 100644
index 0000000..be64233
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v
@@ -0,0 +1,71 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do
new file mode 100644
index 0000000..a31d6d9
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do
@@ -0,0 +1,17 @@
+onbreak {quit -force}
+onerror {quit -force}
+
+asim -t 1ps +access +r +m+clk_wiz_0 -L xil_defaultlib -L xpm -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl
+
+do {wave.do}
+
+view wave
+view structure
+
+do {clk_wiz_0.udo}
+
+run -all
+
+endsim
+
+quit -force
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/README.txt
new file mode 100644
index 0000000..c3fb512
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/README.txt
@@ -0,0 +1,48 @@
+################################################################################
+# Vivado (TM) v2017.4 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Dec 10 14:55:33 CET 2018
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'execute' function for the single-step flow. This
+function is called from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/clk_wiz_0.sh b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/clk_wiz_0.sh
new file mode 100755
index 0000000..d660709
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/clk_wiz_0.sh
@@ -0,0 +1,177 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2017.4 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Cadence Incisive Enterprise Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Dec 10 14:55:33 CET 2018
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+#
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Directory path for design sources and include directories (if any) wrt this path
+ref_dir="."
+
+# Override directory with 'export_sim_ref_dir' env path value if set in the shell
+if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
+  ref_dir="$export_sim_ref_dir"
+fi
+
+# Set the compiled library directory
+ref_lib_dir="."
+
+# Command line options
+irun_opts="-64bit -v93 -relax -access +rwc -namemap_mixgen"
+
+# Design libraries
+design_libs=(xil_defaultlib xpm)
+
+# Simulation root library directory
+sim_lib_dir="ies_lib"
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2017.4 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  execute
+}
+
+# RUN_STEP: <execute>
+execute()
+{
+  irun $irun_opts \
+       -reflib "$ref_lib_dir/unisim:unisim" \
+       -reflib "$ref_lib_dir/unisims_ver:unisims_ver" \
+       -reflib "$ref_lib_dir/secureip:secureip" \
+       -reflib "$ref_lib_dir/unimacro:unimacro" \
+       -reflib "$ref_lib_dir/unimacro_ver:unimacro_ver" \
+       -top xil_defaultlib.clk_wiz_0 \
+       -f run.f \
+       -top glbl \
+       glbl.v \
+       +incdir+"$ref_dir/../../../ipstatic" \
+       +incdir+"../../../ipstatic"
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      else
+        ref_lib_dir=$2
+      fi
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Create design library directory paths
+create_lib_dir()
+{
+  if [[ -e $sim_lib_dir ]]; then
+    rm -rf $sim_lib_dir
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    lib_dir="$sim_lib_dir/$lib"
+    if [[ ! -e $lib_dir ]]; then
+      mkdir -p $lib_dir
+    fi
+  done
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(ncsim.key irun.key irun.log waves.shm irun.history .simvision INCA_libs)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/file_info.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/file_info.txt
new file mode 100644
index 0000000..deb7b75
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/file_info.txt
@@ -0,0 +1,5 @@
+xpm_cdc.sv,systemverilog,xil_defaultlib,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+xpm_VCOMP.vhd,vhdl,xpm,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/glbl.v b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/glbl.v
new file mode 100644
index 0000000..be64233
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/glbl.v
@@ -0,0 +1,71 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/run.f b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/run.f
new file mode 100644
index 0000000..3ef7e92
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/ies/run.f
@@ -0,0 +1,14 @@
+-makelib ies_lib/xil_defaultlib -sv \
+  "/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+-endlib
+-makelib ies_lib/xpm \
+  "/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd" \
+-endlib
+-makelib ies_lib/xil_defaultlib \
+  "../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \
+  "../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v" \
+-endlib
+-makelib ies_lib/xil_defaultlib \
+  glbl.v
+-endlib
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt
new file mode 100644
index 0000000..e086fdf
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2017.4 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Dec 10 14:55:33 CET 2018
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh
new file mode 100755
index 0000000..f3343e0
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh
@@ -0,0 +1,168 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2017.4 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Mentor Graphics ModelSim Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Dec 10 14:55:33 CET 2018
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+#
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2017.4 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  # Compile design files
+  source compile.do 2>&1 | tee -a compile.log
+
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  vsim -64 -c -do "do {simulate.do}" -l simulate.log
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy modelsim.ini file
+copy_setup_file()
+{
+  file="modelsim.ini"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    cp $src_file .
+  fi
+}
+
+# Create design library directory
+create_lib_dir()
+{
+  lib_dir="modelsim_lib"
+  if [[ -e $lib_dir ]]; then
+    rm -rf $sim_lib_dir
+  fi
+
+  mkdir $lib_dir
+
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf modelsim_lib)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do
new file mode 100644
index 0000000..9a66a60
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do
@@ -0,0 +1,22 @@
+vlib modelsim_lib/work
+vlib modelsim_lib/msim
+
+vlib modelsim_lib/msim/xil_defaultlib
+vlib modelsim_lib/msim/xpm
+
+vmap xil_defaultlib modelsim_lib/msim/xil_defaultlib
+vmap xpm modelsim_lib/msim/xpm
+
+vlog -work xil_defaultlib -64 -incr -sv "+incdir+../../../ipstatic" "+incdir+../../../ipstatic" \
+"/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -64 -93 \
+"/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd" \
+
+vlog -work xil_defaultlib -64 -incr "+incdir+../../../ipstatic" "+incdir+../../../ipstatic" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v" \
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt
new file mode 100644
index 0000000..7208881
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt
@@ -0,0 +1,5 @@
+xpm_cdc.sv,systemverilog,xil_defaultlib,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../ipstatic"incdir="../../../ipstatic"
+xpm_VCOMP.vhd,vhdl,xpm,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,incdir="../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v,incdir="../../../ipstatic"incdir="../../../ipstatic"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v
new file mode 100644
index 0000000..be64233
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v
@@ -0,0 +1,71 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do
new file mode 100644
index 0000000..a44f519
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do
@@ -0,0 +1,16 @@
+onbreak {quit -f}
+onerror {quit -f}
+
+vsim -voptargs="+acc" -t 1ps -L xil_defaultlib -L xpm -L unisims_ver -L unimacro_ver -L secureip -lib xil_defaultlib xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl
+
+do {wave.do}
+
+view wave
+view structure
+view signals
+
+do {clk_wiz_0.udo}
+
+run -all
+
+quit -force
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt
new file mode 100644
index 0000000..e086fdf
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2017.4 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Dec 10 14:55:33 CET 2018
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh
new file mode 100755
index 0000000..1126cbd
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh
@@ -0,0 +1,175 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2017.4 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Mentor Graphics Questa Advanced Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Dec 10 14:55:33 CET 2018
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+#
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2017.4 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  # Compile design files
+  source compile.do 2>&1 | tee -a compile.log
+
+}
+
+# RUN_STEP: <elaborate>
+elaborate()
+{
+  source elaborate.do 2>&1 | tee -a elaborate.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  vsim -64 -c -do "do {simulate.do}" -l simulate.log
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy modelsim.ini file
+copy_setup_file()
+{
+  file="modelsim.ini"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    cp $src_file .
+  fi
+}
+
+# Create design library directory
+create_lib_dir()
+{
+  lib_dir="questa_lib"
+  if [[ -e $lib_dir ]]; then
+    rm -rf $sim_lib_dir
+  fi
+
+  mkdir $lib_dir
+
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf questa_lib)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do
new file mode 100644
index 0000000..8e44cc2
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do
@@ -0,0 +1,22 @@
+vlib questa_lib/work
+vlib questa_lib/msim
+
+vlib questa_lib/msim/xil_defaultlib
+vlib questa_lib/msim/xpm
+
+vmap xil_defaultlib questa_lib/msim/xil_defaultlib
+vmap xpm questa_lib/msim/xpm
+
+vlog -work xil_defaultlib -64 -sv "+incdir+../../../ipstatic" "+incdir+../../../ipstatic" \
+"/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -64 -93 \
+"/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd" \
+
+vlog -work xil_defaultlib -64 "+incdir+../../../ipstatic" "+incdir+../../../ipstatic" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v" \
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do
new file mode 100644
index 0000000..b2b0781
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do
@@ -0,0 +1 @@
+vopt -64 +acc -l elaborate.log -L xil_defaultlib -L xpm -L unisims_ver -L unimacro_ver -L secureip -work xil_defaultlib xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -o clk_wiz_0_opt
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt
new file mode 100644
index 0000000..7208881
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt
@@ -0,0 +1,5 @@
+xpm_cdc.sv,systemverilog,xil_defaultlib,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../ipstatic"incdir="../../../ipstatic"
+xpm_VCOMP.vhd,vhdl,xpm,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,incdir="../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v,incdir="../../../ipstatic"incdir="../../../ipstatic"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v
new file mode 100644
index 0000000..be64233
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v
@@ -0,0 +1,71 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do
new file mode 100644
index 0000000..77fdf30
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do
@@ -0,0 +1,16 @@
+onbreak {quit -f}
+onerror {quit -f}
+
+vsim -t 1ps -lib xil_defaultlib clk_wiz_0_opt
+
+do {wave.do}
+
+view wave
+view structure
+view signals
+
+do {clk_wiz_0.udo}
+
+run -all
+
+quit -force
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt
new file mode 100644
index 0000000..e086fdf
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2017.4 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Dec 10 14:55:33 CET 2018
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh
new file mode 100755
index 0000000..bfe02a2
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh
@@ -0,0 +1,152 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2017.4 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Aldec Riviera-PRO Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Dec 10 14:55:33 CET 2018
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+#
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2017.4 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  # Compile design files
+  source compile.do 2>&1 | tee -a compile.log
+
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  runvsimsa -l simulate.log -do "do {simulate.do}"
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy library.cfg file
+copy_setup_file()
+{
+  file="library.cfg"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    cp $src_file .
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work riviera)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do
new file mode 100644
index 0000000..c8170c1
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do
@@ -0,0 +1,22 @@
+vlib work
+vlib riviera
+
+vlib riviera/xil_defaultlib
+vlib riviera/xpm
+
+vmap xil_defaultlib riviera/xil_defaultlib
+vmap xpm riviera/xpm
+
+vlog -work xil_defaultlib  -sv2k12 "+incdir+../../../ipstatic" "+incdir+../../../ipstatic" \
+"/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -93 \
+"/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd" \
+
+vlog -work xil_defaultlib  -v2k5 "+incdir+../../../ipstatic" "+incdir+../../../ipstatic" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v" \
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt
new file mode 100644
index 0000000..7208881
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt
@@ -0,0 +1,5 @@
+xpm_cdc.sv,systemverilog,xil_defaultlib,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../ipstatic"incdir="../../../ipstatic"
+xpm_VCOMP.vhd,vhdl,xpm,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,incdir="../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v,incdir="../../../ipstatic"incdir="../../../ipstatic"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v
new file mode 100644
index 0000000..be64233
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v
@@ -0,0 +1,71 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do
new file mode 100644
index 0000000..a31d6d9
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do
@@ -0,0 +1,17 @@
+onbreak {quit -force}
+onerror {quit -force}
+
+asim -t 1ps +access +r +m+clk_wiz_0 -L xil_defaultlib -L xpm -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl
+
+do {wave.do}
+
+view wave
+view structure
+
+do {clk_wiz_0.udo}
+
+run -all
+
+endsim
+
+quit -force
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt
new file mode 100644
index 0000000..e086fdf
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2017.4 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Dec 10 14:55:33 CET 2018
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh
new file mode 100755
index 0000000..35d0f5a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh
@@ -0,0 +1,231 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2017.4 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Synopsys Verilog Compiler Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Dec 10 14:55:33 CET 2018
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+#
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Directory path for design sources and include directories (if any) wrt this path
+ref_dir="."
+
+# Override directory with 'export_sim_ref_dir' env path value if set in the shell
+if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
+  ref_dir="$export_sim_ref_dir"
+fi
+
+# Command line options
+vlogan_opts="-full64"
+vhdlan_opts="-full64"
+vcs_elab_opts="-full64 -debug_pp -t ps -licqueue -l elaborate.log"
+vcs_sim_opts="-ucli -licqueue -l simulate.log"
+
+# Design libraries
+design_libs=(xil_defaultlib xpm)
+
+# Simulation root library directory
+sim_lib_dir="vcs_lib"
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2017.4 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  # Compile design files
+  vlogan -work xil_defaultlib $vlogan_opts -sverilog +incdir+"$ref_dir/../../../ipstatic" \
+    "/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+  2>&1 | tee -a vlogan.log
+
+  vhdlan -work xpm $vhdlan_opts \
+    "/opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd" \
+  2>&1 | tee -a vhdlan.log
+
+  vlogan -work xil_defaultlib $vlogan_opts +v2k +incdir+"$ref_dir/../../../ipstatic" \
+    "$ref_dir/../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \
+    "$ref_dir/../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v" \
+  2>&1 | tee -a vlogan.log
+
+
+  vlogan -work xil_defaultlib $vlogan_opts +v2k \
+    glbl.v \
+  2>&1 | tee -a vlogan.log
+
+}
+
+# RUN_STEP: <elaborate>
+elaborate()
+{
+  vcs $vcs_elab_opts xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -o clk_wiz_0_simv
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  ./clk_wiz_0_simv $vcs_sim_opts -do simulate.do
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+      create_lib_mappings $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+      create_lib_mappings $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Define design library mappings
+create_lib_mappings()
+{
+  file="synopsys_sim.setup"
+  if [[ -e $file ]]; then
+    if [[ ($1 == "") ]]; then
+      return
+    else
+      rm -rf $file
+    fi
+  fi
+
+  touch $file
+
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    mapping="$lib:$sim_lib_dir/$lib"
+    echo $mapping >> $file
+  done
+
+  if [[ ($lib_map_path != "") ]]; then
+    incl_ref="OTHERS=$lib_map_path/synopsys_sim.setup"
+    echo $incl_ref >> $file
+  fi
+}
+
+# Create design library directory paths
+create_lib_dir()
+{
+  if [[ -e $sim_lib_dir ]]; then
+    rm -rf $sim_lib_dir
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    lib_dir="$sim_lib_dir/$lib"
+    if [[ ! -e $lib_dir ]]; then
+      mkdir -p $lib_dir
+    fi
+  done
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(ucli.key clk_wiz_0_simv vlogan.log vhdlan.log compile.log elaborate.log simulate.log .vlogansetup.env .vlogansetup.args .vcs_lib_lock scirocco_command.log 64 AN.DB csrc clk_wiz_0_simv.daidir)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt
new file mode 100644
index 0000000..deb7b75
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt
@@ -0,0 +1,5 @@
+xpm_cdc.sv,systemverilog,xil_defaultlib,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+xpm_VCOMP.vhd,vhdl,xpm,../opt/Xilinx/Vivado/2017.4/data/ip/xpm/xpm_VCOMP.vhd,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v
new file mode 100644
index 0000000..be64233
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v
@@ -0,0 +1,71 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do
new file mode 100644
index 0000000..58afc78
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do
@@ -0,0 +1,2 @@
+run
+quit
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt
new file mode 100644
index 0000000..e086fdf
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2017.4 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Dec 10 14:55:33 CET 2018
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh
new file mode 100755
index 0000000..c4f11c6
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh
@@ -0,0 +1,217 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2017.4 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Xilinx Vivado Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Dec 10 14:55:33 CET 2018
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+#
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+#*********************************************************************************************************
+
+# Command line options
+xvlog_opts="--relax"
+
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2017.4 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  # Compile design files
+  xvlog $xvlog_opts -prj vlog.prj 2>&1 | tee compile.log
+
+}
+
+# RUN_STEP: <elaborate>
+elaborate()
+{
+  xelab --relax --debug typical --mt auto -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot clk_wiz_0 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -log elaborate.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  xsim clk_wiz_0 -key {Behavioral:sim_1:Functional:clk_wiz_0} -tclbatch cmd.tcl -log simulate.log
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy xsim.ini file
+copy_setup_file()
+{
+  file="xsim.ini"
+  lib_map_path="/opt/Xilinx/Vivado/2017.4/data/xsim"
+  if [[ ($1 != "") ]]; then
+    lib_map_path="$1"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    ip_file="xsim_ip.ini"
+    src_file="$lib_map_path/ip/$ip_file"
+    if [[ -e $src_file ]]; then
+      cp $src_file $file
+    else
+      src_file="$lib_map_path/$file"
+      if [[ -e $src_file ]]; then
+        cp $src_file .
+      fi
+    fi
+
+    # Map local design libraries to xsim.ini
+    map_local_libs
+
+  fi
+}
+
+# Map local design libraries
+map_local_libs()
+{
+  updated_mappings=()
+  local_mappings=()
+
+  # Local design libraries
+  local_libs=(xil_defaultlib)
+
+  if [[ 0 == ${#local_libs[@]} ]]; then
+    return
+  fi
+
+  file="xsim.ini"
+  file_backup="xsim.ini.bak"
+
+  if [[ -e $file ]]; then
+    rm -f $file_backup
+    # Create a backup copy of the xsim.ini file
+    cp $file $file_backup
+    # Read libraries from backup file and search in local library collection
+    while read -r line
+    do
+      IN=$line
+      # Split mapping entry with '=' delimiter to fetch library name and mapping
+      read lib_name mapping <<<$(IFS="="; echo $IN)
+      # If local library found, then construct the local mapping and add to local mapping collection
+      if `echo ${local_libs[@]} | grep -wq $lib_name` ; then
+        line="$lib_name=xsim.dir/$lib_name"
+        local_mappings+=("$lib_name")
+      fi
+      # Add to updated library mapping collection
+      updated_mappings+=("$line")
+    done < "$file_backup"
+    # Append local libraries not found originally from xsim.ini
+    for (( i=0; i<${#local_libs[*]}; i++ )); do
+      lib_name="${local_libs[i]}"
+      if `echo ${local_mappings[@]} | grep -wvq $lib_name` ; then
+        line="$lib_name=xsim.dir/$lib_name"
+        updated_mappings+=("$line")
+      fi
+    done
+    # Write updated mappings in xsim.ini
+    rm -f $file
+    for (( i=0; i<${#updated_mappings[*]}; i++ )); do
+      lib_name="${updated_mappings[i]}"
+      echo $lib_name >> $file
+    done
+  else
+    for (( i=0; i<${#local_libs[*]}; i++ )); do
+      lib_name="${local_libs[i]}"
+      mapping="$lib_name=xsim.dir/$lib_name"
+      echo $mapping >> $file
+    done
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(xelab.pb xsim.jou xvhdl.log xvlog.log compile.log elaborate.log simulate.log xelab.log xsim.log run.log xvhdl.pb xvlog.pb clk_wiz_0.wdb xsim.dir)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl
new file mode 100644
index 0000000..eef7a0f
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl
@@ -0,0 +1,12 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run -all
+quit
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt
new file mode 100644
index 0000000..3c359c6
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt
@@ -0,0 +1,3 @@
+clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+clk_wiz_0.v,verilog,xil_defaultlib,../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v,incdir="$ref_dir/../../../ipstatic"incdir="../../../ipstatic"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v
new file mode 100644
index 0000000..be64233
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v
@@ -0,0 +1,71 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj
new file mode 100644
index 0000000..a698a25
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj
@@ -0,0 +1,7 @@
+verilog xil_defaultlib --include "../../../ipstatic" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \
+"../../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v" \
+
+verilog xil_defaultlib "glbl.v"
+
+nosort
diff --git a/game_of_life_v2/game_of_life_v2.runs/.jobs/vrs_config_1.xml b/game_of_life_v2/game_of_life_v2.runs/.jobs/vrs_config_1.xml
new file mode 100644
index 0000000..05af438
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/.jobs/vrs_config_1.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="clk_wiz_0_synth_1" LaunchDir="/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+</Runs>
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/.jobs/vrs_config_2.xml b/game_of_life_v2/game_of_life_v2.runs/.jobs/vrs_config_2.xml
new file mode 100644
index 0000000..87ad3d0
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/.jobs/vrs_config_2.xml
@@ -0,0 +1,8 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+</Runs>
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc
new file mode 100644
index 0000000..4563f66
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc
@@ -0,0 +1,3 @@
+set_property SRC_FILE_INFO {cfile:/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc rfile:../../../game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc id:1 order:EARLY scoped_inst:inst} [current_design]
+set_property src_info {type:SCOPED_XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design]
+set_input_jitter [get_clocks -of_objects [get_ports clk_in1]] 0.1
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.vivado.begin.rst b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.vivado.begin.rst
new file mode 100644
index 0000000..f00e958
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="mlipe" Host="" Pid="4308">
+    </Process>
+</ProcessHandle>
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.vivado.end.rst b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/.vivado.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/ISEWrap.js b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/ISEWrap.js
new file mode 100755
index 0000000..8284d2d
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/ISEWrap.js
@@ -0,0 +1,244 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/ISEWrap.sh b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/ISEWrap.sh
new file mode 100755
index 0000000..e1a8f5d
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/ISEWrap.sh
@@ -0,0 +1,63 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. 
+#
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+if [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+ISE_USER=$USER
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..3c537ef10c3f0e6cdfae3f5c41efebf61959d170
GIT binary patch
literal 9200
zcmWIWW@Zs#U|`^2_+8fJYjtOt-eE=th7=YC26hGphLq$2y^7qN-YJ3kvkgRA=TDDM
z{J6z-iW66E`=k)Y$!whtE(&Mo>9C(k63ub(nf2s)zuCHN(^fvZ_<hc2+0)^lUObEt
zd*JZO_G!+V7ps=p_^>IbyI*?V6|8pPSM2YV4Xmkq<g{lQN!Cc;?0oJlqQZT<*P+4N
zD6H+)-?s%Tw6CxKrSq}&OWLGYuQ+e;>KZBJHW(YM)@)E=-F4GX_wt53lMN9^-#YH!
zoiHtsLs)%UkE!j0p60`=*GWD2y|!@c(nsxE9z}WFH{5HT?X0U?C;k1{)IAf=7S8>f
zthBU?zlX#0>C#fQnW0-}rM-N0_Ne@4FRROsB==r0zNE*rq<W9;i7kS6xZkRszimFr
zFH+P@>5S|-7R!CxJ&&$-n&-w_t<rNPV#1rnHtnaGy60S9I_LB$NnMT(|4{3j4(yeT
zMTZp1%r}1e+0|h*C2rQ$<Z0WYA4mG!*YY`R;Nxq4mao`!zTRCvue<LHTz?71yg0jy
zVbasTkFw1_{rMoc_R*1d2^Zo_qQ734Y|{R3`i$7HFUq-1d``BT>LT?E7Uj*_wB_CO
z{s&v6*Bjq4eyjKQ<$6Abi%;Ke;n!YxZHd;0mGW;m|D|u#kJl+H`TPC<3?8<62DEsN
z`myIE0|Uc+Mg|561_p-Yob34W%&K^U_{_Zc<ovvn)QS?lij?G~{dc*V90Xe5*LGDh
zI(Nq{IO-r2{%dE)1jTC?RSI*<d6jCu`)F&uS+#m5^Ya>Gca2-pPJteUEW4Gao@R+j
ze`3M+#>gnbGu5QyQAg#=W7A&zm7KcdmTINi)-_WXEabQ`C1uIOSBE6jeDwq6KV3Pn
z)&7a!Zo`)$pZPX=ce=ejzr>`}C35yR?pkw=oBOi$66U{}!}CKXefG~g(T}R6D+NAX
z_^t4DUHC*r7H*5^KfWKsU$~#JbbiLlxMuoncBg>AEgUod<@{*vUn`C3eU3LrRabE^
zFi06OFz_OKUoSN!?P_#x@ggs=fAiumK5ds>drGY#|Kycjp)3~)+z&GOsFlA86VJ+?
zA-F-nw`lvf{rTmKo49TrICFQ`%9%IH=0D%_b>Z(4`5@QebT+ka`44A*3KxYlgm|83
zW7R(Xy@A2vz}2$7wObs!-+q^W@%SwNvUPj!Y!|=%@K$K4=&grUrL3#+8-?3WD0f^a
zoG5wgoe+miJ$nV?2bl-b3vM3yuW>&0!FlG}tW(v~ll}j4t$6okMS{ogWq~ULcXhts
zb%NXRY2sTQr-tM9rOfOnGMIj-J^Q!w=8u@?2M%oMSn+MSmsHjtM!UWJg~eXSR_k%S
zEx!=@n~QzJug1q`9iM)?T7Gfu?t*DA%DT+9Z+?2GE#mb14554Ha>X6%*~HKB9PBOl
z^EXY@Cv3ZRy{yj#u20*~eJXqZY)9#4MKjeUpX-;F?8tSEYPcm@8ggHfz3c;H+;Tp#
z=R5pf<Ot03DUf1IiMsgY>SytPf0#q|Znbu6>`CiucdUqeQImLm@lmEPCYD*P^4=^}
zUCSg^i5MOfe!IZ@!NP)vYYx4TUV2Gn_4--Yrg2@ijO%EcY3rD9z4h=hey0n}S62!r
zoEO;m>x5L_8V`$~H_cwSJ1tiC{M9PO%boGX;MKRfg<iibr*1RJn&fshVoTV@TlMy9
z*_ksJa0E=5U$^jG(0}jmjIYlm9P0bhIQ80=FAoDI-aHYiD{d+G@P?*j=rLs*YgOyu
z#<`Q`X3hl>%`-Qh^tqX|V9hPZgvYE_4s!R@8`d;;t*L)Jd;U&)Ez<+rvv_P$oa+)5
zUcbI1zDz@U)~0ouJS`?SLUlbWzqA~0Syd*GnEryV=^4-5m0$FO<$i}xNoC$WqoyX?
z)h|!}hsE699#x|NO`d%g*F28CS`leH@0?Se-Hf><ix!?-^7%qaU{%JdSt{B>%uhEf
z+8Y;`oM~P<JvTjI>-?RnZ%l8kD#=@Y>*AcdpZd0{{r~PM?RTX9!bhW(w>+C9w(D7_
zwaz=}dVIIq!C$WpayNI_x^^(vcQ$=su6dgspBR5t#G~j%$7dN<hm8BCt-o99ABU-A
zKJ>3!@N)fhXPu%wQ?|ZLKiRq0>Z9RCaW~HDD^DwaI6O<VzbrGy$D<*wP++p8s%%M#
zAgj>Ne^mtxE3WcADmgzn?cz+Eml=8UZp0c}#GOB9&=>Tyc+Tb6-wWznubmF)JRj-o
zEW2XbuO%+WPmB0mE7>ypZCSVfqD^ZK7W2#IT=>)D8YuPmdW1@+b6}8e;AA0#J@U~3
zLcKW>fr6*J;%gfHlj^4&D7q<e_efF7#R<ZbrmVAg6@7EYx;c7oGLcnkTG_K+mF~Nn
zx7kNHvg99MVfp<G&a>TYJKr5?{Z_*4d0ukzB=v<%Z4Xb@hNZqX+~y-6bz{qYQOnDl
zl<qC4REV4RkfELL&9Mu=rQ<S+V#+w)O+4&=(TD9VuY0{7v%A_%W*b3g;gI9|_B(82
zUu9gq(P?I1=cPc4BeR#x>!_XQs&&razd^@hjW&DgSI?<am$biHEB#18?9GX)#!KBU
zhjhixaOgdV>(YJ^+w*k$v18|t9W0u|rIDu?f5B;AORG~HcdL;Y7pty_S4715?8Lo2
ztzVnOu6ak?IIw$_X6KP3b9T&`mpSF5&*BB?QmQtW&txZV?rA;SBxdFvapP2W;_9B(
zwM}B%x*}Kbw{@j;O<R?{@x*=kuXW$f_3v$T-DK@*x^T<h_QoFx>m<Z>#@;&l$i8>8
z@8N)=_W>MYu`VKwmu7b|IUL^dX%Wv-?)qR|Z$qI}Wt+!;Zc6qCI`K`(bSi4yy!d@r
zt+93J;Umm*ect!Q1exgY+pXGroO?m-t<C*Bi^9~73Grr|w47g*W!Rn@7Iio0W6kDy
zE~>La=a{`;fB7HdN6l){B7u;7e?P8Y@%OzKZ^-`NeeKIQH-7oyzbRAdh|`?8llL{V
z{B^i(yXKWrQQ+piK`PhFmP(kMHp-Zi8lZpLLF06T{^^F(=M{F{Jj<=bALDl>E?D$K
zs#>(Js8-fWi$HJI&L}OXD?twzigorbc~g}4`1H?S{g*q6<~=^W^W8p?U+Y9>T`B8Y
z%&qfki^*i+%hoPmOf2W-MPEF5(pKxk6SlXnY%3dYfAaT}Sj)CCQRuCUu`cHWVNZth
zlUOcvDa{t!c(A=g-81QGS$yS*YhJb~^Y*OsyPkI1cs^U9?#nrPSDb6|{=eu^iwQ8+
zvP#V^*Gel-p0n;+U%H>@jM$}@ubUM8dR4M3xYuI+^PC<>mcwhZLk^!``FiEy%vg=>
zi{5y>dXhSKPSm_Alj+lTE2V5p+dW;Y=#{A9z9p`2wHz59B~Qv*Ve7%yJ<GyF=IOU}
zcPvdmJ4`P<XSF8j692E)D}J1b%KB$Nea8E!T*XSe-sp)-=7%QjQ)!R*V(fKhW>M1m
zdD@1P-D0w>IH&D+Rbn(n#cRsK6Vkjp`#+T~yt~VKhpC52<oBbto}V_JuDiAE$;pjt
z+`F#@{{CgWQ`ajdy5-=n57(4@UwT)E_#9qW)@S?bQ_|MO3BvJty^~6u8%s(TOrH>N
zbywA?pwhkBxwAGfzTWZJUig0OFS))Xk4ZcIe0Iet?x@-NF~9oZ8QV|iD%yQ`WD8{Z
ze|@m7Txt8_LiDl~x9i%^Wq$v1`1Yo#y;hqI3?EgvJa~1MQ+@rvXDlu93HN7Pe$F*N
zXMUV}&H3GzAFfO1@IC&GW%0|~cjvDA^MQ5Jt{-3bwcJt^y_=o?c2cJ*e`ve9oPwrc
z+3d&u)`5aXuSEK+Rx<xF7df!<|1(t+?h9P1y&cQ+%FbO|aUou>u-s+)^=WI)%g%ZK
z{nx3jF5iqTUPx|XyL0hK_VsVqzA*`fvz!xgeenO;{YXAB{a=oCSJyq-{-4+6u%Ks{
z)@k-@+Dt+>OTI`}lssF{u|D?8+?8CsJ6?&bE#NrfE&8;2SJTop;et=&9y-Ri`*$Ty
zlDO*D%zm_EvB|I7Y!&-Ae>-tz;llrHsiur4KDRS2_nB^XPv_g7d+KlPEgNU+JnP+k
z%>HM`oIi>O{-d?&EV`351KAiDc5*W?@S`+piZc=mQgg$+3vOSLy0%gH`F5S?<NGfi
zdHFQ)-qX+|^W4k7A1HYj<z#s~PP)Cs#^u(owTdm5THN37b<jWm>Bfe}#N!*9CEFxt
zBqmg>*~Of8V?)y6F4YZ*yITIsc-9r<rzQQp|9$`YpZ$Gu+FGad;~&n=Za#bPT5#z0
z|0$*xn+vzaZ#6G7>&wsip1(S(f1Yi9Ucs+3ep~lNM6a4Pdv+-2_SMgS-Tqtb|Hc2^
z-XgESDbg?EciMW}o9<OeakWfQZ~7Ujv?YR1@Bg2tPY;QHsQz_4VEfq%JvsYpj}$I$
z+Z@Eg`gz~YUcWgvC2|TAR(w^tbHi?9;imW&o@Z|pOv7GJIO=pe+a>pWnbor@&Se2p
zBb;QH370<ju}%2Jgu_kZr=MIhNMQS_*f*bz;Z>*2qfg4apJX<8l@;E)!nd$tsm0fh
zOC4Tkt?qf<)^Zl?UO6>|N251M^`l^kj&Bg#hmA$avQ9J4T)HI0)j6p#c(sv4gwKi@
zH+eT(q|a<jz125Y=X$`_Y@eyq^yJyj1TI{yR%ue@YaPKEoh@}n*&|O?CBSh(`V+x}
ziHuuaF7H~d-f?$@>ZUCkhvPFeC%w{4x~+XkbcT4eTA<`QmD-@D6pI*FL-mf;fzsPG
z97V4t{^pfkCulsO<e{lof$qxV4@yrS@!)THeYDJUQL^s(Wbf5ncN#Wt+;%soPm^ay
zp^4^{U9Y42_{@(APfuvEJ>2P`!JOxJtw7c0*%e!D6Kl3RO}{u!ZPh%qHc7SRVOBwb
zSe~=ps>|!Dd%s?-+#%cB>Dl$u@<z8s;+-6!y$t0K*4fYYK5L`1$ncep*U#91!=F_2
z4`0{(<I>PEjV)3B>#LyIMOJGrygzeLXp!$O&#3mlMN@YLuDSfM;fQ0CrOXmvzprun
zPd4au-e~BskvWv9RCTuUhqjax$KrL8Eq(Kk%xK=Pc&z4vVZQ}uY}@|JE~<xjYp`wR
z`7dF(b;eHN?k_zpySHc`wpX7yi?O^@HrqAqbV=-T;fr>X34Q0%gEX9yD<s;_Mr@k7
z;v!$O-|Zrc8!MJN@I2MAIMMF%D6C11H}AHsQa8ux4MsOPWItU?Q9iQ8_2%oxy?1O+
z1}L|?D!+c*tK-04-dpUP%@=zm>f4KosRvw5BlvgC)3)(`u<#a_&+I8e>$oyzYwl5R
zO<HIA;pJJyV^a=g3mkEHa!GMXqsOEmb*nEM9D<_U8T%gi-0r>>7G1*A?-!@km;F4E
zce(Z64L8pm-ZkZpUVHKiPfaeT{kee`sy8Og+^7FLXLsce{^i$g?nj+J{MX{{+qI_C
zKYwu0kv-!q;@zJ1!0`-Q-EszLi{#R(q!$)4(+?i?z51de&4O9o!2A0YHg&;{wXzYb
zS*5<TH|(;X+GLgKw>+Wqz)TSxVF`~7>-CszOjtx7JQV3*n5`+mDCc>wXu%<|XDTnU
zK4csU&fz`hRgmDxR+qz}@nNUPm6Ns6yW}HYi6yY7Cl&7G=s%skD=c2^yONEe)0bye
zL5qIXT`UotC#864?(~d$-FMI9r=0BmYw}||%cX$!{|Soo{;$jYIgic1`(sBV<M|7Y
zlQ#?8w5^JtwBpd_8QtuH51FLrwoJ3QwEN-yo_n<?@BAv6Ge;xmV)4?@#^(}y9WQ;a
zIKG*$Tl!-5nS-BsP8Uuy{H0aV_>gJlcFm9ftDZh|Z40&&Os(j&i<7#NGsUNqOW$}-
z-i5QTqzWb`p5(a}d%8UA(fXM-dz{v-4!f;+aJ7$~aPGmPf)d8KWQ*5p+X8Pd?NLl!
z6uH{f*UEIg@tl`C+hgCan7wiX&jt5u((mgEcRE~(+wtMg_WaZz*L%!=&hC-^!+7tJ
z_aC9h^NseM*q?gmx#d5($IEww2No54>|NiIEavdg%XOvJ$_b1KhQ@0zh09Ie@06x9
zO<0YYOLSuM`}_Zc!X`9xzpwe?sK%aO?=vy<$JgERaiWbgxa{kG+<e`$nM+ghe8{Gm
zwmmV=um0cfDS0k!=NmVXjq00EOq;sfNVoNe%${n`Z?n%H{pen~G)!&Q0}h`g$H3qA
zwZh?Z8#OO-80hjv%v>~Ojg0%DnZmRA-J91&O$`*a+)*-dPSJ;d-{=1cn-XZZUq>}-
zsm+aB_jWd)IcX&&Q~&2mrd{aDEG?Gixpfu)a^|Nm4{1&B=*$mZer|JR=$-7}-wKzl
zZasUjaP|J3nz@oIf|Hh6{$@t)QYTqIX8ORuz>vqtz#xEJ`@|QQlqTtwh4!8GJ8U4(
z^1iamvPoo88?*hZm5;7OhbkUfTlCg<bJy$MoOUxC+p-{)9c%U&e}21T-AA><Pkvr;
zHCeCk&)>iLndJPOo3Y+GbJuOyDE3>1<3_N;f7fMNUAvA|A7?C)d(^Ud#zi4L8Ec+L
z$~>8?_jKv->8g9LHVkl>5%Wev#h~kk&B1l5{H=>acO4I0mvJ<C_v)hK=O%HrIJSCt
zJlTKvjnKLej`P;e5lHzmYuc_7M@eJh&+C|`oEAzCx*5GgE6@J=Hv5<#zc=hUtuL7z
ze5mW)$tjzUuiF_f?oiZbcrJ+V@5E^(VmJP}32oIoF>~pXAB?D>Q*(NY**69ThB=H3
z48mAKCnF^%x6j}Eh=IV-_di97LpG#pZ^|l;x~A0Hw5jTY*d&wNvQm>K`INq1ZvHm$
zf5Y;b55GU#J3V#7*TW(ktOZ2Q`@eou7ix1zJgVfl^@_RY5|ee`q&c?C?NqCoZI&(;
zIw7%X*D9@Z$1<kr{{K-Uc=mSoUQySLbtlYy4@(;NO;EeAP2B#6c38ti#y!6s3YasS
zS$|IC(op2J*!iI~YJK(Pf9Ie1mwZ;<W$gW;j5F{2%9qm|<tOwkGnD8s(faWFb<`w{
z1yY(1PjaR%eb@Twc*^=c+uf|{6fF}CPlWNTbeI{#yz5<1Y3>xJ=?{d~ol0QgTKv#w
zCg0tdIh*ZKgDK;cMwu=b1A_(^0|O6oFzHp~F=}vK{~D1~5oT!N>ZG8cpkQodY6hYe
z5)BRJmuzoJpRhk%IqHpUTJPoqomPi8XeF(jSU2(3CHuXh+zfj!?^=AQPI^~>n#{~e
zb!s2@3j7W?<q6zoh?MhVx}&K+RbbAZ#d|Mh-dO6a@E|6?)Y36#|D)8$Zp#jt&+Fg0
zFHZbW@0P1uk8rHiS|k?#eO=RQ;S$xZKi$<6#NG*ixBC0xbe7-KgFdZ)SKm0GyW{ev
z@bHegIjQTa&OhE*BJ`Z;;Gei8buOL%D_XDGr0l%;O~wAsy;-mOeVyh1Ry~t@z9jsX
z;f>rdwf#TWt=}lu<DYbB<`d>i3fK2<soVJZrjpXiPdf!?b_CrQIlkolZB3oBqWV48
zOEYY)ACak%&D?pR=W*YIxmGeiX0tyy_IbbP{mh%nEBlVjkNCY|hiWsom6#%<!?({`
zYWLDFe7=(PX+iy;SJHtC<>F-TGYXf~{QMWw*!}F=!ut~yZIZZHH%r-0eXJaPR6c26
zYjxtiPx(G=hnl(hZW?r2BwwGnGk&4iGrxL~)|@$hM;El7F_$TQ9URjoyZYn}<s&|o
za>o8Pe2qDuI{c=HIRF1;w=Gy*c}`(OP36@fPtlm|A7-kq-J<<j@|cLvpX7<(_xo%2
z?3}W1{$G)>x!Uf9OW!^@ZKqlk@W<%tjOXt>B0V|&m%sfTe{!ztyOaBZcRgma{?qzs
zA&;O$@3D#*6W4CHn6^*GVBVFTnwP(}YbeV~ZNI<3HK2_BfrKwt`_7XKU6l_Rygy*o
zo@-s$wR7Y8m-U7k>Th+A+n8-Q^TISv@44D4AKCnjy8CJkD*b^jks6izBaaKEl)Zho
z(fqPvXS{a?@8|4Gb9TutkIO1v`O08Hi~j9{w}P#DyQY14(f5}r>_nfozqr!<vUltM
zsQ&q$)zy|@XvO>d=%oDa{vR<0xrbj|GS^_~40CIJ_+`bP<$E_S3HT;F|5|p>{`%^N
zS7elLm^jK>C4V~|9D4q_=fidNHte%5I$V=!dvJ1ol$>i}gGp({zE2xMLuPOP;<#er
zj|ajBSCq9e`<6V&_!E8ZeE61X(S@B8PMmnj%gT83!DQC*9rc|{a=X8E=+Be9wQo*{
zaP{7U0v%l&6qWKjr&sO2K2xOS@*1`GcaAi!yUm*NYrpHFpKI>T&x=eq{V~_>%iY;;
zOoDB@kN&AP{hYhV#dUeI<%yg3yji$!ef4FVxH9GOMiaMXIWzP3&HN^AY;*qU(_23h
z^^U!YmpQ3B?~THg8&@1|_;J43w!Qu<pF{PJf9Dd8Im(BKs;_i^F7E2IRb3{3R;;4j
zo&$9uQ8HC0RwT}zf64#GtX1u&PO!+&`}kJ(_q;Z#H`k=}rb#DGd_CcFTN(e;@Lf`K
zeoA}ui(kC>eNl94Zm;ew*-0!;TVGb1-I2ezQ!D)XW&zpj+nakcG=FJ`xc^^zf2P3G
zle-ojd{pbNl*;%?e~+uWKvd5BwaL4-d_MU==KHE{|D?soE=?|Kdv{~&vQy2y$9{OE
z1*Mtz%xb+W-TE|W!n=eitF~A_>A5GRC2A;MaIWv8YESW`ba#PD7WVY&M<$B%ZXQqW
zJ^jDlbUK^xmV-yd?(E-r@YSR(MnCdC9h}l)nl(LG%J=w0nX5&TOFw))u<rPxxZr6D
z>zrMEM3W>hO*<F<<Mr)ByHYHh)sl+}Vq_|xJ2GxO^L%NDv}QcxMy=-OY6rLO_m8;M
zYGW};Cv&=9G*8a`6W<w4{r2VUDRj(V_4`1VzN@8Y75jv#5eyG5MLuqtGx5&V2#3g?
z(%-JdGk0cOi(RlM%rmb(vO)dS^zVENgTDP*w9cV#*~g7a33Dg0ZhF$?y+uvL!R6f5
zs4bSg_g5KQm+2@?^ELcz;ISav@8-t!3znVPTgkqlG3{L9QnSZ;hZdX-P;s7@)WyEc
zPf*I@;YGRTOR5K#S=L|tS-m9D;I8@M+BX-ccbzOSVCqqgy4fSQ;<XXOvDuG$cJ=bf
z_I%mY=qz8o)OEsXyL$o8`s#hR&C*NRE>gPiqHmz2cZKH3*-x*w-m|<Ocy)dE%$^nM
zZUsuJ{i|}OvHtzDI>+f6v-pLjlcxXq@_x$xMZr~WZ+W^rv{_`P?s&H2h4Sqv&a?Ld
z`uva1w7oUQ+D~UsEMs9*?vzuL^0UJ`>;7K;dqJ>e+47y6m`{fYq_(*?G6-o&IW0Rj
zp+a~bs~@kFS7CVc`8}0_M?-G)miWj`YZVc<zx&Bi@L-~V)Uxcz#cw2?a?)0A?q57l
zTJl-toih{m%-wJ$m}7=#La=|_zNb%<B`*4&-KW(l^i!Uv!QZqb-jVU+IzC;EUH|_i
ztoY;8yPxIsj=CFSnR0J-G1p3|&u&Oq{JwbQlLOQJS~t|%Kl>Q+=t1c5(~rWoX9r*P
zv{|Gnv5I%{8IJkC4w&pNNSn*H*5tjAd8fS!*LI)W@S}E}Qp=vFMNcW<U!ScmdLVWt
zx8t`Pr=R->)oouK?tEp2(6#z6GiQ2wy%8|l8d>qs?^Tdm)AV)BUo$i#<F%*!Quuj6
zKUekHl;Wqa+~e}yJ}IwH^FCF6OJdpkl@mB+{X;$3&mUF%k<N2v)4O`1p3k?x>0Rc&
zYna5PRZ$cm&&Va5z$qKDD|fw*`;jE}CmL7h9S+v-yr}xZVv2I0?AgWu3-8|-I-Z{3
zZeD0~iu*55TL1h9a}z(BF!SdB<@*(UXI0UpWvgD6-D)p1G-q@(mN{y0{ItT|llP8z
zy`I|99d_j1W|4y8f@v#PU)M-|{yipg;wH`c3P)~im1bT(;oK>+{P|}kRtit=-^ucv
zSNp&m&A<0r9vn&L_IC+)+@SyN{)Py*g(ri9-Q=g{Jla_)#(cK4O1Z2{?&UNMDQStN
z`<#~aukg~Gpv@zC&G28|u|Ga@%!C*+TQ$3*Z7SU-*a_9e8+m#jef~BjRB6d;=Y3aZ
zFP~<6v{dm}%DL)wm8s2YJAO|)JGn->$HXT|@zsX^@pEUtP@8z=#9V>W(7;#QJTkgi
zM9SALiWijK`FVNN)jQYk&z^g^HvQhZNQ-rCab5OC8*83yo)La(ds&qA)(EyKZ+}}Y
zSY^K>WU}k0{j=U^hw#7HrmbOpYSR0oudbirD`!~!t<yy-lSjqsx7EtlCtI83)5Nk*
zDzKlv*t~U}$GUpKk7auzpRY3YpQW??&?bfFuWzgfXg<g(#;9s`V%O%Mp7I<oigaul
zzPF~{NpV%W89Tu<<)@(Mgi=p-=A}Xh*0sjA7db83!na$-b?^PUb6h*pO#L<Mw|qP$
zl2+2=HzTxLYpWisU%@kTot~tE_%)r+=iiL?I1_$k(}zDVoQ*xq`u0|8IjH@+vM<&8
zit~5vW~1mcJ6kH+H=Aeo-u<<{uw_}1o9L@9k?!=)3ye!A8h;7MIOcHQ^tP6b`Q^CC
zrRD#2b@ol#9jX<_)3!bSLV;>y?h6@PzB7_iTNYIR64aY^jeT~{is!|Za`!(k-D7Bb
zd-;8SRln@%5^4>sl7W#yXN_FfP80mOyVEQ2Cd2W?+wS>nl%3(@{&k)b<Aw`cb{6DK
z{~sGXW67-<4blC(H+;Ia;%)L|)MjOCu=vqn1_p*M1_lN`lxAgSUUCul$unoqc=wlk
z>Uj2)pVsgCe#TqR>#XltZO=1>r%&qZd+QoqF*Y;`((uzg<#Xob8ZVubXU=cl<fY?v
z?u3qasDVkSL4W~clENXj-oOfpOCA%r(FW#J8@!|Y7#JAVF`~~l7NsN>!>r{mDlCCo
zcgffYuXPMg&aBD`oQK%BI8r!T5>Bz`H0rc6FLdT%d?V1L(cC6*-C4otw!jn484Zdj
z{5@opHI55JO3q*oW_xyEilm}8b8*6{12Qv=l2cL>_<SU1B(v}Y?EkYTL=Vm33=9km
zOdx`Rfk6^E+~P9>GAfJx3rh5gQVVhtE1A(WF1+_7bt(e`gB&ZmkIPfij!tsqa#rMV
z`EJ`gE2U}Yx$uRv>oOKTe}CcKuB@Zqgj*%t?{O5rzka)Nzl$AX-V2?>V&}K*TCnJ5
z!PlmqbH)ZY%u~Ye@s`}SDNG8f{i7D3p=Pke#Vh9jQtPEslll{`U%$`4$b4GCY!T@x
zE9IXYag^WGa(3p9I@kDF`z8PFVmWsqJguPZ|7sDxipuke_uV~Qo3hF(83Mc+nM9az
zFN6Sv0wV(h!;(f23%V=<LlfGpI!Gx<)7!=ujJW66(M>_0oQ9dQr13cuk}066YILm@
zXhXmttsuOlaSu1LR`7r@x@PnqJV+zR^-CJ9gpf2t`}63!(R;WE-4Vi=x-(L8&<#NE
z`+*Dq;U$grB1i`4Rpg=TM9<zJT@Z&~lS9@C%IxTR(eoxkZ>T)7-lCL5bgdwz$hiZ=
z2jL}+d<sZf(enzrG3a>(WCX<Z?#RaIm8YZyc(byBq`4Wm8J03JFt95!Fz7M38W)!a
uxhJMqM&_F4hq?M|XQg>sR%Mt5CWV;>Tb3GzX8D>#CcA|v`V^-n+X4XAqLa@6

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl
new file mode 100644
index 0000000..21f0f34
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl
@@ -0,0 +1,167 @@
+# 
+# Synthesis run script generated by Vivado
+# 
+
+proc create_report { reportName command } {
+  set status "."
+  append status $reportName ".fail"
+  if { [file exists $status] } {
+    eval file delete [glob $status]
+  }
+  send_msg_id runtcl-4 info "Executing : $command"
+  set retval [eval catch { $command } msg]
+  if { $retval != 0 } {
+    set fp [open $status w]
+    close $fp
+    send_msg_id runtcl-5 warning "$msg"
+  }
+}
+set_param project.vivado.isBlockSynthRun true
+set_msg_config -msgmgr_mode ooc_run
+create_project -in_memory -part xc7z020clg484-1
+
+set_param project.singleFileAddWarning.threshold 0
+set_param project.compositeFile.enableAutoGeneration 0
+set_param synth.vivado.isSynthRun true
+set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info
+set_property webtalk.parent_dir /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.cache/wt [current_project]
+set_property parent.project_path /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.xpr [current_project]
+set_property XPM_LIBRARIES XPM_CDC [current_project]
+set_property default_lib xil_defaultlib [current_project]
+set_property target_language VHDL [current_project]
+set_property board_part em.avnet.com:zed:part0:1.3 [current_project]
+set_property ip_output_repo /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.cache/ip [current_project]
+set_property ip_cache_permissions {read write} [current_project]
+read_ip -quiet /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+set_property used_in_implementation false [get_files -all /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc]
+set_property used_in_implementation false [get_files -all /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc]
+set_property used_in_implementation false [get_files -all /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc]
+
+# Mark all dcp files as not used in implementation to prevent them from being
+# stitched into the results of this synthesis run. Any black boxes in the
+# design are intentionally left as such for best results. Dcp files will be
+# stitched into the design at a later time, either when this synthesis run is
+# opened, or when it is stitched into a dependent implementation run.
+foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
+  set_property used_in_implementation false $dcp
+}
+read_xdc dont_touch.xdc
+set_property used_in_implementation false [get_files dont_touch.xdc]
+
+set cached_ip [config_ip_cache -export -no_bom -use_project_ipc -dir /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1 -new_name clk_wiz_0 -ip [get_ips clk_wiz_0]]
+
+if { $cached_ip eq {} } {
+
+synth_design -top clk_wiz_0 -part xc7z020clg484-1 -mode out_of_context
+
+#---------------------------------------------------------
+# Generate Checkpoint/Stub/Simulation Files For IP Cache
+#---------------------------------------------------------
+# disable binary constraint mode for IPCache checkpoints
+set_param constraints.enableBinaryConstraints false
+
+catch {
+ write_checkpoint -force -noxdef -rename_prefix clk_wiz_0_ clk_wiz_0.dcp
+
+ set ipCachedFiles {}
+ write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.v
+ lappend ipCachedFiles clk_wiz_0_stub.v
+
+ write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.vhdl
+ lappend ipCachedFiles clk_wiz_0_stub.vhdl
+
+ write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.v
+ lappend ipCachedFiles clk_wiz_0_sim_netlist.v
+
+ write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.vhdl
+ lappend ipCachedFiles clk_wiz_0_sim_netlist.vhdl
+
+ config_ip_cache -add -dcp clk_wiz_0.dcp -move_files $ipCachedFiles -use_project_ipc -ip [get_ips clk_wiz_0]
+}
+
+rename_ref -prefix_all clk_wiz_0_
+
+# disable binary constraint mode for synth run checkpoints
+set_param constraints.enableBinaryConstraints false
+write_checkpoint -force -noxdef clk_wiz_0.dcp
+create_report "clk_wiz_0_synth_1_synth_report_utilization_0" "report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb"
+
+if { [catch {
+  file copy -force /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
+} _RESULT ] } { 
+  send_msg_id runtcl-3 error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
+  error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
+}
+
+if { [catch {
+  write_verilog -force -mode synth_stub /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
+}
+
+if { [catch {
+  write_vhdl -force -mode synth_stub /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
+}
+
+if { [catch {
+  write_verilog -force -mode funcsim /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
+}
+
+if { [catch {
+  write_vhdl -force -mode funcsim /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
+}
+
+
+} else {
+
+
+if { [catch {
+  file copy -force /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
+} _RESULT ] } { 
+  send_msg_id runtcl-3 error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
+  error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
+}
+
+if { [catch {
+  file rename -force /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_stub.v /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
+}
+
+if { [catch {
+  file rename -force /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_stub.vhdl /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
+}
+
+if { [catch {
+  file rename -force /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_sim_netlist.v /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
+}
+
+if { [catch {
+  file rename -force /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_sim_netlist.vhdl /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
+}
+
+}; # end if cached_ip 
+
+if {[file isdir /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0]} {
+  catch { 
+    file copy -force /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0
+  }
+}
+
+if {[file isdir /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0]} {
+  catch { 
+    file copy -force /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.ip_user_files/ip/clk_wiz_0
+  }
+}
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.vds b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
new file mode 100644
index 0000000..dae59a9
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
@@ -0,0 +1,323 @@
+#-----------------------------------------------------------
+# Vivado v2017.4 (64-bit)
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+# Start of session at: Mon Dec 10 14:54:34 2018
+# Process ID: 4346
+# Current directory: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1
+# Command line: vivado -log clk_wiz_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
+# Log file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
+# Journal file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.jou
+#-----------------------------------------------------------
+source clk_wiz_0.tcl -notrace
+Command: synth_design -top clk_wiz_0 -part xc7z020clg484-1 -mode out_of_context
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z020'
+INFO: Launching helper process for spawning children vivado processes
+INFO: Helper process launched with PID 4354 
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1279.297 ; gain = 85.000 ; free physical = 15078 ; free virtual = 17106
+---------------------------------------------------------------------------------
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v:70]
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0_clk_wiz' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68]
+INFO: [Synth 8-638] synthesizing module 'IBUF' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:19468]
+	Parameter CAPACITANCE bound to: DONT_CARE - type: string 
+	Parameter IBUF_DELAY_VALUE bound to: 0 - type: string 
+	Parameter IBUF_LOW_PWR bound to: TRUE - type: string 
+	Parameter IFD_DELAY_VALUE bound to: AUTO - type: string 
+	Parameter IOSTANDARD bound to: DEFAULT - type: string 
+INFO: [Synth 8-256] done synthesizing module 'IBUF' (1#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:19468]
+INFO: [Synth 8-638] synthesizing module 'MMCME2_ADV' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:25757]
+	Parameter BANDWIDTH bound to: OPTIMIZED - type: string 
+	Parameter CLKFBOUT_MULT_F bound to: 9.125000 - type: float 
+	Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKIN1_PERIOD bound to: 10.000000 - type: float 
+	Parameter CLKIN2_PERIOD bound to: 0.000000 - type: float 
+	Parameter CLKOUT0_DIVIDE_F bound to: 36.500000 - type: float 
+	Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT0_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT1_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT1_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT2_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT2_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT3_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT3_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT4_CASCADE bound to: FALSE - type: string 
+	Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT4_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT4_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT5_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT5_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT6_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT6_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter COMPENSATION bound to: ZHOLD - type: string 
+	Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 
+	Parameter IS_CLKINSEL_INVERTED bound to: 1'b0 
+	Parameter IS_PSEN_INVERTED bound to: 1'b0 
+	Parameter IS_PSINCDEC_INVERTED bound to: 1'b0 
+	Parameter IS_PWRDWN_INVERTED bound to: 1'b0 
+	Parameter IS_RST_INVERTED bound to: 1'b0 
+	Parameter REF_JITTER1 bound to: 0.010000 - type: float 
+	Parameter REF_JITTER2 bound to: 0.010000 - type: float 
+	Parameter SS_EN bound to: FALSE - type: string 
+	Parameter SS_MODE bound to: CENTER_HIGH - type: string 
+	Parameter SS_MOD_PERIOD bound to: 10000 - type: integer 
+	Parameter STARTUP_WAIT bound to: FALSE - type: string 
+INFO: [Synth 8-256] done synthesizing module 'MMCME2_ADV' (2#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:25757]
+INFO: [Synth 8-638] synthesizing module 'BUFG' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:607]
+INFO: [Synth 8-256] done synthesizing module 'BUFG' (3#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:607]
+INFO: [Synth 8-256] done synthesizing module 'clk_wiz_0_clk_wiz' (4#1) [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68]
+INFO: [Synth 8-256] done synthesizing module 'clk_wiz_0' (5#1) [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v:70]
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1320.828 ; gain = 126.531 ; free physical = 15091 ; free virtual = 17121
+---------------------------------------------------------------------------------
+
+Report Check Netlist: 
++------+------------------+-------+---------+-------+------------------+
+|      |Item              |Errors |Warnings |Status |Description       |
++------+------------------+-------+---------+-------+------------------+
+|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
++------+------------------+-------+---------+-------+------------------+
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 1320.828 ; gain = 126.531 ; free physical = 15090 ; free virtual = 17120
+---------------------------------------------------------------------------------
+INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Device 21-403] Loading part xc7z020clg484-1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst'
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/clk_wiz_0_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/clk_wiz_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+INFO: [Timing 38-2] Deriving generated clocks
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc]
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc]
+Completed Processing XDC Constraints
+
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1583.059 ; gain = 0.000 ; free physical = 14815 ; free virtual = 16850
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:26 ; elapsed = 00:00:55 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14878 ; free virtual = 16913
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7z020clg484-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:26 ; elapsed = 00:00:55 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14878 ; free virtual = 16913
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property DONT_TOUCH = true for inst. (constraint file  /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc, line 9).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:56 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14879 ; free virtual = 16915
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:26 ; elapsed = 00:00:56 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14878 ; free virtual = 16914
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Hierarchical Component Statistics 
+---------------------------------------------------------------------------------
+Hierarchical RTL Component report 
+---------------------------------------------------------------------------------
+Finished RTL Hierarchical Component Statistics
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 220 (col length:60)
+BRAMs: 280 (col length: RAMB18 60 RAMB36 30)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:26 ; elapsed = 00:00:56 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14878 ; free virtual = 16914
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:33 ; elapsed = 00:01:07 . Memory (MB): peak = 1619.059 ; gain = 424.762 ; free physical = 14729 ; free virtual = 16771
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:33 ; elapsed = 00:01:07 . Memory (MB): peak = 1619.059 ; gain = 424.762 ; free physical = 14729 ; free virtual = 16771
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:33 ; elapsed = 00:01:07 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14727 ; free virtual = 16769
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+
+Report Check Netlist: 
++------+------------------+-------+---------+-------+------------------+
+|      |Item              |Errors |Warnings |Status |Description       |
++------+------------------+-------+---------+-------+------------------+
+|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
++------+------------------+-------+---------+-------+------------------+
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+Report BlackBoxes: 
++-+--------------+----------+
+| |BlackBox name |Instances |
++-+--------------+----------+
++-+--------------+----------+
+
+Report Cell Usage: 
++------+-----------+------+
+|      |Cell       |Count |
++------+-----------+------+
+|1     |BUFG       |     2|
+|2     |MMCME2_ADV |     1|
+|3     |IBUF       |     1|
++------+-----------+------+
+
+Report Instance Areas: 
++------+---------+------------------+------+
+|      |Instance |Module            |Cells |
++------+---------+------------------+------+
+|1     |top      |                  |     4|
+|2     |  inst   |clk_wiz_0_clk_wiz |     4|
++------+---------+------------------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 0 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:23 ; elapsed = 00:00:31 . Memory (MB): peak = 1628.074 ; gain = 171.547 ; free physical = 14785 ; free virtual = 16827
+Synthesis Optimization Complete : Time (s): cpu = 00:00:34 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.082 ; gain = 433.777 ; free physical = 14785 ; free virtual = 16827
+INFO: [Project 1-571] Translating synthesized netlist
+INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+INFO: [Common 17-83] Releasing license: Synthesis
+25 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:35 ; elapsed = 00:01:09 . Memory (MB): peak = 1635.074 ; gain = 465.602 ; free physical = 14793 ; free virtual = 16835
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated.
+INFO: [Coretcl 2-1482] Added synthesis output to IP cache for IP /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb
+report_utilization: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.22 . Memory (MB): peak = 1639.074 ; gain = 0.000 ; free physical = 14792 ; free virtual = 16835
+INFO: [Common 17-206] Exiting Vivado at Mon Dec 10 14:55:56 2018...
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb
new file mode 100644
index 0000000000000000000000000000000000000000..baf013dca7eec96db9bec2d126b5dc01e4495b60
GIT binary patch
literal 224
zcmd;LGcqtV*E5;KtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp-
z<zZl8V3^CxaN)fBQ(h4Jtq_RZE6i}g-@R1?#O{>@k#{8-KD4_nl>)KX$}=!D*#DJh
zVDNB0s=&a&V1HH>BtBP-fq}>QsTzpgYXl<i8Zj`$I4?B@vDaEKFfcg$1(8liEg2Y2
tI83tQa0+#EcMb{iIco<pa;`lCLxaOpdj^IRj&EH+n)bSaL|WZIYyh5tIZFTl

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt
new file mode 100644
index 0000000..a68759d
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt
@@ -0,0 +1,170 @@
+Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+-------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+| Date         : Mon Dec 10 14:55:55 2018
+| Host         : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+| Command      : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb
+| Design       : clk_wiz_0
+| Device       : 7z020clg484-1
+| Design State : Synthesized
+-------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Memory
+3. DSP
+4. IO and GT Specific
+5. Clocking
+6. Specific Feature
+7. Primitives
+8. Black Boxes
+9. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++-------------------------+------+-------+-----------+-------+
+|        Site Type        | Used | Fixed | Available | Util% |
++-------------------------+------+-------+-----------+-------+
+| Slice LUTs*             |    0 |     0 |     53200 |  0.00 |
+|   LUT as Logic          |    0 |     0 |     53200 |  0.00 |
+|   LUT as Memory         |    0 |     0 |     17400 |  0.00 |
+| Slice Registers         |    0 |     0 |    106400 |  0.00 |
+|   Register as Flip Flop |    0 |     0 |    106400 |  0.00 |
+|   Register as Latch     |    0 |     0 |    106400 |  0.00 |
+| F7 Muxes                |    0 |     0 |     26600 |  0.00 |
+| F8 Muxes                |    0 |     0 |     13300 |  0.00 |
++-------------------------+------+-------+-----------+-------+
+* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 0     |          Yes |           - |          Set |
+| 0     |          Yes |           - |        Reset |
+| 0     |          Yes |         Set |            - |
+| 0     |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Memory
+---------
+
++----------------+------+-------+-----------+-------+
+|    Site Type   | Used | Fixed | Available | Util% |
++----------------+------+-------+-----------+-------+
+| Block RAM Tile |    0 |     0 |       140 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |       140 |  0.00 |
+|   RAMB18       |    0 |     0 |       280 |  0.00 |
++----------------+------+-------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+3. DSP
+------
+
++-----------+------+-------+-----------+-------+
+| Site Type | Used | Fixed | Available | Util% |
++-----------+------+-------+-----------+-------+
+| DSPs      |    0 |     0 |       220 |  0.00 |
++-----------+------+-------+-----------+-------+
+
+
+4. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+-----------+-------+
+|          Site Type          | Used | Fixed | Available | Util% |
++-----------------------------+------+-------+-----------+-------+
+| Bonded IOB                  |    1 |     0 |       200 |  0.50 |
+| Bonded IPADs                |    0 |     0 |         2 |  0.00 |
+| Bonded IOPADs               |    0 |     0 |       130 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |         4 |  0.00 |
+| PHASER_REF                  |    0 |     0 |         4 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |        16 |  0.00 |
+| IN_FIFO                     |    0 |     0 |        16 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |         4 |  0.00 |
+| IBUFDS                      |    0 |     0 |       192 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |        16 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |        16 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |       200 |  0.00 |
+| ILOGIC                      |    0 |     0 |       200 |  0.00 |
+| OLOGIC                      |    0 |     0 |       200 |  0.00 |
++-----------------------------+------+-------+-----------+-------+
+
+
+5. Clocking
+-----------
+
++------------+------+-------+-----------+-------+
+|  Site Type | Used | Fixed | Available | Util% |
++------------+------+-------+-----------+-------+
+| BUFGCTRL   |    2 |     0 |        32 |  6.25 |
+| BUFIO      |    0 |     0 |        16 |  0.00 |
+| MMCME2_ADV |    1 |     0 |         4 | 25.00 |
+| PLLE2_ADV  |    0 |     0 |         4 |  0.00 |
+| BUFMRCE    |    0 |     0 |         8 |  0.00 |
+| BUFHCE     |    0 |     0 |        72 |  0.00 |
+| BUFR       |    0 |     0 |        16 |  0.00 |
++------------+------+-------+-----------+-------+
+
+
+6. Specific Feature
+-------------------
+
++-------------+------+-------+-----------+-------+
+|  Site Type  | Used | Fixed | Available | Util% |
++-------------+------+-------+-----------+-------+
+| BSCANE2     |    0 |     0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |         2 |  0.00 |
+| STARTUPE2   |    0 |     0 |         1 |  0.00 |
+| XADC        |    0 |     0 |         1 |  0.00 |
++-------------+------+-------+-----------+-------+
+
+
+7. Primitives
+-------------
+
++------------+------+---------------------+
+|  Ref Name  | Used | Functional Category |
++------------+------+---------------------+
+| BUFG       |    2 |               Clock |
+| MMCME2_ADV |    1 |               Clock |
+| IBUF       |    1 |                  IO |
++------------+------+---------------------+
+
+
+8. Black Boxes
+--------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
+9. Instantiated Netlists
+------------------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc
new file mode 100644
index 0000000..29c43df
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc
@@ -0,0 +1,32 @@
+# This file is automatically generated.
+# It contains project source information necessary for synthesis and implementation.
+
+# IP: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+# IP: The module: 'clk_wiz_0' is the root of the design. Do not add the DONT_TOUCH constraint.
+
+# XDC: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+set_property DONT_TOUCH TRUE [get_cells inst -quiet] -quiet
+
+# XDC: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property DONT_TOUCH TRUE [get_cells inst -quiet] -quiet
+
+# XDC: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property DONT_TOUCH TRUE [get_cells inst -quiet] -quiet
+
+# IP: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+# IP: The module: 'clk_wiz_0' is the root of the design. Do not add the DONT_TOUCH constraint.
+
+# XDC: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property DONT_TOUCH TRUE [get_cells inst -quiet] -quiet
+
+# XDC: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property DONT_TOUCH TRUE [get_cells inst -quiet] -quiet
+
+# XDC: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property DONT_TOUCH TRUE [get_cells inst -quiet] -quiet
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/gen_run.xml b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/gen_run.xml
new file mode 100644
index 0000000..40de9bb
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/gen_run.xml
@@ -0,0 +1,44 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="clk_wiz_0_synth_1" LaunchPart="xc7z020clg484-1" LaunchTime="1544450072">
+  <File Type="VDS-TIMING-PB" Name="clk_wiz_0_timing_summary_synth.pb"/>
+  <File Type="VDS-TIMINGSUMMARY" Name="clk_wiz_0_timing_summary_synth.rpt"/>
+  <File Type="RDS-DCP" Name="clk_wiz_0.dcp"/>
+  <File Type="REPORTS-TCL" Name="clk_wiz_0_reports.tcl"/>
+  <File Type="PA-TCL" Name="clk_wiz_0.tcl"/>
+  <File Type="RDS-RDS" Name="clk_wiz_0.vds"/>
+  <File Type="RDS-PROPCONSTRS" Name="clk_wiz_0_drc_synth.rpt"/>
+  <File Type="RDS-UTIL" Name="clk_wiz_0_utilization_synth.rpt"/>
+  <File Type="RDS-UTIL-PB" Name="clk_wiz_0_utilization_synth.pb"/>
+  <FileSet Name="sources" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0">
+    <File Path="$PSRCDIR/sources_1/ip/clk_wiz_0/clk_wiz_0.xci">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="TopModule" Val="clk_wiz_0"/>
+      <Option Name="UseBlackboxStub" Val="1"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0">
+    <File Path="$PSRCDIR/sources_1/ip/clk_wiz_0/clk_wiz_0.xci">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="TopModule" Val="clk_wiz_0"/>
+      <Option Name="UseBlackboxStub" Val="1"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2017">
+      <Desc>Vivado Synthesis Defaults</Desc>
+    </StratHandle>
+    <Step Id="synth_design"/>
+  </Strategy>
+</GenRun>
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/htr.txt b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/htr.txt
new file mode 100644
index 0000000..6b4f131
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/htr.txt
@@ -0,0 +1,9 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+#
+
+vivado -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/project.wdf b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/project.wdf
new file mode 100644
index 0000000..48c6094
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/project.wdf
@@ -0,0 +1,32 @@
+version:1
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00
+70726f6a656374:69705f636f72655f636f6e7461696e65725c636c6b5f77697a5f76355f345f335c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00
+5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3365323635656537656334333435613361386236663062376632653131373135:506172656e742050412070726f6a656374204944:00
+eof:210537709
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/rundef.js b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/rundef.js
new file mode 100644
index 0000000..0956152
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/rundef.js
@@ -0,0 +1,40 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.4/bin;";
+} else {
+  PathVal = "/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.4/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+ISEStep( "vivado",
+         "-log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl" );
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.bat b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.bat
new file mode 100644
index 0000000..220ba68
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.bat
@@ -0,0 +1,11 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.log b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.log
new file mode 100644
index 0000000..e877a7f
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.log
@@ -0,0 +1,322 @@
+
+*** Running vivado
+    with args -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
+
+
+****** Vivado v2017.4 (64-bit)
+  **** SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+  **** IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+    ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+
+source clk_wiz_0.tcl -notrace
+Command: synth_design -top clk_wiz_0 -part xc7z020clg484-1 -mode out_of_context
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z020'
+INFO: Launching helper process for spawning children vivado processes
+INFO: Helper process launched with PID 4354 
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1279.297 ; gain = 85.000 ; free physical = 15078 ; free virtual = 17106
+---------------------------------------------------------------------------------
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v:70]
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0_clk_wiz' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68]
+INFO: [Synth 8-638] synthesizing module 'IBUF' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:19468]
+	Parameter CAPACITANCE bound to: DONT_CARE - type: string 
+	Parameter IBUF_DELAY_VALUE bound to: 0 - type: string 
+	Parameter IBUF_LOW_PWR bound to: TRUE - type: string 
+	Parameter IFD_DELAY_VALUE bound to: AUTO - type: string 
+	Parameter IOSTANDARD bound to: DEFAULT - type: string 
+INFO: [Synth 8-256] done synthesizing module 'IBUF' (1#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:19468]
+INFO: [Synth 8-638] synthesizing module 'MMCME2_ADV' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:25757]
+	Parameter BANDWIDTH bound to: OPTIMIZED - type: string 
+	Parameter CLKFBOUT_MULT_F bound to: 9.125000 - type: float 
+	Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKIN1_PERIOD bound to: 10.000000 - type: float 
+	Parameter CLKIN2_PERIOD bound to: 0.000000 - type: float 
+	Parameter CLKOUT0_DIVIDE_F bound to: 36.500000 - type: float 
+	Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT0_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT1_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT1_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT2_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT2_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT3_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT3_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT4_CASCADE bound to: FALSE - type: string 
+	Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT4_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT4_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT5_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT5_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer 
+	Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: float 
+	Parameter CLKOUT6_PHASE bound to: 0.000000 - type: float 
+	Parameter CLKOUT6_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter COMPENSATION bound to: ZHOLD - type: string 
+	Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 
+	Parameter IS_CLKINSEL_INVERTED bound to: 1'b0 
+	Parameter IS_PSEN_INVERTED bound to: 1'b0 
+	Parameter IS_PSINCDEC_INVERTED bound to: 1'b0 
+	Parameter IS_PWRDWN_INVERTED bound to: 1'b0 
+	Parameter IS_RST_INVERTED bound to: 1'b0 
+	Parameter REF_JITTER1 bound to: 0.010000 - type: float 
+	Parameter REF_JITTER2 bound to: 0.010000 - type: float 
+	Parameter SS_EN bound to: FALSE - type: string 
+	Parameter SS_MODE bound to: CENTER_HIGH - type: string 
+	Parameter SS_MOD_PERIOD bound to: 10000 - type: integer 
+	Parameter STARTUP_WAIT bound to: FALSE - type: string 
+INFO: [Synth 8-256] done synthesizing module 'MMCME2_ADV' (2#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:25757]
+INFO: [Synth 8-638] synthesizing module 'BUFG' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:607]
+INFO: [Synth 8-256] done synthesizing module 'BUFG' (3#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:607]
+INFO: [Synth 8-256] done synthesizing module 'clk_wiz_0_clk_wiz' (4#1) [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68]
+INFO: [Synth 8-256] done synthesizing module 'clk_wiz_0' (5#1) [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v:70]
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1320.828 ; gain = 126.531 ; free physical = 15091 ; free virtual = 17121
+---------------------------------------------------------------------------------
+
+Report Check Netlist: 
++------+------------------+-------+---------+-------+------------------+
+|      |Item              |Errors |Warnings |Status |Description       |
++------+------------------+-------+---------+-------+------------------+
+|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
++------+------------------+-------+---------+-------+------------------+
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 1320.828 ; gain = 126.531 ; free physical = 15090 ; free virtual = 17120
+---------------------------------------------------------------------------------
+INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Device 21-403] Loading part xc7z020clg484-1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst'
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/clk_wiz_0_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/clk_wiz_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+INFO: [Timing 38-2] Deriving generated clocks
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc]
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc]
+Completed Processing XDC Constraints
+
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1583.059 ; gain = 0.000 ; free physical = 14815 ; free virtual = 16850
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:26 ; elapsed = 00:00:55 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14878 ; free virtual = 16913
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7z020clg484-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:26 ; elapsed = 00:00:55 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14878 ; free virtual = 16913
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property DONT_TOUCH = true for inst. (constraint file  /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/dont_touch.xdc, line 9).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:56 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14879 ; free virtual = 16915
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:26 ; elapsed = 00:00:56 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14878 ; free virtual = 16914
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Hierarchical Component Statistics 
+---------------------------------------------------------------------------------
+Hierarchical RTL Component report 
+---------------------------------------------------------------------------------
+Finished RTL Hierarchical Component Statistics
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 220 (col length:60)
+BRAMs: 280 (col length: RAMB18 60 RAMB36 30)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:26 ; elapsed = 00:00:56 . Memory (MB): peak = 1583.059 ; gain = 388.762 ; free physical = 14878 ; free virtual = 16914
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:33 ; elapsed = 00:01:07 . Memory (MB): peak = 1619.059 ; gain = 424.762 ; free physical = 14729 ; free virtual = 16771
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:33 ; elapsed = 00:01:07 . Memory (MB): peak = 1619.059 ; gain = 424.762 ; free physical = 14729 ; free virtual = 16771
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:33 ; elapsed = 00:01:07 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14727 ; free virtual = 16769
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+
+Report Check Netlist: 
++------+------------------+-------+---------+-------+------------------+
+|      |Item              |Errors |Warnings |Status |Description       |
++------+------------------+-------+---------+-------+------------------+
+|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
++------+------------------+-------+---------+-------+------------------+
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+Report BlackBoxes: 
++-+--------------+----------+
+| |BlackBox name |Instances |
++-+--------------+----------+
++-+--------------+----------+
+
+Report Cell Usage: 
++------+-----------+------+
+|      |Cell       |Count |
++------+-----------+------+
+|1     |BUFG       |     2|
+|2     |MMCME2_ADV |     1|
+|3     |IBUF       |     1|
++------+-----------+------+
+
+Report Instance Areas: 
++------+---------+------------------+------+
+|      |Instance |Module            |Cells |
++------+---------+------------------+------+
+|1     |top      |                  |     4|
+|2     |  inst   |clk_wiz_0_clk_wiz |     4|
++------+---------+------------------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:33 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.074 ; gain = 433.777 ; free physical = 14729 ; free virtual = 16770
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 0 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:23 ; elapsed = 00:00:31 . Memory (MB): peak = 1628.074 ; gain = 171.547 ; free physical = 14785 ; free virtual = 16827
+Synthesis Optimization Complete : Time (s): cpu = 00:00:34 ; elapsed = 00:01:08 . Memory (MB): peak = 1628.082 ; gain = 433.777 ; free physical = 14785 ; free virtual = 16827
+INFO: [Project 1-571] Translating synthesized netlist
+INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+INFO: [Common 17-83] Releasing license: Synthesis
+25 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:35 ; elapsed = 00:01:09 . Memory (MB): peak = 1635.074 ; gain = 465.602 ; free physical = 14793 ; free virtual = 16835
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated.
+INFO: [Coretcl 2-1482] Added synthesis output to IP cache for IP /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb
+report_utilization: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.22 . Memory (MB): peak = 1639.074 ; gain = 0.000 ; free physical = 14792 ; free virtual = 16835
+INFO: [Common 17-206] Exiting Vivado at Mon Dec 10 14:55:56 2018...
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.sh b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.sh
new file mode 100755
index 0000000..29bd58a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/runme.sh
@@ -0,0 +1,39 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.4/bin
+else
+  PATH=/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.4/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64
+else
+  LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+EAStep vivado -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.jou b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.jou
new file mode 100644
index 0000000..d2f35ee
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.jou
@@ -0,0 +1,12 @@
+#-----------------------------------------------------------
+# Vivado v2017.4 (64-bit)
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+# Start of session at: Mon Dec 10 14:54:34 2018
+# Process ID: 4346
+# Current directory: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1
+# Command line: vivado -log clk_wiz_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
+# Log file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
+# Journal file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.jou
+#-----------------------------------------------------------
+source clk_wiz_0.tcl -notrace
diff --git a/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.pb b/game_of_life_v2/game_of_life_v2.runs/clk_wiz_0_synth_1/vivado.pb
new file mode 100644
index 0000000000000000000000000000000000000000..3961889c71e46d64d063c009a8f9bdec8a6ff294
GIT binary patch
literal 36850
zcmd<;X5`{=&d<$F%uBISP%Y*XV3A@nHP+%R%PdPw$uCLHF^b}HE3V8d$%s!$EzV5O
zQ_wBRFHlI%$&N42tco{K&@D(TDp9CNHm@=;GDyxzH?c6$HB`{e%}+^H$S*C4&rgd_
z&d)1Jttc^KPf1NnEX^sgf-$04O>_+njWZYuSSna1Ffs@*aanPR1(zfim1O3nD<E47
zau~Cri6xTDK)Q{M43TxuW8`vhEGbFNEdU!(lCO}STB4Askdv95npd2vkd|Mhkd~TQ
zQd*R%psrf1u8^3QqMu)+kdj)KnG6vETV!ltp~aS*pPQSXXC%wT84U7&YH?;UrWcH)
zx!9qe$CQj>Gc?yVHZezbVjm-yfqQ-lX-;C5Vm3B3prVs3GZ+e3D_9|6ewC4nNwrvj
zM~f94;zoNIxt24+V<9NSN5M5GF)6<&u_QA;Pr*tdBr`WvL8DmHN+G$RRKZrkz`zQG
z%oVH^Qgaduic?b%f))yT3cjhi`9+lq8oo}NRtg2FiP;La3Wi4JmU>2(AU)}cnRyDf
z3Kph%1_lNS)(UAwsi_JD8I{GE$%#22rKSev7BKO$%%YM~h_Jb#ff*NOpl2`?@Ko?X
zeD;x%O9Jet)Z)x4P?E~cPbtlTM6>{_6tkJJg%)dmVsT|LD4OAk8q-jtDy|g$jQreG
z{oI_)f>iyK)H40Fg7n1rf};Ga)Z`NV^u*j$P+HH)OiPU~GeYO<6&EEJ>lf#j7A2<^
z#~bQr7U;umL$LJ91Q@Z{Ey2ZPZXm#fDPzItz!=49p=)MrfgGPrOho%yl}ixrj(8{w
z(;lNHMy?#%dmrWi+`(XGK|(MbB0lmZxL7=$Lfx?V+?UH-Kfj<vKO!?HGp|BF3|uVg
z8yOgy>zU{mCl_TFloab1mFTA=mL%$z=4BRV=Ef)I=N91bgESYbp`{5)-be>$PA*vH
z^yjkX3`i_W%uOvxEmClH3~+Sz3~}^xc2!8qFU?C)D9N`{aPjvGiFbAka#he(D5)$+
zwNfZ9DFP*b?Ae8@f(w#eQc$h(;j%!o3KT%`F0MX~k?~=UKB36=8IWjCGO9h^T;}NZ
z`1ps%2ZRS9`6(nQlvMAep<3g|Wrb{wn+p!#IEIG!ljtG*0p}ka;^^n%803QF9T!(O
z$55XT67BiR$fcT+pO*@$8nF~=3L2`#%Bsbhpu)|_)C^X*;ZEP8T#SZTl06RL0muzT
zre?@x+X><`wHz0hudlPOt5Lk8OBfbEQr|O1rsk&RB$QC8s0q}U%MvMpIyw5egnPP#
zcp#-3|9}urU(YC47ZTG=CaOh&T((FSIs16KIr)c%#QTQ&gv7fcS!bzdXk-eilwrk4
zT26jqi2{LABm>p504^JBmIZh?2BVZApxU2gyK+$N3gL3VW>;viYrLDMpKE+TFp}@w
z9DRaGEm8>i&C|~?KEO4|(;qpn8yb-1H~a;^kGH3v5vE<_S(S|%jKN&?sKMwT8e$Od
z;u+@Y;);?$jLq~w>B9g~0T7i!2*n!2y3mlwc;`rGAJkMrj(vne5^NuJ5+qR}Nxk%l
zw<dM=@%9f5F@#1OQpz`k7ay5<C8_DDMTD|65fNq>??TrIGmNKOgc-)uHNuSO6JbVl
zjxZzoMVJwNBg~jS5oS#12s5T%gc(yY!iXsBP2!y$gPk2+kZTrlS_4Fsz9#fZ8zyv4
z8z%Hi8zxjt8$?8yDSaZ$l+F=mO1}s*rEi3p(I>*p=p12Y^ouYvDn=OIkq~Ep-vC#?
zV8;+oe?O$=Xq1P)52-!cB-9>@7nd1QkHy6^%-P4A3h5#S)fN{n10-8KgX5h+y|7?c
zpLkEdFxQ|ESCn3#dXfR5c69`*`3_t<sOAR*yZVu9HX*kM1bg~9ySO@&Ykm}}+nu=d
z(A*v#<PuJ{>%&n^x98GEH9aUegj|Q?9d!wEb&L1%3<+@!GDJ$D26_gD1|$zo5NVMS
z#TLb)29_(AAyQxk2gkc2=QeU0I(U5&931cKkJ6TMcJ&K!4T|^hboYSwG>IF5PDAwx
z>X0qOBFr%l)Tkk{ON+Ol3J!4$3JDE}4|ntoL5dx6g07i~ydhgcqnDywj7C@nHR(2R
zOK_|cZQ%9*@dLLKTr5tZZthroN97?~NiJqH15yTTf0IA{j>AWyT#Uw8JW8KvB<z2*
zNZd<iVkUB$QIw0(g!sT18k3S}A-IOj5R~QOgig=K8(<G2Q|!XnW_JelWHwrWT|--(
zu$Pf*1*2PLUS@GdYKj8UixWT#2rw2WSmIioU~FWdXJG`=16`b8Xk?~mYHSEwg@C#`
z!4fWxvO2-Y5c@<4e072gYGtRxCBYSxT998<qTrm7nw+iRms*mOSzKa8s1;d?YECSd
zr?xH_Kq)XAO2C9*w5~3U1*4$~L6kOj55VV(F)gl90D~IOlGI!<3r^Iy78T_e6)V()
zCl=*p=A{=a)C89#mXsDN)VQQVCelHxOCaiNNVXX2dME{QJPgBxU^KOTYzTI8O>SvU
zNoIUXQD#|cUVL6^NwESnEDWHuf`UO!Kw>dybxe&fNU;J$u>wT#VD)hwYT`=c3W5bJ
z)o21<zmHY|9Ao6#h*|>p7nEe?W>!JgCk13A7N;s0;$Ei&%GT&bfuRAFiURloCe)(9
z0J|UIMZv&_aw?auV_srTC3u;XYOz8ncrA-UYEEiyYF<e(c<EeGYC%q7GFX61fK`gg
z&|Hf>4^l`OVQ-H{u^L(G8iE$U!B?AQaT!6)4k=2^D^ANV%7sK6XxU6oYDsE}LS~+V
zYO#WIK&V1-YI1&FN-@|rBMTJUuvCL!+l)}wLWObh`{XC4fEK@i7b>b2gO)}Znwo2|
zK^FZPDRc2d7x2MWFJe}tQEWzrx+Vsom5OkGcynn66r~m<f*006LjfF0Ir-_C$qM;M
zX%ggoW@8geEq3T~u_#tUT~l)d<b@4(Tryk%Mfu68#l;}UMz}aDIOpdTmlP#t=9Pfg
zWilI?n4p+oWM+iCve1@G+A}Y+Br`DwvepfhC-Tx2QuER?^HL$p8ci%v3@|kVtpkLw
zy?n#Sr4o=>1h?NUGbdFcTD3S9?5*U~oE-3?Nw85CCKg)UkQJe&C7C(JMh%QyS#($;
zmY<)jSCNv8b)B3P7fWVdaftvYW&~O=MzI+g=vtV75(nJFUm3Y{;Y|tReQrJ^eGbZm
zaG$@UB&zAu@J`B4EJ~qXWPhhhWDgm?qeS;}N}{`lD~<LUn|ks5fhzGl_&tph!7G`$
zZZdl27C>riNPSvdkeZyCmYJ-OjHpKx%2SI#%iuvPMawfl<$F;oq;^CoQb+@dgUWq9
zg^-NY;#5=vGIMeil2R2i)ARC+Qd7WH18j+Pu|iU5i9$J4MJcGMo0+GOiQ+6h1<%}q
z#AHx4kFc^>A+ab`0o2)n)cz$IsYtE>SD!}4#_+n!sES@m60;^T(&v)ai^$ADtl9>x
z0WScBJtz%hY5>*sM#d<M7%jM@TvCfN%Rm)<dTL&3Q6e}va`Kb2!A%`TBP}*a?H$Ep
zY@rLP<>Aeaos3*!SgR^<wQOi^iCQ^Ta3xWcd5cQ(ijlkuj_G(q{gnK?lK7JR(&P+~
zr?J(>&>Gj!9HohIh>=Ske~k<E23qT4;Jty;aPZ(#bIu1PYf!x5X|;hpV`O3qPr2a4
zU<PVO!mHvsE=BC^;o#ET+{B_vD=ui8)zA=bjFBams$V`v8y%dvOJJ?))D%4|y$+BI
z3=KiMX5dNc2NPG3YBBn*4%K1><oz6~#R}MWa)8xAhe^O}^!*zMK}4Sjw9|-3irK^h
zv8ly`3#q}Y5SEyenF1-tf=csBKs!#X6fiTFkqj3HXzvMV2MQKhc`k04teye3kuVu9
z4ntE5V?6^?ODx(YxmdyLDX~i#nphZO8+4Q8Vl_0gFvZqC0qqAeHv;A7LDciRgVw)3
z%*eG4(Z5D?LXhJG*Crq%Gn7OF34Bvi97zWj^a|Dr&;(>`VWDSkW`u2=&BOxhE+8{Y
zLt`w-9C;Vez;~_(-UVT(!#H+aTHp;{3b4*`0B9FqVqOYpa~G&u^2~#G%&~_qd=PNp
z{pN-0HzO`Nn6E%xek=6NiG$uhuh25&MMkbYhztqy8=e@$IrcT0J>h##GZ+d6Q4+>n
zOaaNBjs*odm7x5nUYuG2ZfK?!l~k%LpbrmW)=-1Xe*<4gyhF=WR~fkuATm`Vap6p2
z#xkQ)y=Mul4-pM0Lo-W5Q|u+#AZl6+e6*7_d0;d^@eG=o0Jok|<Jn};$FnPH4Xn#0
z37g3TP0)gBs!Y({@nV8gnV8GIwBZw!(D^3BJf1FMDi6fO9!l^+9@FR=g&Gv+Dbxg|
z7UX0mL%JO`o_WP3iFwJX#R`O`BQcGpp{uE8^uT9MAJk-H!leMI^+2%#>R;#QrRJ4@
z#7Z)YOEQy-2~C6#ypOz5ePqlf?~+=Qn3)4zs(|nmsC}(KFgpyqhx}1JWX`1wAHEs7
zu{7|(8i^XLj$FEkl<$$5T9jCnoB=-m0+C`st0jg?Ho#0ZD4s%1IYp2)F9eG3fseCj
z)HrkI(nrY(C_cm%ZG+x}10Td5s6lMNB?HM8pcaHeP%7m76b0zO=%5eJW=NL<eso7R
zS2&7CimkX@f&+@J6pV}v6f~0aa};t?^U_N)tjr8FxtxL=eL+$ds8R|+j=oNY77Att
zV3x6&g0X?-pbrVmY7w4wP(ozLMD4(570fm#B&#?V<rfz#IDyWBNi3=a9dM=KSd^Nm
zfV@U((5IJy58l_1ybC`Q>k=c^Uc_(#F@ZeVhlF<6U=)E=H`<3(Ef#>+74}@(RO{$r
z78O)8df+o^5Neabic1Yr4#G!HK|`e>nYo}rRK#3XF@eN5@ctTj7d%5N0?#sX?LZWP
z1i}|*>1S+=F+*r*WnhkLq}0sN5;QrBm?1PVGQl}RXl{gcq}1G;&`SJ)5A1<=fg5T@
z(&LhXWF%<b8Erqn>M;mSpa8jrmU#{`a;-sR9xP!xnqeT}3MUB!@Mv>kw7CFJD@Y8I
zlMS?#OHIzm%g@PAuT=0&EGWp#ODAB^z!%s9?}D3Xh1>x~uGL6|97d2*t&B6W&@(VM
zL248j8|#^ygANl0PoE)g&oePMf{P<htC^cwVvpfLG<`Z+#*LP7NJSf*i9O-MXFBas
zTXO1L!jLk~(_g_euQ;^`G!;w0h=DI#2HpjMsKIE-r3wi~x17Y1l2p(hRt2ZjwEUt}
zh0%b8CrvPeK;jBP4Okm44ftsAXxM@S5D!5hY;nz?xMk)g=D>E8D>&z*CgznE5HM@t
zv*5tH0ME?DXprJarUa7JWwch~E=I1Uh*l$VSxRDi5$9bKW~8+jaSS32{yp5I2TF_{
zD3O{sdY}Y>0tj<;3Z!8dl$w_ao+ogJ@5zI&0VH7Lz*kfQ?}Eo@<@zZ`uC0i29be#%
zmh)&eDS^~BIxa9eE`XL|z+3{!2Iq3y)TGkP9Pk+_p~b013b2)Il?2Qi_#$WEUGM;{
z&^yk^wF$Y<!x6HhB_24zB8Wks)G#}OI2#QC`9&q83k-C1bqPc&fxS7Htub)Gj%FQ1
zt^u<Mgz~_bk-n(oeWqNBkgVg8n3s|RUYAo^T#}!w;8;>pl$lgok~*4s2xp#$Xcf;1
zMy}0>iU)Vlj%FWlra=&cKKo#9Z--<bEIlf})X|Cu6te{4^d4H?Im*bj0g-obgzIR=
z0fj6QCJ@L2U+>^*vV|9Ag0F{$U+b&@Id*r@d&m*BBGu#)g>AES%1KPlcFM0vCA`HJ
zvpB)G8ko=#z?i1hDAd4QqmY-Fo2o#<Mp{hMsOvULOoIeId_w?I;5&m(#tbb^Oi#tN
zp%cEQ1TsAbH^hKT2D+UYN`bQ%l#L_k@t6fYX}AXLAn>uo3N_C8rFkW|b}-{H3+4#0
ziy#C{2zx%kV^$6HjAYOm_z-774@Wk_v9lVVSw>(JY7keuLd`NH!z^QnS)i+p!7K&x
z&4L9EB&fh7Oo%{u;U3L{jXr~S@DR?~n43yrE&w|RL_h^VOc;g=;Ye-pMJ1Sq!%`dE
zl{LPQ+tr~#38KJBk?;n3Ov7QWg1Hj?6abJoObzz%#piNpdMwE=067vC>ku}Sg!s+`
zN20=KI5dGOD1Z*5RZvi<fgjutyWkv}%E&Mr=4Fug!5At4W<v?65TOVi`1%=t{~uQ^
zJ=zX~#xsl}ko=2K8x2uhZiseI8tfj0^30M91p|dt@EQF&3I+<vpf*x+Vva&N?6`i=
z;a~=EF1Diuk&hgL9x4al&jdbH4q-9!ZUP0w0e|Rc5JJw6Gs4_5Vqj%#h;z#b`fR_U
zxuKq^349eO>grGnQ*4LpSQwcT2-drhJ_Ou@M;N)*Gve?dXg4_MWOLj}7qpfY^-Ow0
zD+B!N87x4j<Ux<kGr_n1m0TY>aVdaLXwOLmx0b<2e}b-@PfY>MFoO<j1s}|6Y-#{M
zmlAZiq^Y?f^10^2^jvu=pZ;FTr5R8PzR^UrSRpg7EVZa4wMe5_Q=udubQFG0eqxG3
zL1vytv8Em<(3vbPw3zb?N<gRXV>xFMhj0{|v7xS^G3X>y_}QNWfBI-Bmsn70PHH0f
z*!i5y<kY<4R4WD00imo?OcuskY{~h#x%qiUvRs_-?1g#USrn_GxvmB1U{$!+jxln%
zs}?JOPFg9}0fi#$<`FQ*8CJl-M8R97Rf`p%_mrgOC4*YdsYRe;R0UY1n2ap6ILk82
z5>xU^l5>p2xR{Kv9sViG#YlXF;EE>}6I~NfCW4<48^<N3TC9M$AfdQ48FW!VT4_#B
zCFqP<CL>E^$0=~}fOBg+<bZxGp#`!5bPOrnhNpw#3|J*DK4gdEKk?NVTlhoIgEh1=
zz;>W3=(txiV^h%P0n9)#lICJJF*DUOGr)GS8t7D46LU*!mvw=p%q*}uCko4fueTVv
z6hbmmK?zb_wOCytBe7T^DK#}u0e%uRIEyoz85zRUz8n`<GU!U6g8a<95-ct+<w~T*
zDbMhRt6oZS0j9N4?1tu`@&uGr;koWKBbSb2N=j;q0yrj9i!+NA@=Hq!N=raxnP-4P
za$+**bnpBk1<wEl$kE0ohNfEV$@xX8pqxdgv%Qluv3Q8hNY~KB0+cb}{u$=cH3QO&
zVU=Pu(PAqq%>%_i4OfP1MQU;>xZ1Z;fSk`054z?9cE^q`_=tFT@<5i02S>YJQ9+4<
zZb6a)J~ahN*xOj}u-^?@8H#B=uEU274D<{^tvS?&6zFJZJtHHWtwm!?P;r3RO9Vdg
z8P+C5Z7W)Wnq1%=M#wvfER0RL1Xy5s75PS%21YIs*9ypBqiV52Vu^xkv7R0zdm9;;
z!L%4jaj}JgYZlBTX{5s?;hUeQ;F6lGU}&IVXkuk*YGrDsU}RuufvFl?-WVC695&C#
S#pRlpq7amtoL`h8zz6{0dooo3

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.Vivado_Implementation.queue.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.Vivado_Implementation.queue.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.Xil/Vivado-6105-VLSI-01/.lpr b/game_of_life_v2/game_of_life_v2.runs/impl_1/.Xil/Vivado-6105-VLSI-01/.lpr
new file mode 100644
index 0000000..93ac6ba
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/.Xil/Vivado-6105-VLSI-01/.lpr
@@ -0,0 +1,6 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2017.4 (64-bit)                     -->
+<!--                                                              -->
+<!-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.        -->
+
+<labtools version="1" minor="0"/>
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.Xil/Vivado-6105-VLSI-01/wt/project.wpc b/game_of_life_v2/game_of_life_v2.runs/impl_1/.Xil/Vivado-6105-VLSI-01/wt/project.wpc
new file mode 100644
index 0000000..834da22
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/.Xil/Vivado-6105-VLSI-01/wt/project.wpc
@@ -0,0 +1,3 @@
+version:1
+6d6f64655f636f756e7465727c42617463684d6f6465:1
+eof:
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.init_design.begin.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.init_design.begin.rst
new file mode 100644
index 0000000..3621a9a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/.init_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="mlipe" Host="" Pid="6105">
+    </Process>
+</ProcessHandle>
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.init_design.end.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.init_design.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.opt_design.begin.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.opt_design.begin.rst
new file mode 100644
index 0000000..3621a9a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/.opt_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="mlipe" Host="" Pid="6105">
+    </Process>
+</ProcessHandle>
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.opt_design.end.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.opt_design.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.place_design.begin.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.place_design.begin.rst
new file mode 100644
index 0000000..3621a9a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/.place_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="mlipe" Host="" Pid="6105">
+    </Process>
+</ProcessHandle>
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.place_design.end.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.place_design.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.route_design.begin.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.route_design.begin.rst
new file mode 100644
index 0000000..3621a9a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/.route_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="mlipe" Host="" Pid="6105">
+    </Process>
+</ProcessHandle>
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/.vivado.begin.rst b/game_of_life_v2/game_of_life_v2.runs/impl_1/.vivado.begin.rst
new file mode 100644
index 0000000..7d16eaf
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="mlipe" Host="" Pid="6067">
+    </Process>
+</ProcessHandle>
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/ISEWrap.js b/game_of_life_v2/game_of_life_v2.runs/impl_1/ISEWrap.js
new file mode 100755
index 0000000..8284d2d
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/ISEWrap.js
@@ -0,0 +1,244 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/ISEWrap.sh b/game_of_life_v2/game_of_life_v2.runs/impl_1/ISEWrap.sh
new file mode 100755
index 0000000..e1a8f5d
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/ISEWrap.sh
@@ -0,0 +1,63 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. 
+#
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+if [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+ISE_USER=$USER
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.tcl b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.tcl
new file mode 100644
index 0000000..27c9309
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.tcl
@@ -0,0 +1,170 @@
+# 
+# Report generation script generated by Vivado
+# 
+
+proc create_report { reportName command } {
+  set status "."
+  append status $reportName ".fail"
+  if { [file exists $status] } {
+    eval file delete [glob $status]
+  }
+  send_msg_id runtcl-4 info "Executing : $command"
+  set retval [eval catch { $command } msg]
+  if { $retval != 0 } {
+    set fp [open $status w]
+    close $fp
+    send_msg_id runtcl-5 warning "$msg"
+  }
+}
+proc start_step { step } {
+  set stopFile ".stop.rst"
+  if {[file isfile .stop.rst]} {
+    puts ""
+    puts "*** Halting run - EA reset detected ***"
+    puts ""
+    puts ""
+    return -code error
+  }
+  set beginFile ".$step.begin.rst"
+  set platform "$::tcl_platform(platform)"
+  set user "$::tcl_platform(user)"
+  set pid [pid]
+  set host ""
+  if { [string equal $platform unix] } {
+    if { [info exist ::env(HOSTNAME)] } {
+      set host $::env(HOSTNAME)
+    }
+  } else {
+    if { [info exist ::env(COMPUTERNAME)] } {
+      set host $::env(COMPUTERNAME)
+    }
+  }
+  set ch [open $beginFile w]
+  puts $ch "<?xml version=\"1.0\"?>"
+  puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">"
+  puts $ch "    <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">"
+  puts $ch "    </Process>"
+  puts $ch "</ProcessHandle>"
+  close $ch
+}
+
+proc end_step { step } {
+  set endFile ".$step.end.rst"
+  set ch [open $endFile w]
+  close $ch
+}
+
+proc step_failed { step } {
+  set endFile ".$step.error.rst"
+  set ch [open $endFile w]
+  close $ch
+}
+
+
+start_step init_design
+set ACTIVE_STEP init_design
+set rc [catch {
+  create_msg_db init_design.pb
+  create_project -in_memory -part xc7z020clg484-1
+  set_property board_part em.avnet.com:zed:part0:1.3 [current_project]
+  set_property design_mode GateLvl [current_fileset]
+  set_param project.singleFileAddWarning.threshold 0
+  set_property webtalk.parent_dir /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.cache/wt [current_project]
+  set_property parent.project_path /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.xpr [current_project]
+  set_property ip_output_repo /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.cache/ip [current_project]
+  set_property ip_cache_permissions {read write} [current_project]
+  set_property XPM_LIBRARIES XPM_CDC [current_project]
+  add_files -quiet /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.dcp
+  read_ip -quiet /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+  read_xdc /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc
+  link_design -top game_of_life -part xc7z020clg484-1
+  close_msg_db -file init_design.pb
+} RESULT]
+if {$rc} {
+  step_failed init_design
+  return -code error $RESULT
+} else {
+  end_step init_design
+  unset ACTIVE_STEP 
+}
+
+start_step opt_design
+set ACTIVE_STEP opt_design
+set rc [catch {
+  create_msg_db opt_design.pb
+  opt_design 
+  write_checkpoint -force game_of_life_opt.dcp
+  create_report "impl_1_opt_report_drc_0" "report_drc -file game_of_life_drc_opted.rpt -pb game_of_life_drc_opted.pb -rpx game_of_life_drc_opted.rpx"
+  close_msg_db -file opt_design.pb
+} RESULT]
+if {$rc} {
+  step_failed opt_design
+  return -code error $RESULT
+} else {
+  end_step opt_design
+  unset ACTIVE_STEP 
+}
+
+start_step place_design
+set ACTIVE_STEP place_design
+set rc [catch {
+  create_msg_db place_design.pb
+  implement_debug_core 
+  place_design 
+  write_checkpoint -force game_of_life_placed.dcp
+  create_report "impl_1_place_report_io_0" "report_io -file game_of_life_io_placed.rpt"
+  create_report "impl_1_place_report_utilization_0" "report_utilization -file game_of_life_utilization_placed.rpt -pb game_of_life_utilization_placed.pb"
+  create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file game_of_life_control_sets_placed.rpt"
+  close_msg_db -file place_design.pb
+} RESULT]
+if {$rc} {
+  step_failed place_design
+  return -code error $RESULT
+} else {
+  end_step place_design
+  unset ACTIVE_STEP 
+}
+
+start_step route_design
+set ACTIVE_STEP route_design
+set rc [catch {
+  create_msg_db route_design.pb
+  route_design 
+  write_checkpoint -force game_of_life_routed.dcp
+  create_report "impl_1_route_report_drc_0" "report_drc -file game_of_life_drc_routed.rpt -pb game_of_life_drc_routed.pb -rpx game_of_life_drc_routed.rpx"
+  create_report "impl_1_route_report_methodology_0" "report_methodology -file game_of_life_methodology_drc_routed.rpt -pb game_of_life_methodology_drc_routed.pb -rpx game_of_life_methodology_drc_routed.rpx"
+  create_report "impl_1_route_report_power_0" "report_power -file game_of_life_power_routed.rpt -pb game_of_life_power_summary_routed.pb -rpx game_of_life_power_routed.rpx"
+  create_report "impl_1_route_report_route_status_0" "report_route_status -file game_of_life_route_status.rpt -pb game_of_life_route_status.pb"
+  create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -file game_of_life_timing_summary_routed.rpt -rpx game_of_life_timing_summary_routed.rpx -warn_on_violation "
+  create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file game_of_life_incremental_reuse_routed.rpt"
+  create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file game_of_life_clock_utilization_routed.rpt"
+  close_msg_db -file route_design.pb
+} RESULT]
+if {$rc} {
+  write_checkpoint -force game_of_life_routed_error.dcp
+  step_failed route_design
+  return -code error $RESULT
+} else {
+  end_step route_design
+  unset ACTIVE_STEP 
+}
+
+start_step write_bitstream
+set ACTIVE_STEP write_bitstream
+set rc [catch {
+  create_msg_db write_bitstream.pb
+  set_property XPM_LIBRARIES XPM_CDC [current_project]
+  catch { write_mem_info -force game_of_life.mmi }
+  write_bitstream -force game_of_life.bit 
+  catch {write_debug_probes -quiet -force game_of_life}
+  catch {file copy -force game_of_life.ltx debug_nets.ltx}
+  close_msg_db -file write_bitstream.pb
+} RESULT]
+if {$rc} {
+  step_failed write_bitstream
+  return -code error $RESULT
+} else {
+  end_step write_bitstream
+  unset ACTIVE_STEP 
+}
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.vdi b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.vdi
new file mode 100644
index 0000000..c629c3f
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.vdi
@@ -0,0 +1,280 @@
+#-----------------------------------------------------------
+# Vivado v2017.4 (64-bit)
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+# Start of session at: Mon Dec 10 15:39:54 2018
+# Process ID: 6105
+# Current directory: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1
+# Command line: vivado -log game_of_life.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source game_of_life.tcl -notrace
+# Log file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.vdi
+# Journal file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.jou
+#-----------------------------------------------------------
+source game_of_life.tcl -notrace
+Command: link_design -top game_of_life -part xc7z020clg484-1
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+INFO: [Project 1-454] Reading design checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_gen_25MHz'
+INFO: [Netlist 29-17] Analyzing 444 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+WARNING: [Netlist 29-101] Netlist 'game_of_life' is not ideal for floorplanning, since the cellview 'game_of_life' contains a large number of primitives.  Please consider enabling hierarchy in synthesis if you want to do floorplanning.
+INFO: [Project 1-479] Netlist was created with Vivado 2017.4
+INFO: [Device 21-403] Loading part xc7z020clg484-1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_gen_25MHz/inst'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_gen_25MHz/inst'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_gen_25MHz/inst'
+INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc:57]
+INFO: [Timing 38-2] Deriving generated clocks [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc:57]
+get_clocks: Time (s): cpu = 00:00:11 ; elapsed = 00:00:29 . Memory (MB): peak = 2011.344 ; gain = 504.508 ; free physical = 14096 ; free virtual = 16278
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_gen_25MHz/inst'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 34]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:27]
+CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:27]
+Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+10 Infos, 2 Warnings, 1 Critical Warnings and 0 Errors encountered.
+link_design completed successfully
+link_design: Time (s): cpu = 00:00:21 ; elapsed = 00:01:16 . Memory (MB): peak = 2011.344 ; gain = 840.918 ; free physical = 14102 ; free virtual = 16281
+Command: opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
+Running DRC as a precondition to command opt_design
+
+Starting DRC Task
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Project 1-461] DRC finished with 0 Errors
+INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 2043.359 ; gain = 32.016 ; free physical = 14092 ; free virtual = 16271
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Starting Logic Optimization Task
+
+Phase 1 Retarget
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Opt 31-49] Retargeted 0 cell(s).
+Phase 1 Retarget | Checksum: 241d76bc6
+
+Time (s): cpu = 00:00:00.23 ; elapsed = 00:00:00.20 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14094 ; free virtual = 16272
+INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 1 cells
+
+Phase 2 Constant propagation
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Phase 2 Constant propagation | Checksum: 1e074dfad
+
+Time (s): cpu = 00:00:00.32 ; elapsed = 00:00:00.28 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14094 ; free virtual = 16272
+INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
+
+Phase 3 Sweep
+Phase 3 Sweep | Checksum: 2064984a9
+
+Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16273
+INFO: [Opt 31-389] Phase Sweep created 11 cells and removed 0 cells
+
+Phase 4 BUFG optimization
+Phase 4 BUFG optimization | Checksum: 2064984a9
+
+Time (s): cpu = 00:00:00.50 ; elapsed = 00:00:00.48 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells
+
+Phase 5 Shift Register Optimization
+Phase 5 Shift Register Optimization | Checksum: 2064984a9
+
+Time (s): cpu = 00:00:00.54 ; elapsed = 00:00:00.52 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
+
+Starting Connectivity Check Task
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+Ending Logic Optimization Task | Checksum: 2064984a9
+
+Time (s): cpu = 00:00:00.58 ; elapsed = 00:00:00.56 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+
+Starting Power Optimization Task
+INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
+Ending Power Optimization Task | Checksum: 1aba7ba33
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+INFO: [Common 17-83] Releasing license: Implementation
+25 Infos, 2 Warnings, 1 Critical Warnings and 0 Errors encountered.
+opt_design completed successfully
+Writing placer database...
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14091 ; free virtual = 16271
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_opt.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_drc -file game_of_life_drc_opted.rpt -pb game_of_life_drc_opted.pb -rpx game_of_life_drc_opted.rpx
+Command: report_drc -file game_of_life_drc_opted.rpt -pb game_of_life_drc_opted.pb -rpx game_of_life_drc_opted.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Coretcl 2-168] The results of DRC are in file /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpt.
+report_drc completed successfully
+INFO: [Chipscope 16-241] No debug cores found in the current design.
+Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode)
+or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design.
+Command: place_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+Running DRC as a precondition to command place_design
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+Starting Placer Task
+INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs
+
+Phase 1 Placer Initialization
+
+Phase 1.1 Placer Initialization Netlist Sorting
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14079 ; free virtual = 16259
+Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1773ec779
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14079 ; free virtual = 16259
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14079 ; free virtual = 16260
+
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: ff3c4a16
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.97 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14071 ; free virtual = 16255
+
+Phase 1.3 Build Placer Netlist Model
+Phase 1.3 Build Placer Netlist Model | Checksum: 16c753abf
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2058.371 ; gain = 3.012 ; free physical = 14061 ; free virtual = 16246
+
+Phase 1.4 Constrain Clocks/Macros
+Phase 1.4 Constrain Clocks/Macros | Checksum: 16c753abf
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2058.371 ; gain = 3.012 ; free physical = 14061 ; free virtual = 16246
+Phase 1 Placer Initialization | Checksum: 16c753abf
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2058.371 ; gain = 3.012 ; free physical = 14061 ; free virtual = 16246
+
+Phase 2 Global Placement
+Phase 2 Global Placement | Checksum: 13303f7c0
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14050 ; free virtual = 16235
+
+Phase 3 Detail Placement
+
+Phase 3.1 Commit Multi Column Macros
+Phase 3.1 Commit Multi Column Macros | Checksum: 13303f7c0
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14050 ; free virtual = 16235
+
+Phase 3.2 Commit Most Macros & LUTRAMs
+Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1c6b634a3
+
+Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14049 ; free virtual = 16234
+
+Phase 3.3 Area Swap Optimization
+Phase 3.3 Area Swap Optimization | Checksum: 1859dd166
+
+Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14049 ; free virtual = 16234
+
+Phase 3.4 Pipeline Register Optimization
+Phase 3.4 Pipeline Register Optimization | Checksum: 1a50e74b3
+
+Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14049 ; free virtual = 16234
+
+Phase 3.5 Small Shape Detail Placement
+Phase 3.5 Small Shape Detail Placement | Checksum: 15d432a1f
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14045 ; free virtual = 16231
+
+Phase 3.6 Re-assign LUT pins
+Phase 3.6 Re-assign LUT pins | Checksum: 16834cfa1
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14045 ; free virtual = 16231
+
+Phase 3.7 Pipeline Register Optimization
+Phase 3.7 Pipeline Register Optimization | Checksum: 16834cfa1
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14045 ; free virtual = 16231
+Phase 3 Detail Placement | Checksum: 16834cfa1
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14045 ; free virtual = 16231
+
+Phase 4 Post Placement Optimization and Clean-Up
+
+Phase 4.1 Post Commit Optimization
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Phase 4.1.1 Post Placement Optimization
+Post Placement Optimization Initialization | Checksum: 11c3a9c75
+
+Phase 4.1.1.1 BUFG Insertion
+INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs
+INFO: [Place 46-31] BUFG insertion identified 0 candidate nets, 0 success, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason.
+Phase 4.1.1.1 BUFG Insertion | Checksum: 11c3a9c75
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14036 ; free virtual = 16222
+INFO: [Place 30-746] Post Placement Timing Summary WNS=30.656. For the most accurate timing information please run report_timing.
+Phase 4.1.1 Post Placement Optimization | Checksum: 11740db6a
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14036 ; free virtual = 16222
+Phase 4.1 Post Commit Optimization | Checksum: 11740db6a
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14036 ; free virtual = 16222
+
+Phase 4.2 Post Placement Cleanup
+Phase 4.2 Post Placement Cleanup | Checksum: 11740db6a
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14037 ; free virtual = 16223
+
+Phase 4.3 Placer Reporting
+Phase 4.3 Placer Reporting | Checksum: 11740db6a
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14037 ; free virtual = 16223
+
+Phase 4.4 Final Placement Cleanup
+Phase 4.4 Final Placement Cleanup | Checksum: 17a7b6dfb
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14037 ; free virtual = 16223
+Phase 4 Post Placement Optimization and Clean-Up | Checksum: 17a7b6dfb
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14037 ; free virtual = 16223
+Ending Placer Task | Checksum: 13dfdf743
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14047 ; free virtual = 16233
+INFO: [Common 17-83] Releasing license: Implementation
+47 Infos, 2 Warnings, 1 Critical Warnings and 0 Errors encountered.
+place_design completed successfully
+place_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14047 ; free virtual = 16233
+Writing placer database...
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.54 ; elapsed = 00:00:00.25 . Memory (MB): peak = 2082.383 ; gain = 0.000 ; free physical = 14042 ; free virtual = 16234
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_placed.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_io -file game_of_life_io_placed.rpt
+report_io: Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.23 . Memory (MB): peak = 2082.383 ; gain = 0.000 ; free physical = 14032 ; free virtual = 16220
+INFO: [runtcl-4] Executing : report_utilization -file game_of_life_utilization_placed.rpt -pb game_of_life_utilization_placed.pb
+report_utilization: Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.21 . Memory (MB): peak = 2082.383 ; gain = 0.000 ; free physical = 14044 ; free virtual = 16231
+INFO: [runtcl-4] Executing : report_control_sets -verbose -file game_of_life_control_sets_placed.rpt
+report_control_sets: Time (s): cpu = 00:00:00.10 ; elapsed = 00:00:00.14 . Memory (MB): peak = 2082.383 ; gain = 0.000 ; free physical = 14043 ; free virtual = 16231
+Command: route_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
+Running DRC as a precondition to command route_design
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+
+Starting Routing Task
+INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs
+Checksum: PlaceDB: 491c0134 ConstDB: 0 ShapeSum: f4e1f60f RouteDB: 0
+
+Phase 1 Build RT Design
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_control_sets_placed.rpt b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_control_sets_placed.rpt
new file mode 100644
index 0000000..5421d25
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_control_sets_placed.rpt
@@ -0,0 +1,67 @@
+Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+-----------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+| Date         : Mon Dec 10 15:41:36 2018
+| Host         : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+| Command      : report_control_sets -verbose -file game_of_life_control_sets_placed.rpt
+| Design       : game_of_life
+| Device       : xc7z020
+-----------------------------------------------------------------------------------------
+
+Control Set Information
+
+Table of Contents
+-----------------
+1. Summary
+2. Flip-Flop Distribution
+3. Detailed Control Set Information
+
+1. Summary
+----------
+
++----------------------------------------------------------+-------+
+|                          Status                          | Count |
++----------------------------------------------------------+-------+
+| Number of unique control sets                            |     5 |
+| Unused register locations in slices containing registers |    24 |
++----------------------------------------------------------+-------+
+
+
+2. Flip-Flop Distribution
+-------------------------
+
++--------------+-----------------------+------------------------+-----------------+--------------+
+| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices |
++--------------+-----------------------+------------------------+-----------------+--------------+
+| No           | No                    | No                     |            1042 |          625 |
+| No           | No                    | Yes                    |              20 |           13 |
+| No           | Yes                   | No                     |               0 |            0 |
+| Yes          | No                    | No                     |               2 |            1 |
+| Yes          | No                    | Yes                    |              48 |           14 |
+| Yes          | Yes                   | No                     |               0 |            0 |
++--------------+-----------------------+------------------------+-----------------+--------------+
+
+
+3. Detailed Control Set Information
+-----------------------------------
+
++------------------------------+-----------------------------+-------------------------------+------------------+----------------+
+|         Clock Signal         |        Enable Signal        |        Set/Reset Signal       | Slice Load Count | Bel Load Count |
++------------------------------+-----------------------------+-------------------------------+------------------+----------------+
+|  clk_gen_25MHz/inst/clk_out1 | shift_register_top0         |                               |                2 |              4 |
+|  clk_gen_25MHz/inst/clk_out1 | vertical_counter[9]_i_1_n_0 | horizontal_counter[9]_i_2_n_0 |                5 |             10 |
+|  clk_gen_25MHz/inst/clk_out1 |                             | horizontal_counter[9]_i_2_n_0 |               13 |             20 |
+|  clk_gen_25MHz/inst/clk_out1 | shift_register_top0         | horizontal_counter[9]_i_2_n_0 |                9 |             38 |
+|  clk_gen_25MHz/inst/clk_out1 |                             |                               |              625 |           1042 |
++------------------------------+-----------------------------+-------------------------------+------------------+----------------+
+
+
++--------+-----------------------+
+| Fanout | Number of ControlSets |
++--------+-----------------------+
+| 4      |                     1 |
+| 10     |                     1 |
+| 16+    |                     3 |
++--------+-----------------------+
+
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.pb b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.pb
new file mode 100644
index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40
GIT binary patch
literal 37
scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpt b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpt
new file mode 100644
index 0000000..d684341
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpt
@@ -0,0 +1,41 @@
+Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+| Date         : Mon Dec 10 15:41:26 2018
+| Host         : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+| Command      : report_drc -file game_of_life_drc_opted.rpt -pb game_of_life_drc_opted.pb -rpx game_of_life_drc_opted.rpx
+| Design       : game_of_life
+| Device       : xc7z020clg484-1
+| Speed File   : -1
+| Design State : Synthesized
+---------------------------------------------------------------------------------------------------------------------------
+
+Report DRC
+
+Table of Contents
+-----------------
+1. REPORT SUMMARY
+2. REPORT DETAILS
+
+1. REPORT SUMMARY
+-----------------
+            Netlist: netlist
+          Floorplan: design_1
+      Design limits: <entire design considered>
+           Ruledeck: default
+             Max violations: <unlimited>
+             Violations found: 1
++--------+----------+--------------------+------------+
+| Rule   | Severity | Description        | Violations |
++--------+----------+--------------------+------------+
+| ZPS7-1 | Warning  | PS7 block required | 1          |
++--------+----------+--------------------+------------+
+
+2. REPORT DETAILS
+-----------------
+ZPS7-1#1 Warning
+PS7 block required  
+The PS7 cell must be used in this Zynq design in order to enable correct default configuration.
+Related violations: <none>
+
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpx b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..d61db7e771147321644b0ed672613fca195ad2c8
GIT binary patch
literal 354
zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyCCVBlgl)H4#2N>9v9jn7Yu
z&&f<njZY~`j?XVBNlno!DyWe7|NsAg{tU(fh6;uTMurYX#tDoJlbE?(f}9ng=6nZP
z!zjQk#U7qml$V*8uEZ7<5Nxh%s3jB-Y_5=$lb@WeP?TC&npu>ZV#EQFQ#Q0<aEcGf
zNL2u-NlwklQOGSVE>TEIRVXb^O;O0qQz*&EELMoB%qvt#NiEJy&jX3&7p0^YDU{?Z
tq~;|i<)kVk=NA>FCYLCrq^2d7=9DNT=jWwmrk55amSpDV>3PxG0szv)a>D=s

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_io_placed.rpt b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_io_placed.rpt
new file mode 100644
index 0000000..a07d21c
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_io_placed.rpt
@@ -0,0 +1,526 @@
+Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+-------------------------------------------------------------------------------------------------
+| Tool Version              : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+| Date                      : Mon Dec 10 15:41:36 2018
+| Host                      : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+| Command                   : report_io -file game_of_life_io_placed.rpt
+| Design                    : game_of_life
+| Device                    : xc7z020
+| Speed File                : -1
+| Package                   : clg484
+| Package Version           : FINAL 2012-06-26
+| Package Pin Delay Version : VERS. 2.0 2012-06-26
+-------------------------------------------------------------------------------------------------
+
+IO Information
+
+Table of Contents
+-----------------
+1. Summary
+2. IO Assignments by Package Pin
+
+1. Summary
+----------
+
++---------------+
+| Total User IO |
++---------------+
+|            16 |
++---------------+
+
+
+2. IO Assignments by Package Pin
+--------------------------------
+
++------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+| Pin Number | Signal Name | Bank Type  | Pin Name                | Use         | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization |
++------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+| A1         |             |            | PS_MIO1_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A2         |             |            | PS_MIO2_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A3         |             |            | PS_MIO5_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A4         |             |            | PS_MIO6_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A5         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A6         |             |            | PS_MIO13_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A7         |             |            | PS_MIO18_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A8         |             |            | PS_MIO20_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A9         |             |            | PS_MIO36_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A10        |             |            | VCCO_MIO1_501           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| A11        |             |            | PS_MIO30_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A12        |             |            | PS_MIO28_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A13        |             |            | PS_MIO26_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A14        |             |            | PS_MIO22_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A15        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A16        |             | High Range | IO_L9P_T1_DQS_AD3P_35   | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A17        |             | High Range | IO_L9N_T1_DQS_AD3N_35   | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A18        |             | High Range | IO_L10P_T1_AD11P_35     | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A19        |             | High Range | IO_L10N_T1_AD11N_35     | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A20        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| A21        |             | High Range | IO_L15P_T2_DQS_AD12P_35 | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A22        |             | High Range | IO_L15N_T2_DQS_AD12N_35 | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA1        |             |            | PS_DDR_DQ26_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA2        |             |            | PS_DDR_DM3_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA3        |             |            | PS_DDR_DQ24_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA4        |             | High Range | IO_L18N_T2_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA5        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AA6        |             | High Range | IO_L14N_T2_SRCC_13      | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA7        |             | High Range | IO_L14P_T2_SRCC_13      | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA8        |             | High Range | IO_L11N_T1_SRCC_13      | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA9        |             | High Range | IO_L11P_T1_SRCC_13      | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA10       |             | High Range | VCCO_13                 | VCCO        |             |      13 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| AA11       |             | High Range | IO_L8P_T1_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA12       |             | High Range | IO_L7P_T1_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA13       |             | High Range | IO_L23N_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA14       |             | High Range | IO_L22N_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA15       |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AA16       |             | High Range | IO_L18P_T2_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA17       |             | High Range | IO_L17P_T2_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA18       |             | High Range | IO_L12N_T1_MRCC_33      | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA19       | Hsync       | High Range | IO_L11N_T1_SRCC_33      | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| AA20       |             | High Range | VCCO_33                 | VCCO        |             |      33 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| AA21       | frame[7]    | High Range | IO_L8P_T1_33            | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| AA22       | frame[5]    | High Range | IO_L7P_T1_33            | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| AB1        |             | High Range | IO_L15N_T2_DQS_13       | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB2        |             | High Range | IO_L15P_T2_DQS_13       | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB3        |             | High Range | VCCO_13                 | VCCO        |             |      13 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| AB4        |             | High Range | IO_L16N_T2_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB5        |             | High Range | IO_L16P_T2_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB6        |             | High Range | IO_L17N_T2_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB7        |             | High Range | IO_L17P_T2_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB8        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AB9        |             | High Range | IO_L9N_T1_DQS_13        | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB10       |             | High Range | IO_L9P_T1_DQS_13        | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB11       |             | High Range | IO_L8N_T1_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB12       |             | High Range | IO_L7N_T1_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB13       |             | High Range | VCCO_33                 | VCCO        |             |      33 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| AB14       |             | High Range | IO_L24P_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB15       |             | High Range | IO_L24N_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB16       |             | High Range | IO_L18N_T2_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB17       |             | High Range | IO_L17N_T2_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB18       |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AB19       | frame[3]    | High Range | IO_L10P_T1_33           | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| AB20       | frame[2]    | High Range | IO_L10N_T1_33           | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| AB21       | frame[6]    | High Range | IO_L8N_T1_33            | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| AB22       | frame[4]    | High Range | IO_L7N_T1_33            | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| B1         |             |            | PS_DDR_DM0_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B2         |             |            | PS_DDR_DQ2_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B3         |             |            | VCCO_MIO0_500           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| B4         |             |            | PS_MIO11_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B5         |             |            | PS_POR_B_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B6         |             |            | PS_MIO14_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B7         |             |            | PS_MIO24_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B8         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B9         |             |            | PS_MIO45_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B10        |             |            | PS_MIO47_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B11        |             |            | PS_MIO43_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B12        |             |            | PS_MIO34_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B13        |             |            | VCCO_MIO1_501           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| B14        |             |            | PS_MIO37_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B15        |             | High Range | IO_L7N_T1_AD2N_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B16        |             | High Range | IO_L8P_T1_AD10P_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B17        |             | High Range | IO_L8N_T1_AD10N_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B18        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B19        |             | High Range | IO_L13P_T2_MRCC_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B20        |             | High Range | IO_L13N_T2_MRCC_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B21        |             | High Range | IO_L18P_T2_AD13P_35     | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B22        |             | High Range | IO_L18N_T2_AD13N_35     | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C1         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C2         |             |            | PS_DDR_DQS_P0_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C3         |             |            | PS_DDR_DQ1_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C4         |             |            | PS_MIO9_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C5         |             |            | PS_MIO12_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C6         |             |            | VCCO_MIO0_500           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| C7         |             |            | PS_MIO32_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C8         |             |            | PS_MIO41_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C9         |             |            | PS_SRST_B_501           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C10        |             |            | PS_MIO51_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C11        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C12        |             |            | PS_MIO53_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C13        |             |            | PS_MIO39_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C14        |             |            | PS_MIO49_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C15        |             | High Range | IO_L7P_T1_AD2P_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C16        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| C17        |             | High Range | IO_L11P_T1_SRCC_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C18        |             | High Range | IO_L11N_T1_SRCC_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C19        |             | High Range | IO_L12N_T1_MRCC_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C20        |             | High Range | IO_L14N_T2_AD4N_SRCC_35 | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C21        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C22        |             | High Range | IO_L16N_T2_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D1         |             |            | PS_DDR_DQ0_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D2         |             |            | PS_DDR_DQS_N0_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D3         |             |            | PS_DDR_DQ3_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D4         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D5         |             |            | PS_MIO7_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D6         |             |            | PS_MIO16_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D7         |             |            | PS_MIO27_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D8         |             |            | PS_MIO42_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D9         |             |            | VCCO_MIO1_501           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| D10        |             |            | PS_MIO52_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D11        |             |            | PS_MIO48_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D12        |             |            | PS_MIO46_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D13        |             |            | PS_MIO50_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D14        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D15        |             | High Range | IO_L3N_T0_DQS_AD1N_35   | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D16        |             | High Range | IO_L2P_T0_AD8P_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D17        |             | High Range | IO_L2N_T0_AD8N_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D18        |             | High Range | IO_L12P_T1_MRCC_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D19        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| D20        |             | High Range | IO_L14P_T2_AD4P_SRCC_35 | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D21        |             | High Range | IO_L17N_T2_AD5N_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D22        |             | High Range | IO_L16P_T2_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E1         |             |            | PS_DDR_DQ5_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E2         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| E3         |             |            | PS_DDR_DQ4_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E4         |             |            | PS_MIO4_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E5         |             |            | PS_MIO8_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E6         |             |            | PS_MIO15_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E7         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E8         |             |            | PS_MIO29_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E9         |             |            | PS_MIO17_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E10        |             |            | PS_MIO19_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E11        |             |            | PS_MIO23_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E12        |             |            | VCCO_MIO1_501           | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| E13        |             |            | PS_MIO44_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E14        |             |            | PS_MIO40_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E15        |             | High Range | IO_L3P_T0_DQS_AD1P_35   | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E16        |             | High Range | IO_L1N_T0_AD0N_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E17        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E18        |             | High Range | IO_L5N_T0_AD9N_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E19        |             | High Range | IO_L21P_T3_DQS_AD14P_35 | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E20        |             | High Range | IO_L21N_T3_DQS_AD14N_35 | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E21        |             | High Range | IO_L17P_T2_AD5P_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E22        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| F1         |             |            | PS_DDR_DQ7_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F2         |             |            | PS_DDR_DQ6_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F3         |             |            | PS_DDR_DRST_B_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F4         |             |            | PS_DDR_A13_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F5         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| F6         |             |            | PS_MIO3_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F7         |             |            | PS_CLK_500              | PSS Clock   |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F8         |             |            | PS_MIO_VREF_501         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F9         |             |            | PS_MIO31_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F10        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F11        |             |            | PS_MIO21_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F12        |             |            | PS_MIO25_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F13        |             |            | PS_MIO38_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F14        |             |            | PS_MIO35_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F15        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| F16        |             | High Range | IO_L1P_T0_AD0P_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F17        |             | High Range | IO_L6N_T0_VREF_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F18        |             | High Range | IO_L5P_T0_AD9P_35       | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F19        |             | High Range | IO_L20N_T3_AD6N_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F20        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F21        |             | High Range | IO_L23P_T3_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F22        | switch_mode | High Range | IO_L23N_T3_35           | INPUT       | LVCMOS18    |      35 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| G1         |             |            | PS_DDR_DQ9_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G2         |             |            | PS_DDR_DQ8_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G3         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G4         |             |            | PS_DDR_A14_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G5         |             |            | PS_DDR_A11_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G6         |             |            | PS_MIO0_500             | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G7         |             |            | PS_MIO10_500            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G8         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G9         |             | Dedicated  | VCCBATT_0               | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G10        |             |            | RSVDGND                 | GND         |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G11        |             | Dedicated  | TCK_0                   | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G12        |             | Dedicated  | TMS_0                   | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G13        |             |            | PS_MIO33_501            | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G14        |             | Dedicated  | TDO_0                   | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G15        |             | High Range | IO_L4P_T0_35            | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G16        |             | High Range | IO_L4N_T0_35            | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G17        |             | High Range | IO_L6P_T0_35            | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G18        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| G19        |             | High Range | IO_L20P_T3_AD6P_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G20        |             | High Range | IO_L22P_T3_AD7P_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G21        |             | High Range | IO_L22N_T3_AD7N_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G22        |             | High Range | IO_L24N_T3_AD15N_35     | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H1         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| H2         |             |            | PS_DDR_DQS_P1_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H3         |             |            | PS_DDR_DM1_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H4         |             |            | PS_DDR_A12_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H5         |             |            | PS_DDR_A9_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H6         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H7         |             |            | PS_DDR_VREF0_502        | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H8         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H9         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H10        |             |            | VCCPLL                  | PSS VCCPLL  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H11        |             |            | VCCBRAM                 | VCCBRAM     |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H12        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H13        |             | Dedicated  | TDI_0                   | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H14        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H15        |             | High Range | IO_0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H16        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H17        |             | High Range | IO_0_35                 | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H18        |             | High Range | IO_25_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H19        |             | High Range | IO_L19P_T3_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H20        |             | High Range | IO_L19N_T3_VREF_35      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H21        |             | High Range | VCCO_35                 | VCCO        |             |      35 |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| H22        |             | High Range | IO_L24P_T3_AD15P_35     | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J1         |             |            | PS_DDR_DQ14_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J2         |             |            | PS_DDR_DQS_N1_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J3         |             |            | PS_DDR_A10_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J4         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| J5         |             |            | PS_DDR_A8_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J6         |             |            | PS_DDR_A7_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J7         |             |            | PS_DDR_A6_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J8         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J9         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J10        |             |            | VCCBRAM                 | VCCBRAM     |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J11        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J12        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J13        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J14        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J15        |             | High Range | IO_L1P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J16        |             | High Range | IO_L2P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J17        |             | High Range | IO_L2N_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J18        |             | High Range | IO_L7P_T1_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J19        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J20        |             | High Range | IO_L9P_T1_DQS_34        | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J21        |             | High Range | IO_L8P_T1_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J22        |             | High Range | IO_L8N_T1_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K1         |             |            | PS_DDR_DQ13_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K2         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K3         |             |            | PS_DDR_DQ15_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K4         |             |            | PS_DDR_A2_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K5         |             |            | PS_DDR_A5_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K6         |             |            | PS_DDR_A4_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K7         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| K8         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K9         |             |            | VCCPAUX                 | PSS VCCAUX  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K10        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K11        |             | Dedicated  | VCCADC_0                | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K12        |             | Dedicated  | GNDADC_0                | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K13        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K14        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K15        |             | High Range | IO_L1N_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K16        |             | High Range | IO_L3P_T0_DQS_PUDC_B_34 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K17        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| K18        |             | High Range | IO_L7N_T1_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K19        |             | High Range | IO_L11P_T1_SRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K20        |             | High Range | IO_L11N_T1_SRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K21        |             | High Range | IO_L9N_T1_DQS_34        | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K22        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L1         |             |            | PS_DDR_DQ10_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L2         |             |            | PS_DDR_DQ11_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L3         |             |            | PS_DDR_DQ12_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L4         |             |            | PS_DDR_A3_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L5         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L6         |             |            | PS_DDR_BA1_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L7         |             |            | PS_DDR_BA0_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L8         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L9         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L10        |             |            | VCCAUX                  | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| L11        |             | Dedicated  | VP_0                    | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L12        |             | Dedicated  | VREFN_0                 | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L13        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L14        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L15        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L16        |             | High Range | IO_L3N_T0_DQS_34        | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L17        |             | High Range | IO_L4P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L18        |             | High Range | IO_L12P_T1_MRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L19        |             | High Range | IO_L12N_T1_MRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L20        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| L21        |             | High Range | IO_L10P_T1_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L22        |             | High Range | IO_L10N_T1_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M1         |             |            | PS_DDR_DQ16_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M2         |             |            | PS_DDR_DQ22_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M3         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| M4         |             |            | PS_DDR_A0_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M5         |             |            | PS_DDR_A1_502           | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M6         |             |            | PS_DDR_BA2_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M7         |             |            | PS_DDR_VRN_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M8         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M9         |             |            | VCCPAUX                 | PSS VCCAUX  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M10        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M11        |             | Dedicated  | VREFP_0                 | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M12        |             | Dedicated  | VN_0                    | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M13        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M14        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M15        |             | High Range | IO_L6P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M16        |             | High Range | IO_L6N_T0_VREF_34       | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M17        |             | High Range | IO_L4N_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M18        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M19        |             | High Range | IO_L13P_T2_MRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M20        |             | High Range | IO_L13N_T2_MRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M21        |             | High Range | IO_L15P_T2_DQS_34       | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M22        |             | High Range | IO_L15N_T2_DQS_34       | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N1         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N2         |             |            | PS_DDR_DQS_P2_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N3         |             |            | PS_DDR_DQ18_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N4         |             |            | PS_DDR_CKP_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N5         |             |            | PS_DDR_CKN_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N6         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| N7         |             |            | PS_DDR_VRP_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N8         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N9         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N10        |             |            | VCCAUX                  | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| N11        |             | Dedicated  | DXP_0                   | Temp Sensor |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N12        |             | Dedicated  | DXN_0                   | Temp Sensor |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N13        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N14        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N15        |             | High Range | IO_L19P_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N16        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| N17        |             | High Range | IO_L5P_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N18        |             | High Range | IO_L5N_T0_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N19        |             | High Range | IO_L14P_T2_SRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N20        |             | High Range | IO_L14N_T2_SRCC_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N21        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N22        |             | High Range | IO_L16P_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P1         |             |            | PS_DDR_DM2_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P2         |             |            | PS_DDR_DQS_N2_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P3         |             |            | PS_DDR_CAS_B_502        | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P4         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P5         |             |            | PS_DDR_ODT_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P6         |             |            | PS_DDR_CS_B_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P7         |             |            | PS_DDR_VREF1_502        | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P8         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P9         |             |            | VCCPAUX                 | PSS VCCAUX  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P10        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P11        |             |            | VCCAUX                  | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| P12        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P13        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P14        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P15        |             | High Range | IO_L19N_T3_VREF_34      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P16        |             | High Range | IO_L24P_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P17        |             | High Range | IO_L20P_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P18        |             | High Range | IO_L20N_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P19        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| P20        |             | High Range | IO_L18P_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P21        |             | High Range | IO_L18N_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P22        |             | High Range | IO_L16N_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R1         |             |            | PS_DDR_DQ23_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R2         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| R3         |             |            | PS_DDR_DQ20_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R4         |             |            | PS_DDR_WE_B_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R5         |             |            | PS_DDR_RAS_B_502        | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R6         |             | High Range | IO_L19P_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R7         |             | High Range | IO_0_13                 | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R8         |             |            | VCCPINT                 | PSS VCCINT  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R9         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R10        |             |            | VCCAUX                  | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| R11        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R12        |             | Dedicated  | VCCO_0                  | VCCO        |             |       0 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| R13        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R14        |             |            | VCCINT                  | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R15        |             | High Range | IO_25_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R16        |             | High Range | IO_L24N_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R17        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R18        |             | High Range | IO_L23P_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R19        |             | High Range | IO_L22P_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R20        |             | High Range | IO_L17P_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R21        |             | High Range | IO_L17N_T2_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R22        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| T1         |             |            | PS_DDR_DQ19_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T2         |             |            | PS_DDR_DQ21_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T3         |             |            | PS_DDR_DQ17_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T4         |             | High Range | IO_L20P_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T5         |             | High Range | VCCO_13                 | VCCO        |             |      13 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| T6         |             | High Range | IO_L19N_T3_VREF_13      | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T7         |             |            | RSVDVCC1                | Reserved    |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T8         |             |            | RSVDVCC2                | Reserved    |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T9         |             |            | VCCPAUX                 | PSS VCCAUX  |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T10        |             |            | RSVDVCC3                | Reserved    |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T11        |             | Dedicated  | PROGRAM_B_0             | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T12        |             | Dedicated  | DONE_0                  | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T13        |             | Dedicated  | CFGBVS_0                | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T14        |             | Dedicated  | INIT_B_0                | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T15        |             | High Range | VCCO_34                 | VCCO        |             |      34 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| T16        |             | High Range | IO_L21P_T3_DQS_34       | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T17        |             | High Range | IO_L21N_T3_DQS_34       | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T18        |             | High Range | IO_L23N_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T19        |             | High Range | IO_L22N_T3_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T20        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T21        |             | High Range | IO_L1P_T0_33            | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T22        |             | High Range | IO_L2P_T0_33            | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U1         |             |            | PS_DDR_DQ25_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U2         |             |            | PS_DDR_DQ27_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U3         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| U4         |             | High Range | IO_L20N_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U5         |             | High Range | IO_L22N_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U6         |             | High Range | IO_L22P_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U7         |             | High Range | IO_25_13                | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U8         |             | High Range | VCCO_13                 | VCCO        |             |      13 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| U9         |             | High Range | IO_L6N_T0_VREF_13       | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U10        |             | High Range | IO_L6P_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U11        |             | High Range | IO_L5N_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U12        |             | High Range | IO_L5P_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U13        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| U14        |             | High Range | IO_25_33                | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U15        |             | High Range | IO_L15P_T2_DQS_33       | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U16        |             | High Range | IO_L15N_T2_DQS_33       | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U17        |             | High Range | IO_L16P_T2_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U18        |             | High Range | VCCO_33                 | VCCO        |             |      33 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| U19        |             | High Range | IO_0_33                 | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U20        | frame[9]    | High Range | IO_L5P_T0_33            | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U21        |             | High Range | IO_L1N_T0_33            | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U22        |             | High Range | IO_L2N_T0_33            | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V1         |             |            | VCCO_DDR_502            | VCCO        |             |         |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| V2         |             |            | PS_DDR_DQS_P3_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V3         |             |            | PS_DDR_CKE_502          | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V4         |             | High Range | IO_L21N_T3_DQS_13       | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V5         |             | High Range | IO_L21P_T3_DQS_13       | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V6         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V7         |             | High Range | IO_L23P_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V8         |             | High Range | IO_L2P_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V9         |             | High Range | IO_L1N_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V10        |             | High Range | IO_L1P_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V11        |             | High Range | VCCO_13                 | VCCO        |             |      13 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| V12        |             | High Range | IO_L4P_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V13        |             | High Range | IO_L20P_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V14        |             | High Range | IO_L19P_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V15        |             | High Range | IO_L19N_T3_VREF_33      | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V16        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V17        |             | High Range | IO_L16N_T2_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V18        | frame[11]   | High Range | IO_L6P_T0_33            | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V19        | frame[10]   | High Range | IO_L6N_T0_VREF_33       | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V20        | frame[8]    | High Range | IO_L5N_T0_33            | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V21        |             | High Range | VCCO_33                 | VCCO        |             |      33 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| V22        |             | High Range | IO_L3P_T0_DQS_33        | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W1         |             |            | PS_DDR_DQ28_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W2         |             |            | PS_DDR_DQS_N3_502       | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W3         |             |            | PS_DDR_DQ30_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W4         |             | High Range | VCCO_13                 | VCCO        |             |      13 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| W5         |             | High Range | IO_L24N_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W6         |             | High Range | IO_L24P_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W7         |             | High Range | IO_L23N_T3_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W8         |             | High Range | IO_L2N_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W9         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| W10        |             | High Range | IO_L3N_T0_DQS_13        | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W11        |             | High Range | IO_L3P_T0_DQS_13        | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W12        |             | High Range | IO_L4N_T0_13            | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W13        |             | High Range | IO_L20N_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W14        |             | High Range | VCCO_33                 | VCCO        |             |      33 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| W15        |             | High Range | IO_L21P_T3_DQS_33       | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W16        |             | High Range | IO_L14P_T2_SRCC_33      | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W17        |             | High Range | IO_L13P_T2_MRCC_33      | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W18        |             | High Range | IO_L13N_T2_MRCC_33      | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W19        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| W20        |             | High Range | IO_L4P_T0_33            | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W21        |             | High Range | IO_L4N_T0_33            | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W22        |             | High Range | IO_L3N_T0_DQS_33        | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y1         |             |            | PS_DDR_DQ31_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y2         |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| Y3         |             |            | PS_DDR_DQ29_502         | PSS IO      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y4         |             | High Range | IO_L18P_T2_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y5         |             | High Range | IO_L13N_T2_MRCC_13      | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y6         |             | High Range | IO_L13P_T2_MRCC_13      | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y7         |             | High Range | VCCO_13                 | VCCO        |             |      13 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| Y8         |             | High Range | IO_L12N_T1_MRCC_13      | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y9         | clk         | High Range | IO_L12P_T1_MRCC_13      | INPUT       | LVCMOS33    |      13 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| Y10        |             | High Range | IO_L10N_T1_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y11        |             | High Range | IO_L10P_T1_13           | User IO     |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y12        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| Y13        |             | High Range | IO_L23P_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y14        |             | High Range | IO_L22P_T3_33           | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y15        |             | High Range | IO_L21N_T3_DQS_33       | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y16        |             | High Range | IO_L14N_T2_SRCC_33      | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y17        |             | High Range | VCCO_33                 | VCCO        |             |      33 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| Y18        |             | High Range | IO_L12P_T1_MRCC_33      | User IO     |             |      33 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y19        | Vsync       | High Range | IO_L11P_T1_SRCC_33      | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| Y20        | frame[1]    | High Range | IO_L9P_T1_DQS_33        | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| Y21        | frame[0]    | High Range | IO_L9N_T1_DQS_33        | OUTPUT      | LVCMOS33    |      33 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| Y22        |             |            | GND                     | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
++------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+* Default value
+** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements.
+
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_opt.dcp b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_opt.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..b757505f31814fe2c106b871f6f8478b8c55eed4
GIT binary patch
literal 561210
zcmWIWW@Zs#U|`^2(5db6y=Es^cao8TL6?<*ft`VYAtkv$uOc^R>J|U|*#;79A3lH5
z)bYE$P(&j$c*+tcA2AgnfkqQ+MRuQLUV}&Rp7wuFI9(0hWcI$PWq!N-`kW(wR-QM$
z@NkvdmI#hb8l3*8Pu{V4_#@rZ^J2r_>TeniyqXzX1ym9(e;S!P-EO>Sc1L0w|2B=|
z+>R5|zIQ2cZ=YTxYUTH3x5={I=?Pm@ybnY$Z(&&7#n6$g;NKpayDF<(=*F9-hi-K{
zjOM*Rbb-e$+23kPz=hbNK-T#8S7$3{?&}Q=F->0IFMm(PwQI9@>9Tu0!jdAPC*@V=
zbg$4ZP(EgI{a(SMsm^Mdn<~oRvTu=@t$)~bQRbA1BJsu^StVyS+%vmU)@$b5o-1|w
z($<IDdS}ntl2P#g-yy?DXMJa1uhoA(|LRJSV0m5?Vd-GJspS4oMFX`CgH@Y0-%$Tp
zpkl&#VyS|EXy{z6%{MLnr1u>CBQ7Ah?wzxku&n;=Q~htR?MmOMu+eUz&}T*VjI}e|
z=0BLyzhS{ywSq&MZ*=dM-FbgekArub$W`BJ5o^C3-nsRj%T*p9=8K-D^o{lY<8Rl#
z%w*7f)YboC;W?32EQL(dp1*i=etyOB;<wX!BOV$#r`x@k+~oiJtNwD^{eOQ5>ZwnT
zN5r;vZI|!V*+qw$IT#ph)fgDW7#JAR6LVAJ^V8yUGSgDyQxl7FD)lN-l9xu^&U@@8
zR6BpYhR>%2_HBCm&ZJFMk6NUZRLbmm{ssrrBG+w8<|*FvG1rWid~$#LD$SGM-Y9Wu
z9jnj@^u6}*<+|YIQCl|oX)V^yd}ZtM&_I$s*q-y*W7Znq>sr&B--U^9ihi<c(p{f-
zyIyB0znF5~Xzgz4z!NU(C&#Q!-L(7Hx7y>gu9U6K^U=z^^nTT<+0Wu9sei4$R9$(s
z@?4OCUc~atN9+HrUXgQwJ=Z9cZJ)TG{J~`v`H_pfqWLpkxJ^5G^=;0l>gjxqmFs*u
zFS6a&|M<+^@yGT<e;D^K={4N6X0lJzR?Yg_^!U#m6^4(Z4qvZ|KY7rIp}aW$e?!dF
zqJo?3KVve3`JZ*~<PUrEL}7;h`(%Tll;#WH-ReaZ<8+ptJi*`G|4&2G@Bid8duPf!
z)?bi*ush-2a{I@-kJkE>`|f`|HSg7v66xlHw>MgBDwmn{;KaYv0Y6fk7Y5IKF7|Kw
zp}fE)u1cHcKK^mOv!ktB^--+^Z?w}dU3qn@D4~C9@^d`G*Ld9DdcDBBK8ktHhwFPj
z%n2~x6HqX1-r<1o>cwWarPfvLm47Jo|I7M^jt^Vs>nmtn=BudW%j-D$Ix@4&=ygHD
zy-x{6PPGqOn<`eX*)6bS*BaJ!MO7QaB>94s*aOoX0(vHGHK<llSthXck}CVN{WA={
z{(8$JsKe5CF<T^Srep9TtwXiyMe_?6{5{dK>{QgEQ$oro!mFZUSpu&|ySOTUk|{K^
zc+k<s{PDg|$IgmPYgq5R|ERPn>0`?$YYEni1+Q3*?NeHxu3C0_j?YaK@l^{Vw*_R)
zDch5y<*j{}>BN>C{`?+|Oy3R9_MV?|ZE?p{sRBi&Wou1lT;VIry|QZInS-KQ^F#K&
zZTLUu6qjR^nA<wF-7=Ly9ujVM7Jf)bo9^-0?}?W6#FcAuKM3!cyf-i5=;DbhkE_32
zC}~^xb4}N>1Kigv#01<HW-Th-${rZX5xu79q|?c%MQ1)S&iQ&?ao&%K{Kooj>`#4_
zKR8vHe>(X41#9s8yjO>-^_vu``0v#mIdtOcM}J2f#(b;Rjpg>ei@BK?_z#-Qus?8w
z|L^YO!B*~hchvQH_EyUL5ad=5zruN~z)+sGaKj8`pZ|%HzXbPjq@B)u(65&u=p<78
zv33uK{KpS97JKDcKhKZU*tx5&UHMtJ#Il1r9e)LXJqxcnn8dT9^DyVWukR*2k|<oH
zy8ns8o+L3Ql{6V8-G681AMe{zC~$tFr^Msz{C^zY`aR7L|6buvtd!RipZH&RdsBR&
z_KBNUe<~h7n8!NHKIqNVBdddi4&S^SCdak2*wbx^>9#}-)@Az=7@uB~30+n>d1Vpv
z(mPMMs;7p;2~4^6FQL*hg71(2;^@oSVm5g@757azR#ngULP&N_Otd$HabQQUioCn~
zehnjcwhLyaZA&MG-ziE?(eqfI-CLD6Mb#+!+n2&7?=4v`o;9peGR&;x*m?C?$TI!7
zv)?D4_mOmY{%p=YrT({!VorHWH%|2tTyXfg6>C**#{_Hb`s|e3R(s}Fi8<uWw5(QK
z=ep5NWa|!v?u}ulM!PRsRR>je&z_+obEoEE*v$M%hs|D_&f3T$EAdPIjm_*mc4<8s
z)1RDr!KN$At-AfxgvAF<0@$n_6E$`|^_#Zf8i&Q*c}BOlZ2x>@bN}@_OwIG7-s}nc
zIj@MNIMnK_n+3b;eJ+z!A;#{Uc0FTdH^Y^Y3OiYsIi-bY_qKni$Wx58y=l6+Vnb4)
z_CW)e=O$m4J<z?V&ug2$ID%t`RsD=wp6RBV-y9BkgkQTYxP|A|u{}%Ln0;-h{oWd2
zd0IBMc<H^a(&+u3_oTCmPnq4m&39<&9!J;EV^<jtxi8;fP;^wTd55lix@pX@#Wol3
zeO;QXwM*fldI*Q=Wa~HAJuXKG>@3RvzHJ)os$T};8jg+v4vNMq2KGw38a8<rYfi|V
z7$m1<bL`NGtG~j7y>@rG3qRVTFgbMHch-3ip2W?ZdgDfNYSO|dS+%d_?kB9>zUbJg
zdrxlkJl@%2IeF2&xC^HgUY@n&$lp=5{?z&2o7P1-*AyRb<GppF!eg3sYKdpar6-%`
z9_I~zdH%%2w;|UOq&JpJv#l(vuFq00Zuwi6QSfo8&!ric`0uUetgXM-n3$aHJ3DNK
zp08r&q>W0MYi7Ub*kAB_y>#AIi?6)y+ns|dZhfk~SP*i&-bH@<v^~rEO$uD^^EK%e
zwi!ytZL7ZHrajv>PtdUF@{{(IyBR*6mwv{DuJ~0Tvid~hVewUw_a3)Jx<qW;aaCa+
zi{JxO`NI#McivXuY}<GHV&zTEwwtvghv)Jyl&?N`N51j+CUx^WMGm*+wm3gNaB2Ic
z2S@V`Yr9nl<`>OabiT9n^yK_7jdddIsaF%8o+|y->NWM!%(o6cZ*5Y1XJ5F)dEf5|
zZ`Oh(`b8TwCW|MVacqxi4AYMge6l!CL3HV4$L;?g^fYOy<krn@zWdT)L)$eOuW1kN
z6g{=N$|@S+xpnQ9t%5HWuVwC0+qF=PyLDE_+S1l}jNI}D`-AqSYJGcZ|0gl=>8tM>
z?iMbx%$v>_%QA1<jZNFS%|)lK))T$7O6Qi`C*k(iSLYHAKJ~2ZlD}q@*%-Smll5=h
z^6S<%o{rN?{DU^gP22Ib)6TWXM`ixYXo=#A6^EA!$Gj?C6nk>peWyR7RdegsA9p$x
zTo<M>Z^M3TxdrawD{6n+pWj~apyom5|Jfd=JH>xqRFOD-GRN@K!^z(-%IhDx`FeSw
z-gYmk#7k?lpVSH5e8+xm)~^HF3h)1Mqc$5fX6?81Vq##Z6=Yx#Kx;OD8VqZ%zt5lL
zAi@6O`(JInxA**PR;=9=uCZ&PrOFTG?4F$g7CNh$b|!}<|GCdTSN}lM?c$wBTrSKx
z|6K0fK91#*o{^J+rpaEoB0FKCshrShIo}Hx`4{tEojN_md+J*?ua!a<<_ay#UN7;f
z``64(-?mLrQM~D*sA~Q7)1IR<&x_i3RvxoB=;d?&TzZ^H`kt-)HAn8P-V){YPe?+J
z)yger{w53g)%8W6EN4usuD!si`}cm&MGIxdrx&f_?tUne|FlV^>oAM#W@oRj^Pe&7
zRW`ikQuxvBd*&gdyPM|z<SEQ|Ki}R{)%cE&_jA0cO!9}jKde;xQWr0mi(1#M|9SqC
z6ZiKVGFdf&EyPJ!>!MAf==Ag&p2)hF)81a?H2YY6b5&U{mvgA>POZi9r-K%*VZW&p
z6S*~g8e6LEskD_@ysza>z4A(v+`5Ku%GJqdUR}>S!mh!+U3GU))OF<>s+KEw7w>wp
z|D*F8X3wO|yB<+jmN|>x(LBj%zdrTr0iBbA`&aPl^6dMZa8hyqfxA}XKkhZFF8>p}
zHJ-citDe}04N^&&wbw0j)!!%oEc%lE?ZK8`O&4T$>GtI(+HAa%_iU$pWm;$Yp^8-z
z73QDc)Rf13IHr0};)L+&4{7tNH(oEA6#ZzY!@P)j=AYB#D?bb6^F8T&YPF{A$ppF3
z|Jon7YkZDBVaBPUQx&ptLfh2|0z0mySQ+PBF7P#p<EismHY;r2|L1=SEh_cow*9={
zzxc_`?s&^fTSPY~CRtX>M#ru@dxK9zd)ln)8~@*o<axVBZQ4TfdIr>V$D`NU7|O`N
zFpU{Yb2TYHu_y(U`j!R;`X6%Osrw!tvuJ%m)32iZ6K*k08+d$7j+i{Y)_Zwl^g8nt
zf&aVBO#(Uf&v{SIp8f6jzHKdHUW>g=wxw;(;8>jS#@Rs9_h#HOE%$@rRlPNHR|{1(
zNza=Wd1uR>1zT*ouX9+n#w_KS{jczjs&eP#bv-Uka^b4ee`@bpDH~H)z2VA6&t11&
zjEWb$DciaEf6_{qFEh+Ir5^Gx`Y61bLpb1QdgqFX5}xLjla;llI(WEW+`n`GZN=oI
zvq=Zm`LLeW@|E?woV;zR<{Xnk!CkeLj$hb)-{&Z5CvVs=L1E>Z3cJPg*$b4d3|FRA
zM^D#CJDmBV`ojy}n~D0i(^fC~SrDC->}tR%|E%W`Z{1F|$1X1a4p=*u+_U_6DAd>M
zn5A@<`G(HW#FsmkU3N>}KlPZIPx#syb%J;O*e9|-RG8%~;UjUC<y}S2L{nG3Su?n!
z=f2uCb;o9Y)QI?2yVXoNlY!w}6(fTXdI3<JkywyAXD|C4k>gYC{m%1bbZD`)PC6VL
z|BdzcjXl)|(^Ud2yq(llZf`pOEG2qd>GR@A?{nfmO)}=OJUjtJOmdA|<j@e&$-~4Z
zprGRL|NGll;kQGB=ly;8zF&3k`|qLq^Y^ZMH|ySA^S^cXHU%zT{W>WA-?7*Bb$`|O
zf16+bHoR`R{N7)iumAbB{@<so@Av&WmR<kU{^RBOf1io3|Np^#|CjFDVpD&;t^1Q~
z-;n=ff5qG0>vbRJudn^@J>TCX>&grN7xUfXdoJ(qN?X@@cSYdUrMFLIY=6Fg&w+|t
z*^~CvroMgqY`%Bhq5q$Q__yVM588e1ZG6qMY}tA5|5UUuk5ZFfI&0sJcRSDB|9x@w
z`u{E}dqcXXGyixceZTta@AX@Kjs^MNF0XRjDerSE`0V_bKPsN5TWx#)A!m1Zl&bK`
zG)BG$%_om7+#TF5e!Kj|mxN88t18`7FDv$M_q3Z(6_EV(zS+r?R|hYwdp_%0KllIJ
zYBzh1X-3LT_cHU}8g%Jv2=}6j8=Gb4S3T>zc5>RvX*OC3w^X999_M<zd=me%sF@+N
zmvs1q{|q{L&7^0!SdiwTrUN#sN;mngO7+luW_+?esNd$|y34|EZ<S}r&(nKbW%20I
zO7{PmlWSVkemPlNJ^DC(e$M@uE2q!<=hppX@ye6~wqJ!9XL?<#w=P$4Qjz!G%3<sO
z|MF=CyUAICw+?n(J9+-nN{)1Y!TB$582#KC608&15T$PK|F3|HQF+pScSGJs--C4*
zDMzXP4Kbek?=ipHe}?N0GhB}!k5>IZ;f~-B_lKgwG84W28BTls@!b8IU5_`vZ4Na0
zslKv(%J-S-^Ls3Asr=va&r9*;^SGKthnr8@2ZzUW1fSG@p{=y!mdbw{n|A-bU(WI!
zm7QO)^6uu`N%a$}HpsC(UzYkwzfjHKL#v-Czv_Q2)mP7N?%VPB@%lX<GCp;$G&?qR
z$q)BQ@m@=N4*J`9en~#L-_JIOpWmim?e?-;SJJP|{MkO)b>%fR`4;_UAKV(wm1G5-
z6gu^_(#v><)x3(AN7Lm!r!5P5doukJzuM`h&0k{DpH>@0%02K?6g$ZkmGZ5;=BMh!
zH9Q=q4IRr)t_n$3imUr{@yY!qw+>XS{9OFjYVVbYM-7fCpWN@eROJ54n`QB@1um_f
zT<;zpQt@%y(bk(*KfizVnV7V1PL}8Yw>^iO3)fEmpJ3#gJ1PEPm+jr3oy%|A{;z3I
zx4vw()pOhG{q~1Dmrd$_Jv&hSv&#gZ=f~$)uDrbYyv;>NmD|gs3=X*d4A|uCm7!#_
zCiIi%u7dfM|FRFN#AVH`Ipx!m_i6cAmySxd%XO-=PT0@lQT-IE^2y6&;_*r6m&x@N
zRc-OGo1XQt<oB=Jt3yBi4B70rzNBj1<IfhGLN_I^x_No-t*z?m4Dk#(_xm2&8y+dW
ztMpUpt;`M|&6@5Mb=C!66F#Z#Qg8}9_2l4_(<`5)e6*RRzJFTPgPDDE_kTQexkS3|
z?jM1dA8LLtJDI;k%KrB!Z-2X+CO^FrZ2!;ks58E*w85&p>gCb&c?%ZY_cE<tbhlfd
zt<vsu&E+Td%cbfUKkoM5bIIiA+mOf4<Nh6+Jk@vd{lGBS%PHxpp|%tLOb^*}x%<4C
z|GrsO4?5H1kGZN(y3gD6c=D@{>DJq-Up7AZ9#r^iPS(Ufby}V|fj`Z=thRdWTgI6B
zpPB9Glb?#sK}_~Z0iF-OGE5O+XZ#n+%=ICkN8rJ69)Sn<B}2-xrv33;<;gHFd*YwW
z6Txr9PR?gtu*ZbsPcg5?2Ym^V%MbHe+NV#qyKeLI^cCh)YjS4(>3@*CYsHR9eEBo~
zoUd}RzP_F*B&Kaiv|4>^|B3Ij8K#P^toheH#cI{#D3$-#3<nRePyRnSYu&p4&u`g&
zOgb)h^8eAN3ms-Z`G2ujEQWjT?fpK#RcD@^wBOe>P&`R1^6l08oc@oe{qxz>?Oq*U
zad)4`_Tct&`nJCRYhPu~eDmre-=W_>Hp|Zcp=Bp%ZTHV()9mS4YeSU2yjR^_z4Ca*
z4`Y=%^&yv2`1Pk&OzgOJa^9B@pDa{wTki3irCMFN@>u_6_1B+sC+*!Evbt<;T;;z`
zaci5U^U`Li{l2hJ-{zxFd)Uc+aRsw7IJK;6|87jN*Z&%DTs-d8pEIAA{1DQ-xHas`
zPtRT1zp7WV$JPB?eZKt9ug``rk4}DnG5Fepli7LZ{i?IYR9Cyt{W+_`r{w#Q9RC0R
zZU`T?`FKC6Q$4NX(dVRI^?f=~T?^+$JnP&)xp$UIHGf=ta`MR(<%=F>pQJSpf0kCA
zbyUjozo@sFmftm<=q=ZU>lM}o$P^wFzAwDl=3?9*-6i|<%_^0I|NNi9es*n~i`Kay
zIcXKS;77f)FFG$3|0BKaxu$iB$Bx`hzwDO&*c0)xlW|^#a-H(MFCYGYm7A&Gb-8it
zO=14-9T88b@ETwFwJ{)!^V;oS_e;v6E-0^2e<3fG+u#=O6?T3B=UyePBR>8%DtuLo
zn9n{jU$kF6s`Ki+AJa5M%WVoz2rpiIx$;4|ueycoDURhbj}15e(mX!PtlGgg-(0Et
zobbGzyn5%mRQJ9(vsYg=Tkq1D-IYmm)y1kGO)ojOWX0hv@}l=e=L>JyC!b(=zUq<s
z#F^&*>*w!0uD9N9`hgEW3M39n@K2v(l;Lwa?d;*m$Mr1}6rXm~f2!FpeDQ10q&0C}
z+@)L8`KljvsFyu1Tkv}Ry6C9&(L3b#bqE*S6n<{|QS{?oCUe)vCww11y(`lBYqPhz
zi{q0$=3Q}iCG%c<TDi=1!S2#o?%_GfA9Fv}8O-dR_oMcsvY^hv`JMB-7ti~Vm6E0V
zuU^;b#jh=^FRqI0J`tJg5}?~2)nAj(=;7^j{ce)ZNk4xZO}7Vf|N1Is<q7S|46j(E
z8}`EWBFpWQ{4U3v%ng=4)_nFq@%{=)$LBxW)ZMC*gto0NocBX$Wv%;{Pe&~MQ<}XW
zD}FRjvO24+bX&{+Tlu_~Ov{aBWY$>De=vbFT-oBXK~hZxPfx}*t*U=grb<ozwIAdK
zDwU-aUbI|jcc1)Z;mt3PD{U$dWY1Z%&hp<?wUZaSHJ>j#d1qDN{-dRrOd^?2H&1O7
zZ4TW&X^q92R~wD%wS_hqX+QfHX3`dF-L^yRQg`ja#TWTag89P?AKEHy2=?mvvi~#d
z`nBORe|Wul>87$*QE9I02Zvo{tlsGfte18^)tTGqqcAu6+@+ltTbCMMdY|Kw-{Wyz
zp+aG9o2bWi-Q<@#YaDj588w#lPV+NY=$-g)zr>~4N|&y3tlJc~YBNZUPU6d5fjV=q
zU5YGVU1E5t{_2E#GO@3DlP$6%v|i6LoMWo9^_p<Ru3vXg?z#4u+dFy5|5)cLZ<A~m
z{w14VTfWYUXe@bawfEWt&L!EeBdpBVZ7^~Bb>Dc&cDE(Q9C9AduWc&f)hTUVe=(a^
zva~h(WeM{oiI?^FC)^X;dq~h`!a9%>)}_7V(b;<K*Rxeu&BMiF7&Cjm#2YWU-;?%N
zZT^QlW^u2jA{tAi!W8DtU9$eecZ=6qV3+=HRNQN;6uUiiJ;;e0Las$e>@r)tzCd>_
zW4MEq@?UwWOV*3Rf22)Y*SNIII3jDU`NonwCGYDmwfC@r?Wj-jc;B<mv}yI$wx!pq
z7M}yzaP3m0?%boiU<0o6zKj)odFx=!%+!RHTm3$#PHS8G?)9A%yN92@x>aQsIcD~J
z`G0l7Jz3j_iz9cjO|yEq;R>hx(xty&ui5<T@#1*q$jcu8@AJI0y(rxGAWK>H<R!_7
z#*#c1?{tO5WyZR5Uq?rPoGE>&da=Ab$2zm1h%9B<bC)6`vb-C6cC8nG(cjOu#PHJp
za_3!UyCy2_I8`+R<i=RzDNA4f+EC)=oqkYs!ZDBk>TO^4y-1yw`0|bm$gg@Jzp`h(
zbgf#;Zl>U?{CB?8rQeIAPq9opcPJ>DYmLLMvORIxCs;1+Y^_?$E;8+y$N%)UFO4tU
zx*lk~F0{&yc*P~Z`nr@+mh#n0FToDjsaR`J)vCPXR#3u9-Bcd$^n{gLubGF>-FIm7
z11^u`CI7jde+7Iontkxn*2|Gr%-(lnE`N#Qzj&$pYZQN;!z{O7`4X4f7n_SWt)9(2
zZP(6an@T`2HX$&&YudS`;3Vbk{A=wCQM=Zq#v7Pk-bn#@<C>IFmiI-d3;3OXdAL~h
zK4>hts{-;y<l&&~wfXC!YKv1LVPMbua`DC4*>c|Li7P>toO$+YtK7Qxua{P>Z5IW3
zF}&@|?Th{WmO8P_-nBIcg3Pby?7cQ2@cUPxz1N(<Zpe2274hYnQ$cC*!65VNI&&dz
z{Js^Maq@XyKEAjneN&0lv|Wb^53_>eY3<juQ(+nBzrOP?myli8UG|;X<@@CHn*Vnk
zZgE5|bh>xk*SYTh{@w}iUDn@OJlXQe#FH{Y({>dluFOe0|5W&9wpu##ItMd}m;d_}
zYmKV*?>l-)GU8R8?U_ra8?H=Uz4UU_uClO1P*S@-VO@7x?*pyZ&Q{qGTG<h=PPO*j
zQmEckl9vXSKR=<)eAZXTxu!Z(zcS7>)hT`I<d!=j%Ia=|iQBLJrc3U3r_~0f|Lr>`
zHSLttw5ZpWI<dX!d|R(Qh`H3?Cau!@WwovI?+}x>!dAHvT5lg)<wj&}+*+2KzxPHl
zcXslU`$w<X$)1(I7<%t`$-NCB_cmO~a(2(17-em~e1n<WuUOx=#uxY8zgBrTsPgf=
z;L5{6r+H<!y1(kSx!Yjo_Uo?C+szk!KMDU^sP@_Lf57CIw%7N@+N|2f{p0Vuv@^vQ
zi+4uPKOOrk=1|acp=rC?mKE8V-u-IIvd+Oy;^lXPt>@j(KlQ8COI%sJ(|Nvk#4D*w
zw=&npS}z6JZ0q}$`{EwK*r$7ApYwV@<@Jtrz7S~bW?swdtGA|iVx4~Q?<AA==A|G<
z-!8eg;fg7X?AFwbw<XNCBwl_u+p6#S`B>YMPxsb;Zd>}PZRxdYw(RiNZ?(ABf!tl|
z^Y;7V%J-K+-n#y3PvXk2*KTdK{Zi$x4>CGF_;-;>zDs!J`>P-Yhl5`8%5F{1czb8d
zA&}X|Ti3gMzPA`;?y=hCAhV^dvd&+)Ub%}gx97|6*|)+MSKhz2pJV63diKk;c?WHo
z>*^aMjm0}7!w>&VNn|x#oyht#<+}fq><p&o!Ixd*ogZ*LX`cAcA^3Nc%WkQQ%x`a%
z{y8zFQ8eTEwM}JPulZ%az4ftmtKlX2l&tvvjOz+j3+A?oW?WyJ_*O@!l-JSyt>o2T
zQc~d&(#n6gtgKa@Wxi<jp77Uu5?AgC-&n?5a%JAat(SQ-l9$-uxbjb-iZ{_c==-^_
z+V=;7zVqp9y*D{xchvjv$O6_ahL_}%vhMfXvyT3<m)ZNS_3TJ%UhlQrudRE#>bi9>
z$oPfJzO8*xy3aHI`GzZ{eA*Y*-92$j^2G{U=j`WdV%Ls&)X!L1YrSZ$;}-|(?TuTr
z6IOzPwyp1$@t4whc@EObe;+RU)-L$=j_5t(S9-7FCBx6_?npoIzTY7EUgte)Z-}+s
zU-vS5$2u?mvU899%=L-|te*~g)Gt~2H}K0n$CA?bI+wol>6E_Lxl-MB?cCkux3?yO
z!zMMWUIv_wH-y}aoNEpVn5j|L=8Hh7X|eCy-H_De07^}^@$V%s1XeG-=4Za3cj7;Z
z;NNj3`ENY5@*}j~PqoUA$l7~+Q`y#g>o+~%0)^YPEBoZZW^04<$my?D*>B&dSM5D6
z0`l{mm47|K$>nfRr9LQ0z2=pjy8YU@UQjyyR}sAXmx~H0HR*#>)8l=?pyU+(s#*t}
zg@k?H>IuHRwfR@R?fZ}OCf2(DKln8FU$ghyS2O2)&%CxS(r)ttGq+#DzHiqFzMWP0
zYtG@I=QV5Wo^QCawJm<_it0CJ+2PIF3wkHk9lP>Q%=UT5x^q7zYR@IEEVi4qHT(C=
zYM<=%1ELp>dDKr@dDj-4HWF9vaeuidk@eQjeQRRNz2_H$<9>cl*7uHQy)U%hPqYGs
zgW#IE*KS3wjlB*o2!egy&bzor9h|DvUxK1lZpqg7FU|`Uv~I~<Vt@6@J5g{5NzOIb
znfl%3+PZ7Eu14)HOGwP^`LcZGt-XsYm3N(zo_4Cb>74YmThR*^zkARB^`6lJP~=?p
zeQO7f9NRch<n;dbd#igPaQ?zyR{r4V;R)WIZ}NU7*j19?*lEvr+yAAiS$m1E^52=u
z%Jyg6>sa?`-a1g0oVV<HboTX(x3><0lEwVgtnd9GPl0^260CRO;%{PW=X#5SqHfzt
zTLo~CEPnyYE`|43er@Z!6%9+Rmdm~!$hcQw6bLE?&V!@YHYWT2)z`f1CYbepc|Pk_
z^CFPHs@I(Zd95!le{JmAt=a9OAhYMKoZAPp`6krncklbZR`Khu>7DqmCb+r)oNCTr
z0+|j@7IPh}7elh@{sUL!gf9BYU)#U<#`*JnJMaE^6R>^meA!LiKW{3w->+GH{sL?3
zd|87_=V#q=UVQ7W<@+`NgndlcIPBiGI_~|~HFNhZyIvg&3ViWdw+<`al62wUQXCtx
zn=Nd?+_t6Hq^(|Axu5NbdcPGENbxCI{QVi%L&1@o$a?GQ58usE`7c(S4_G@FoNJFR
z`_|D>_O|wKbxuUq-uEA?t{({c{$1+Yy1lF3=YtbZd{S0_&%NYY%l9TarTt$Y?%BM7
z>Fup`zvOnWTW<<ZBKxmgkrUl}Tp;#t^4>^mX78uH_H1mocIy3-yL`(OoXz&1xgsaK
z_uT9MS8hz+x_QHus>57cE=O4}U;o+a&zpEq>G5>gw;3<0z9+op(b;-$$9qt1^_N%n
ztK_P!n|Hhi<<$L$uE<HpzTXlD%EIg~zqT!X_kLc~Z|2(i?;3N=-F|8NzAe1?)vaXf
zJ?5_<<BORiteIs?>+fIqZeHge4YDJ2*|#6y<kYtG(>qY*@h<<xir>-M*GsiQ(Uuil
z?F5dt@1W#R363_f3sy^m@>uUmTf<rAb)N!2ams(a@^H|tACfMaujhNOlK!!iMfva6
zWo2sM6xR;QM0?sdmFfOVTmEg@?5e%h;AAs*rL87dLE_3i?Vwco6_g^klz}Uk>$7i7
z2Ir*e4WJY!{eAAO^IvVJUH%>+76Yn$vVGoa3ckJb$MC;+)_GO!3+rNC!p?L_{)|=q
z^N-E=*_8~t&C`qRHcw;!xx%A&_QTg5BJN!ePU{LW|Lsj%ka^L!T#bFz>_7tnwqLW)
zI2bQ#WMhdu_F~VoWs0XOCNFW<R14YTK6~f>B6lwS?g!k-n<nnR`P*sEA#<+7=X+Yt
z^F91<)vD%AaNGT)w)vv5izm-6l+viV8_FlY{6p{m_(PAiwMFVye{YRY`SZr($1XcZ
zMt;9@rF{QSET8kYu=rc?qUZbc+t=?q_E7%cl!yH@A3k@>zY{03&u{+kYx9l%M5q0z
zocLj8-LEGvZZ5Y={h^%p<LAYjuO|mDK5YL*^YH%Mws?WqTYtNM`rX-VaVIjXOy^l_
z?(3v~EO&Rzus<4h?)hBS?J<)dbW}g7Re11j!c?BV9iCyq5m%ElV~qEiMw@llh0c8T
zbHah`Uo&R47gQhn@^+^|RpaZ;0n5)j&GxSp>zkFH7+Atvx-vgXd~ev|dsY_Qma(aG
zj`}ZpWt@9KqpnTTLd%9*GU8z530?`&d95aE9_U>8Dla;R_05Vc%I5r!6ur6=WV$k<
z#jM<wn~F;Wo@=Z-fAP@^%S+cQd-t6?y4=RS{cQ0TlU=PZeHTRKGxgV<`k=1#aJI_o
z*Vke`zk1yN=={t(Cm$bt-t(`7bKh&f##<aU+!FChtM4DzJX7s9L#O@Z6;lzlPlXPN
zey)d~T&gn5UM#P(&Y)2FOlGp#-7oFw&6_(V6VFK`vb|h#&D3Oei{P^y6Q8%Qc0Np<
z*BENxldODZXU2?IcApO$nC^XMF0iaq@Yx>2+3RG~m{%vvVDB*yUzBKMYvEIt>g=i7
zYaqTjG3(l^n_u!?h?tumiZMEO*644_=E7p;#95Mw_ssS7^B!ckn&iY-anRswufg<Q
z1Lv<^iU|h|bbAcK7bRZ$C1`LrVa7DK8LN+l#vJy%dUw~217|Os;+SkaDQfBbpJ8TO
zg7~Ep*GW#lKjra%>2)FDUt@mnKFpzfru6ZhHIJ%Sv4tHpSler0-g8su>5{Au9?=Fq
zr#L=4n)uum;G5j~l$B9kkKgV@i9=lk|LeIAzss8?-80e4l-*oraNNgSK)CL|pz<?a
z<-7NOZT704Ve?JX-p6m2yjpqBXM>pe>=6g&tX3DDXZ+`1^07JgM(3LQBCUIpucdJv
zZ@K@!NAPoU@^#PRGbU>k(#o73&iL^AQb(n^lyP$?SJJbRGrQQ54!yU}I<hDIh|4jp
zX90aPGZo5z{5>ya_+EOa@}yI-$IqA+#2$QKf3D+a=f<O7p3k_t;mTM0bp<{@{+^dM
zTz%cG`2F(Y_oodn^B!rr|KC<QZF<HTDVLy~uV<82_~?P${=Iib>|(>$zY5Oi=#=W3
z3JBNTH%}_-K6Zxh(sSWyyZWVeOndPC|ACI1wo;qR6po)c5cK`xk3)tJzyH6`aZ_2!
z*t{Y6+JT_!>C7OZ6CF2=rHt1mUwfIYdHuky!|(rZ6x=+0<GaT{m@Rp|W9MH6`&w8z
zEkF70@mK5$5wCvbD5(AUH($!|w@Y%^>ls^<Ez<5@0ULj&<L31p{Q{nM-4|P?ZEKwI
z;di`2(l_IC&s}Qm5?8(!wx1@}!MXqcbGI}9j{Tgo;Jms{X|12WjBwq5{hk@jJ)c)5
zU+a55V<spB|1T9RUQlQ!=sDNFR`;n?MEQ@u`;C(LjMn`Wl-ZoOW1pS6cpvBf|E|hu
zw{AEKc)nG%O4|jt&?t$|tVsUk_q05g`?E`VYxW&}|9`7saYv!9;-p>EO3vhfe0^KW
zuwBZqaOvt9zh<q^doZj0zP?cspYgfp8eoSOdx4_$sN0!`7iVl$woGH2w#!bsgL8j;
z(h(WK-kF!L2l48Z)|zd2_u=<aw=)xu*?cr(6%F2hN!d0%?cj_Lzf;}L1RndjXX$?n
zKJU5bXYbm;H|^KrLtKB|AD#ZiKkY%x{+N1|KgvSAUrzJBy?D&>m&0>r@2AY#7uFpX
z=ei=V6L?^G-QQ(fFYjNyLjTG!kNt-($R$7NeUatA>)b8Lh{m#av;HZ6Gy=7;AkFsm
zCR>h6eP>;?`Zv?GUG>Fl=C&^Vm$71N_WkSiCNWW<hP#$?^_-2zAD+LT)xZ1nt;mSR
zGE<$UYyVr!yI3!Ce!-4SpiWVJaz?-Ty7-#4K5wJtH<t0PaR4>{ziVAP7n}H23S7<q
zk9Mwh3fcXRFFQSP<z9}k1+l*svzKoz>%F!vIuc~he&a3bQol_Xt={voRC21hPU+mo
z`-1l;wE8WWd+pX$P$%U7_qMWQ5&3)mxZS;9!94j?^|3Qe)og$MP4AsCxu-_&Ma{X_
zH3=(ATb5ocT(~b^|5YhVxPpAom;VPZ%*$Ex*m7^*h7h|AOmBHkwOqBzdYiR2b~?B@
z70&Zk^H^PJ8>rLqmup(o@yk`R3m3n;&0Qr6s+jlBU1)pt#(II+waPkM{pW+4T)$1Y
zrd_FBy|wr=s2}`)$3k1(Rk6LYo^PjyM_Pm8;^1}5EAu@!m}}<ljek1LWI^xWSDen(
zy9)natB-tQl${Q0DQ#eSEA?W<Z!!Iyf%64H-n)PA!o0*c;qNz>ftp&omwawpYNuTC
zFd_=pN@sVjUN+Hu(dyTG{&^d1V0s&Mr!i}<_{Ori`_37In?e7VEVMnn<J`wMqtbJm
z_oP2jJFf%kBiW}OnUnjZIA;B0!@YeQu2>xkdfo9{a%=jW%irI=IrKAO$^R`2ZI4H|
z*VsC|4R?=(w)EabgL`M;;C8fe+qanH{Z@;v3r>r2kI0g}f9bVF_txz5pfCnCed<lN
zJeT}!vS{_5K&F+SKwV7Pq_=l`YVT*kqJPyw+xt7(KA848Sg+l`A@I8%C>?w~c5jK@
z>+`RFZ)^O^rTjOY_buzOy4Dw2`&T8u<yqrkT|Q~+>t`Fwdas>p0`*h=6}Od{t%_}a
z0qSk#|8<?3710Ro<?aNvM(gigh|7q1t{!2{?0t9pj`vJ&r6RKCw?R6Qhik(@F70dk
zrsOQ0-xoN)_v?DggR{>i?XN!HaKHXw$IcrO$M;sret%Kgvh<T9=lZDQE9dx!)xT8d
z1`j&?pS*DI5l}jK8@@Zjn%f(c9QvYmf146_ToY{h#S3v+b575#l1*G$dS~J1wx!nu
ztzPZBddu|2a_)@eCHGHWh)V-y#r;l+TW+mSV7;}q;$p&rxov&B^1uH6^Gd2>s)E}u
zeaTy=7vG9}<Iz|KYLb6b$WBiHr=oKixi*)@E-jn*?{wQYuH^kz2X2{eV0vq^#$h*K
z>*H6(OrU{;TU#%KQ>3YL^-^$3sgci4Phh>ZGivX>3xS|suP?YMdY$)eC?uepmcEO=
zQ{Gr6Htp8(g^R!O)b=k0CHnu;ZQrsUM>%J9?mFJ~Vcx{nrSA+QwDN1tddw~Ut997K
z>kp{S{J(4A-eVWm)gOyovo%pOH{#X5Yx!wwqmSL%sw{nCw%f1!CR?scegCd>?Hs7l
z?{sZl<I+!UeYdV}Da&&Kbujj)W~?{<<W^Ez|2lnlR>Z4+aR+XhUf|radMl*O`v2yI
zIQgsRK%*A3&qKVcvvvOK^8Tx@%KK9fg1V;vZ?}ExoP1sR*020^Go}BSUq9dB|K0q$
z)#?UyDf_jr`&X6zIbV0`$NO0y^<5r^zh+uu_18T4r{?4R!kzwoY9~+5zW%`WqVsGs
zKCTH#p8T0>cRriAy)d9NC2)m)kjSY%CazXDvDRJkt_x)}76dS)1Zo%siDdPQY%Tkl
zugi7RzRSsXLQu@{#U`z{<Xjg%aqm5~JYd&ijgT)aB3TtWTvIK(oDTMNJzY>`&{`#9
zdUfiW6`EJBYH&R@dv)NRO||dB9Jjy~%K|6g*l}}Nfaqckk<%JSS1!)sa@Fs0((Rem
zViyp+A~R6LD^_HwdnT8vc9)Z?x5L7+ql*G2F47QLwIWdavxdkV4X#p+u2-ifUl4ia
zDbi{rZ2G%~>#0(glW3=usd2wkq;lY@8-cF!>BbtxCX!REXYrhpTf3O;W^w>qrjbVb
z7A5`-l2hDg@tjgwyZ9OVOZVrKm-l`0)IRs`sN&{^^c~030$yYpX;hd>itKZn8S%++
zPqLlF9-|!>7PX(}xTc_0c%H9=E7JSXva<`4uB8Or5atdO|7xcdVAmQX*X{JRZ&ARN
z#ePQ~*l>Nd5^FUQa$UGfWkG;{QlN&+MvW_5G`N=PY1P=ZYKe6_UF=&FaC))Z(_oFu
z8#QgYSgo~=C;kjk>vxLmUKH?lQIX6n_k|OVE(+MaNMp*D6*8YSLsmzKaD|Ap?h*xg
zSyfZ4RZGNmp@nPf``PXb-yK;LkhMr7WWkESpCVn}Iji`CHH-r{mk0bhP?aSRyh1h5
z(n_P2F@tNWVwcmw4lnEZPP!eg3;#F=uHXvHd>*XvV}r((3JtEM@>;ojWo9{rw!1Ez
z;2gN(eZa;~np5&3L{^!Kv?}qH?)#*9B|SpK%Sfcvi?>wm*ponwLmM=%#A<LomC#yv
zu~I}!SBLAUXqVI3)>+|ptwmhjPOPnq0wNY3dLF!D<_3)^o*G<Ng|n{wu;FSIG+XMv
z(8cNLis_5zT}lrP)~E;&d8KgWP5bjejlvBYA*LE!NBOe8{S<j6p~JP5yUWS7dDis~
z8?K{VVy(YeTo>jj&Z(7a?PBS65^P!&Fna;tndJdx34tq20z|k3uB@ox+RAEXI>~+E
zDFq+v`Ip$UxJ;S5oHQM0l*zSfF?Ks8HY^IzU%+=~g8M>|1B*UfuXkOj;qY{Z|3bL~
zivlDP`lAj$G;L*K_<i6{paye*$SUS5Z$4{^utkVyvFLCuWfE)kVz8c;Jf*MIh;izL
zuQptwOk%A;jNMLW8(bHv99R_Knh>bL6Cm=6^-9QR%_&?FB3W!YTt}J3T5mDTj+$l8
zCCb$0^spgl&usUFX$KYs*dzpJTkKgDppp>0`m@`@5Qo4O3LF|&cp^l)*mbx<S-v%{
zwy3c!ZB-AH*RB`IViRc%VwzfJ*Q&+T?PS^Lx^UfrMFD0Y-*3<m;n(0YWzG7w{j<gt
z;RumeTsmB=Y+|jy7`vTLHri(C{$J4fsHq^t&a|2Tiij7hNGlhU>F>{#aErJt_bdz0
zO*no^zI7I(>%u7xvNQY_b{$w0;Fl1%LVE-MmB|-Gw0J?D>2mUIoRwwQTEx`t6x-;!
z@W6pZ0rm-j8kQUQuWSs6S>X0Gc!l-`4H1bOi^U3l{0giRn&tGiaqS9o?GVWo5#gUT
zL?j|aw0PeHR{dYK{EOalO%X+qdqrA{nj4%N8(kM(I<P39C?Rl#?S_@!Hk`f-r4B9%
zNLZk8MYd)0`NdEf4UncR9v!Y!&dS9WVq5|tx5PhdT#<_q=@Q7v5^TRN(#5O8^_5es
zbrQ4dLLo=l8D|#<q=38<AaaWLO5^cQ8X-~<B3^ttTwB?{H9oKS_Vr=<^IoUM#zg_;
z3zk)x^gEqwbY1xEz@mVbguoSs8&>`age0<%H8osY*~MCwSZ2>U`tbG2%^)Y*9b8tT
z6QZ=jxX_~CNwCRvq0~XU0=4$*BCGh`MEqPFP`yB7iVBNJmw*n}RSvP%O)T9`wN0)I
z{~X|(Z_nk*(dCru<N)^Pw1mJF{u?wzv?4@a34n6IHw{^CSFWs8KSf>%>u|MlnJqnh
z{rIKH7euloa$d0<ciP$n%GV2<To)!Cv<qmzE}|v;CgPXdHIY}sBCVTPMeEX#vrE$3
zlZyjT?8woo7g;4J(yGKNnjJ3J`irI8X<?J^%MY`h9GiT7Ps(qdoV+l~ap_$Dg#iZ_
z1#C=^Uvc<)aFx(k_E&%7f>-zlh@27&xpn)qMu<*?h?lqymnn}}s}O6qQ)n|N#;@pW
z9IfG6$}QF^#n$a)*zCG6?BJq+dkOtk2Q~(rSa2@f4lc3kVBb>pg_>6^Z?M0LSdkI1
z_}kM!jWke^aAR>vh3~?ugLVZ`_cX2;XmBm%eRZIQE0kBP^%GmS)7<9T1^ZlIAAa8N
zWZ1kY;PC=JnMv*o_Z(al@H`<<qhf=`72^mIFNGX0d$)x*9DS1t<yx)SyPXa;`}RJP
zd7Z5L*fWD`tAN?oj^u@}995_IFMM!tQNT}-N3*#^`O4M^RxS>BzCdG&{R-x<%uAOA
z{7eYcXxyN2#pwq7E6)tBtAb*!P3*1<`J8-9>*QL6IJ%v5TU-}v99k6cIU!JE@&?gx
z){Ro^YZpvSkZV@Epw^srL9Kb&1-0gB=hd3kE~+)}JKuHlKyug(o?i~Ld44%YCzm|=
zWw>VD%!}V7w$z24aXV+y@2H(I+0i>=vSW5e_r+IMMfW61>ch^covU4Wc7gPklMA%B
zoLpeN<>Z3zn@=u?-g0sQ<CY||&w-UZQckmZq?~8-NV!Cx*?sVsaN-4nTT+W3=R7>|
z+EPF5g7B@B3(~hzE-2rU3O@eo%!2eSrBnJgPd&ckeuAsSmR2*#E$!Qmy*P1Z0q2&J
z3v#!dTp+w9X<Om4N**ic**sP*vw5ssqupOx_eqxYZF{tWeeN;G?u@Cw&1O3mXH0gS
zoH5yPbH;0puLUBB7i?~&T;Pu~ylv?x*Zl8-TC?3nwdT5uYR!2Ut_4;mUl58iw5~W;
z$rI%=n<vV3HcymWbn+L|^~%qC9m6w@zIo#FS)!!VOtPfgOtPfcO!7<1Hi_EsS0|c(
z{<?W|;%5nyE;C7!9y3XkzHP@|NQtCf@VJ%aRy^nKnyJSZgl{>yAbrcp1?5{#E->D5
za>4X1rf$b?9!_?<#dFJbHqR}$=;V@=v<ot~tX8eJWs_Ybx_P?s+2jj`w^A-x-b%UP
zcq`?C{H?Az=4`%;M1xPe^KO<j={1uy={J)!nYgX*(yKEIR&H6kboH8VAAiowFn%GO
zYxF`s*XV_EuF(sjT%#A-xkeeHYiInmIc&qWcCjy;?h;?NwM%^2dKc-YHQ2J<T_jrE
zaQp?AaoPpjTPYV@Z%Hjy&URaE-2Cp6T65dQF3S@$pBZK-<QiqD<QipY<Qo2(mCnYy
zOn0rM%yWTk-|doLCYVWnnPevUWr~?(NzXPV{%yw><Zemg`~34{@&&hBDHr^1rCbQR
zm2$!4R?3Amkf)*y^9$$Lu+3fK%O<;2*UdeRt#+|5+uUWkZpSST&Gg$OX)@VN(q!tk
zzDwmY#u>7?M^8#O|GU^_c`Esn#Fxotl3%87JGMeTWKr@Je`7(mzso>=68&6uV!_lc
zCl@T;a&p1eEhiTo-Ewk4<Cdd5TaPd3-jdW;C|1d{%WF2zF7MeqyL_U1!qVAdm;18y
zE)gxBbNq>6hEA?ghCy!c#qv3Qi?4nKr3mjRLv;&3^VyE?GbTIk&N#Z~u)FL=$u0e6
zl3ONj>zj3U;!MZQ8QOmLBuaY1&YYa!_&j5>BYWm#NA=9fj_#S09rtHUc5Khg?&%9Y
z9x1a)(qy6;%wLbbq?D#zNV%1A!92?FebF2nw%R4WY=4)4gCcgZFI(+0-DfJtEBl}S
z={pPcROuC)zBR{Rs0+xd=kv^R*M27QeBSW|Gq;>vuyV`E1v|H#Tu{2@=$Wm@7u0S^
z@+%fQp2PNbi7#93QeU>WOLalfS$0{ine9@S<ze@yh8Y^UMj1M}hE|*Gyy8EfS@3Yn
z$px)jlFn_~rS+uKXzx#rv<oUxhR>bY&Mxw0^Ih!Ac6RaF#mkCP(k>|7>Y8lMmb*x_
zcwYJpP&}VpP`l;ig5E7B7kF+txnM0QY41D`nX_Heq|Z#!WP+Kb$)s(MN|H;{E=1f)
zxu6wgC=SYi*Dk6xi(L|1tZdxuc2TYQ+9k2Y<+HtQp8qv#es)o<S?$ubKx2zda*Mxf
zfV_LlRr^`(Iop26-x-q~r86fxT4#bXcK6J@e#gTZM`NDpe7nUX<uRK_%5yf4lovR1
zc|oaSv1oB?|Ec5)PPbAnc-=}WNvWN(@7YYp?#%AMqglPpVVAV@Lu(}yFR0u~xgZv0
zXlZ9($#cskI{Dh?U@qef>0F}>u3Xuv=4`zSMT>P)E-2hexu9_?<$}SjlnWLhEw{RC
z?#VS@yU?}s94J&pbB!`2bB!`&b7e!%zB&VrIm<0cc^`PfZ}ZG@oy{}LZ8py=_t`vA
z&a-)Dc}Dl#%e8x8nZH$XOShTimfmfBvyM#sDzVr7rA1}(1%)U>bIZ#%Y`lwo*?JfI
zvhgnQWqZ3&S1q~Uu{-1Fokx<-455}8WhmwvM$MJnGG*Jb7Z%$q&#=vQyqq!F@pDFY
zPv4ryFS6t0r`o%PzA)vR<-U6J!mJBQJhwolvZMAhKKFCU7bI?hye4JbrEJ`61}P1>
zq73C*d7_*kPKA_-(aG;N?&`C-B-fmFq3h?2;`7NDWNxK^!%pW`O4b%D;|#f6qYU=7
zGj`h?W;JX6c0sM#?4nw8*+o!=BR1Kbjd!7FaX%=t3FI1Oh~)N4o}M_<(K_Sxia&-{
z=W5T@ww_(!y5;18&@Cqyq;5I6Kyiy`WsfbJ??TbfoS?)GN??&&PA<sYa&m#{mXixQ
zL5XeUv-h*~wn}d4G=qiWqZihFpe%Z9h0xn$j=M8N-KYL?l|U5GW|CW4w<)b&-|I57
zaE@#EyhOR?u#0NV(=J|<_&ZxT4ICVx#4;yu&QXckj+-+kJ6_Isy`oNLrsS5kZI7Px
zI#y>KEqT89lLRE%%p|w;Z|mE2^wpUKbGMva;J78Jsz6LR7cJs^*?5=wvgs}oy*&Bx
z6IjgkN*<Z`b%yntbu;%EW(cjF5jUs$SQ@yT1l3k9x01H(>a)2Z*KBsN>*d*<&keyz
zQa;xxLpj$dLnzlM15~z)tes(3bERUo<Kv9Uj?9^p9hEb)dqBxNIrH_3nKH}u`%flc
zu)39U!ReNiaSJ%dfonXSD8s)N-(SLVoLY0*CAH?Ti(yX=RwkFs`8TIpuKC+VwPv$R
zYRzSr!erK-<GJNMn@7q`JB{IdLD$&@jayDGn7HNSf`wa7E{NT7a>2nZrBmv>Bu^xN
zlGrlAOmfSlZO3??pO|$qfBFUYr@fAsGmi2+y7T-N&n%DGJhMD!^UMNe)aw)5Zzf+b
zi!#gv<!@Ntj?M&y`DDl0nUftKXB_2uwm9u3G;`19k@Ajq&n!*5kZ~*Ninyp0JMYq~
zH6aJHTO74BCp&s)PIk=Be68_Ux+v{J)~%EaHc^JomVN(ba~o%<<r-yZ<@QFNpE%R;
zb;j!zrQamB^oN}>m{%-58(gG-tgX%jWgP8KxAqubl}Wb%rMrb&j=s2WZ`{mw85{~y
zezSR^JhanT(kC8At8Gp$c)CS&x&Cw0Nua_JRxqF3ax^BrH0?skt&|IHQHG0uthi^^
zyzP=&^S4WC&1RRc1xCfng9@1?qQ<j~-)0&^>ms8J6L3tsUfXY*z_xaYsBzo#Imh93
z+tn?mZmVA#{#th>`I1b!4#+W}THxfClM8NcIk^B-75)S@ri}ZJ^K6maGTlsa%S=d9
zYUY;GDgWN;8E0s$o$(PA&1{#|n%yp|HMd>9Cb4$i<C4uFe<i6Ey!)HOrn}6SZ7rxQ
zT@FgYx@!46v%Iv^ltAGEvFhlH`N1<By)(N{$}fJLV(~rEtl8|cT65XuYk|MsSIyda
z^3|^uao;3NriGnR0mbp+%*l?2LGhY7*)cj(yXc<8mT6&UQs#Y5nC-YabF$;<%*l?g
zK`Hq03`gy(?4By_=*J75ZV8${`?$^~$&%KvGa+)ur_(Nch%~%h(e~?U%$Wr*Hz%bP
zEZdpM=Df|4p?8j`@nrSI{DD3?lE&-{EH@{mee_xPNwKR__3<-?Jzj=lHSLV~5g|tA
zP06oTMi?E`S8{Go7ERF8e&MO9HZA8&^F_6=onr0H7xm0e9hu}?VQ^1!WynV@PuG{7
zEg?ET8Tj3!?Vmbtw-^0m#m~Po!sz7Tk8bWy4|mI5J@WO#gf7+DufDHc`LMTn-xjR~
zskJjBHc9fkozHqY>umOFc5St3Ip>-$Hb(7yR`4X-Cc<!I3U}?cuMd9KFnvupdSsIB
z*PGXx#Xntoaj>gXHTvb|yZ!9vA6vc#@mibq1g#Z)66!az`SuJa{+W|&Zuoo<{QSgb
zmBRc8N#pRRhOJ*0)ww0s`qqRc?u&3V&6;GhJNs>})$94a>g}wjyKZZX#r*4hAe1W<
z<8w0M;%rv#D@qnK*EU^$*QsznWWsglgMZ{XpJ_I~yuf33+ot05BA*4$1)m>$?b@_)
z(?>VIn=Nl5K0nwuoqvLCzTBSJV703fd-{XTRIM-C?6{b^x!{b(rrE2LH`<>R%d)wr
zs2RQL<BgLk+MA@!`R@s8$@3h){7#kirf(F>_gbxgd*%Pne!rFLTW`qY^?N_go?pW+
zVC}!^<7KX8P1QzMzp(GG_<BG7cUa)X?T!!UT?>g`6vZB%skL&&r-p;9hkZg1Jb09{
zOevv&)hKx7qnP#SUZHb1f|osVnUN%_erU=H3-ec!rp|A~&M5RgJ7^u6nq6A-;aSK`
zE`O0XW~Yu>n>}?-vA<w*gZt^>EX55MPr6U(t@W{6m6H=3q$OQFp~mOtjf5xZhg@6!
zMy4hgPnaKU_OABlPXoI*_qiV*{`c3w?(U3B{QYnJzsdhNxajfMsh{|#_D+vJW&f#}
zn|b}dDfMe|_DBT(>)iD5me0@SbrZznk~IIH;n$O>VRfu?y!oT4=}&R$<Ja7u^r!Ud
zo1fSpVz$pf^S{^4A9hRsEZX$3)cfaBgJ%Jo{#*5M?p`ZDCwkAlV6oQEGHzuX9|aoe
zdr#?Ys)}5dBl7aJQR?EB_-WeGtp7GS-PHI$eQILOjnGra#QC1wZ~2(`KUbn&<YxWz
z)zZA*?zewTthem3pY^7m<MH8tUJ~+UyAA$HY?9}He7H`j$9~$IZx8<@mUBElTxZl{
z-}h$up&uLO^F2OXr`BUX?@c|=<HP^5B<h)No^Sh@XfJ-O{cnv#z0ytD!#_5}gUnlQ
zP}lZG{O^OR1J^lHmK*$2*%Z(9_;8(N5C8A%#6Krf>TP@Ye@iFU+*sf8aruP5XAAyq
z*e`l)zSlQ<kh^a4uVWYKIsLxn<MN4jL3-j3{n!xC``BOo_wK}+8~3>%pI7+(8>GAS
zbNk<BgMU7o>Lre~|5di%5f=DFJD&gXd8Oa+hktDNuiIlk`;C12$Hf2D67t{t5B(@U
z@h?+C{@eLOKM((_l&IIcxgX?3=d+AYZ-1JeSaajQ;Ia0<^ArF4O!=?X!~gqwV$F^H
zoR9Ste(yi@W5a*zIrY=t{O5dp_}_g4yEp%Xiw|5cf0}=AJI6jToz=FiAGRjmRAu=Q
zwegJ<Q_b6mGH%9w=XG|sGu$tqX6w}O-ud)A#RK1$rmh!w&^>*V`D=zRj2U;7eoR{S
z=Cl>V--vX{#(!&=4H`-r*fyOjVrq_HS2%0M&}=zhl%;J0-+_Q@k)8<=7P2+V!}!u=
z8wA&E?mFOcjZxyL6~p4lbkjz|HOvOnO0Nk>9RJDClP=r9xn}dU14jz1ekd(Hvw`n`
z%C$)M1f$msK8bU`vMk%gcfjP^m7bq#=bV#kkhEcLm}%3@%aYd0pl>~8^Wm2ds@E6s
zolj71+-bwyP`PGv=Yg7Qj1dQ{7$UFT^hr>B&7i}@xG!5neDgUmChrLLgiH(Bnwx=o
z$+8Vk*D!BrD%~a+ang!mbp(5Y>FYh73B0cv);z7*CLD3xis5x6`vSE&eD~%nns4Ac
z;C7Ai#t|!P&YU#a2HiEAyAOn2W4v+9iXp#^Azx?W?MU_n_SXz=c$PPRUv?sP4fBTC
zrQd{Z9JXSpj$~gjtu%}CO|opm-!;qy4WDniKTvqhAd@urFAHD$<%6$vo<y%<E@&y`
z6R<dC#gM<5?||VoOOFQ@uNmef%ynkD_ppY+Zxf$`>}wgd4|x`{d(=Jm9k*gw9`Rh4
zX?Z031D@A1iUre38P09wJ5YJe((8c}$A>hn%88{6dK=H(X1T||y!m>}$<u3!dk%<R
zW887diox=lrQZXo*9<Y~vJKK}ikl9w&*4Add#$qlfbKQM9kPDx>vbo|Z#w76R35?p
zK=~Tuj~S(}IPRp%HdL?qtk?K^4f6-i=ga}J+@<%FFQ~F;m`;pk-0+^Egxj_ve%>27
zrak`t-#8fWZC9^uV|f2~@?NI~@xLeUttoDEkiW+GLYirhN5Hq_xtm#jZRR`BP+(Ot
zccIJ)D+Wj+dd|s{CflI6hS^|Bsh)tuF)N0{mh-z=+&1umQ;?`1d;ZMHizC?+cwRH~
zq|W`$*^?~W@NrG?%mXaf7-yWYVvs!doU74u4YR?_Qif$Mmk-`%eHwC&amFz#OQy?_
z><MhI8P254&1Om4$af&;8sm(^KV#Glrk652+jx$b>GR>258iGrVtmbz68W5&i93=#
zLGU$$PTJhrEYmjd9SFL%(=|czIrD}&r3_&k&gC*`AAb2DcTth(YlbyxbNM;fB+52y
zT~pkC!0H-f#Ca<Q(>0$38+qsOLz9lY@C_M1cKzn5(Gkz}8rQC2-q2gha4%(UHOscm
zd<XchG2S?7^_}z0qZ)>?&F9ovzHQ(;aIe7X$L7UrQuz<q&0{=JH_uU=iM@i2fxVKA
zp}m4FmQ&`LB*UJg#xL9+aJ*)aNtJEbe)#2s^+!HQyk?L|mu(PUbGi9|^%~}aNu^an
zE0Sd!$|Kny*q&Q1-Wa@wxnNc)!?~8r2j{arjl9NaaonnwV@|qk1NR!{f{CTSgmxUU
zVtBuq??CFg<?@Z%YnThBmi`hxv61h9={3e3=d74H?j*=I@JFyeP<zdzQqWz>khl4q
z0+akEz5}w?7=Lt>UShFJlWo|&hPj}>ludZYc`Jtb8_o$ZUHurqzQCK~L%ZNjQ<fjQ
zJKu;g{fTrf<7fOArd-{^P=9Kot#iZvFDK#@56CyA<X>ZaaoCEXHZq;1v2G3Xh54ln
zY#YvbFfm86CkVXmQJRn@+i-9Vvq4L#o3O+wD~7`n><JdHdlU_tN*UZXor_>v9KoJo
z@|vM1ac&{Yu}ypjEUqz1oVR++>60Ma(7DFA(V?xBL2V=7fs|`AJrcNHGx(&+He{}u
ztY|Q|lp$@yITa@3NcIG=*9>RU=ALAEwt??J%r(Xt$E|`n&ZNsWu&yzl>M*U8L2MJ>
z0i|m*-4YC6Gw3ABHY{CZJokXnHO7c@R>mA_5@Z{uu3_HLRhlLgan_0<JAyqy_w^a2
z4Sl5y*EXNaVKQCAyrHp_!EDnx8K&70><PxN8FCWm?qu1viSK~fwVCb@e6KOyIA_IR
z9g%L=ICl;6hVD{O0%2mRj$lvFf1Sd4WfR{4yK9U$&RcPF$|T4(G_PSU=qMEvv^ZnM
zkiYR<5|eub`vZ;F413O6d2`4l%Qie-!(7l*I!&mey_CUk(>Wui(lyK<^j<UUIc{~D
zb54?M!{s&11#P8a0v4yO7@kL@t2TaK!(7l<%AmLDoEFpc2=)iH*BE!4v0BX;lPKG;
zdd=oGhrUvVbsPB(lwOPUe!%vcq2_=UgL!1SY$K>(n_YTM_{W4&hIbp5ry14$+ra)H
zc^>0|*m(!pn7&uAF?_FNW0?Pe$4qF&vG}P5%nf>q2g3VPt_wVv?YSwM<HJ$ao3<=J
z%%;2%WU7hu`o_b!&s(*+Fr(p~OqF({os851{dTq&#}{rFd?C+NqaVtbAluNm=CfL(
z;~M4(X>;GQux;i$AaIRQ;*^y&2T!tW!^1Vq22G`9LJ}vf7#2sQi#J|e!)(x2%5ZGc
zxjd%F5$p*zuNiz2=GwBTZQ^riEBz)k<A@c*<Vf}euGd$DW}LEOxE#TrVD<WqvO#kx
zL)xZubxfNh*b~fNGn`4B%gpj@6W;-wYm76_Td{NMB*-@Cyp~bgFrkz|Y$M-+q-&Nw
z37oGPbW&v-QrCPIYlKuleFBIINJe!-TPee}O?(Heu2s%HP<4%QMdI9K7BhJDa~xXz
zFmISz>L&oMem0%6Mk+wmIo~|Gz_6Qfl5Ugu-IKP82duw8xhL@8YyBoOmI~vUcf^_Y
zsQA9)XS{b^jlYFqecxnu=Z5KTPC2hB?mCcojj^J;)KA#rkQIY_B>RKhYnILr;6+LC
zG-y$BP7q#{T(k6lAo7}FPMU0k@tWes1CWA5oD)%y=n29Lk_I*1CNuU=T(22oQs<s#
zDO$r^&|Au|Zu2=&Ci4jP2h7(PcbxngqY5cY{Q3^GKP(9d)qcN$?|}Q7;@$(t*Dx1M
zFJ*YQ@mwj>_ek~!!q;{>E|8kaa{^SJ1Vm`;Zev(~c<Nn-3@eR_DR-Uuvo@ym3oOv_
z`NqSjbtPrJz=8<BZ)vg(c59d~OfSt7ej(0O<Lmt`UABR7&1c2N#)l;z&Wc%fl)e-2
z*vxkz;TogFAuD-7M4?jSlfd$v*<e!XJ0Wm8hH=(5j(sHx$2Xj_WlD}@Pmp=d(33v*
zH;dW^z5_1TezrhLmgQAypcJ3r#qnWlvE|hC3#|uC<}n^9na6m5XP#p?lW`>*!{&(P
z(v3IQFdMX&GCbSlSI+b~f<3|RHG@vVTsf9aYnV4oD6JBTIAX=n9m$@+`TC2}hAE{C
z(>C%QD7yC2H-Ysv!<v-2b}V5V`3__;h+Je?!^wD$RpWXagFgS1*G>)PBB#D89`NQ(
z_2u~R&Sj?}OU2DacO;nhJoe2?l5IG<#<<~t*fqu*r>q!mN2Dt>nn$uHSij~`+R$9e
zP_~KhfZjDv;TvbI7$AiWk6OTKD~8_@=^TyVrc5aV-==c`Ozjcu4-8&2>^W+6m_sH}
zwqfxa<2eUzuVF6eDP`!}e2#-jJ%as#>@`M<lUB-{S2pq;*uBQM>p=Ay=7O$L2EWbc
zGMLgM*dOS;W|)&a*O2AhX1)Wg*Cf3kD7|KgNs?{&9+@uFczO+UL2D_)x=rUQm@Ka`
z?l@*8%mHb>Fn?ft?W0mKxs)MqBj17CYbOODh0Qq?Ci#tg&}5?~yyLhP10>nZbXp*F
zwfF+#3n8X0K@(z~8@BOoisrcR(y@%6@t&A|bqj<3jOn({4byL)j#E7F`+X{;7Bc4e
zk}BH(sfE&nBo0_HKx&~g&|1iNHnf~!I2@7A*9a+RK($Z|v=%y}3@K+owa`p|NI3(l
zg*G=p(u&`-11Z-SXB@I(2#!owY|LE446TKfptX>Wn!)T+21qRw>69S%ngLP^ZJq+D
zh0Yl<bw{v6YN5GLS*C5`gVsXY&{}Boqyt*l7$LP#x@hCpHO$ajC<|H(T@$!*!ioV>
z3$0Pz&|1m>sf8k8wa{zMHwm&0bKk6-=RM#44daco#tb(O8^3T$&_B<xU|KrEhW_*m
zQxDY5V?4lk?WG%}nJe3Hc+KUx2S8OpODRL&CO>{ANE`R1C!~!l+fW{{T)c7e8s>oG
zRt)bq`Q<ac-^h1B^V&=22PUr><|N8CY+iGD`T@&pj27pu7{nu%%QtqfVJ_$_{Uuy6
zzm!35Gv5KxYmyERv|ckjiC}*q1!|GZEoI2t0BVtJ;5*=Zjd90Ot4yZtk?aqguQBd8
zY}L$JbHs{4e)Bm2rt(Pk2Xe0&?xfFM$YPf$+mIEK;|wZNK1_`QHBp|edn3kFb2Yq-
zpK)KHPIU`IyyG-m=Z5bqKuwhHaA*@nnG=#YjJq7_uQ5UrN4g255&|_*jN71zBbgIX
z2^|xJR6?L8N{>1uahzj8s)RbBl@Np4M!z;_;+V_<X`_G=hnnz=gH{ZXCW?<DBypSz
zVJePfha`@<nJi)(_@IfS1e!R`C~RmcWq>4(nVt!juNfds6yt7a;@HdyX~=>S$1?#)
zLl)FTc_su&9O-h6XV)-8634k3rrQi3Cd(a|t$2blhke2P?H`p&cPf8*F!x<iI>(2q
z%AMLQ6_@wkk!9M`68(<Tu}ZOVZ=%T_nHW$Vw@=`~)R#r}uNh<#<{o9?+r)Q3@fxGW
z87ps&Jx6~sKnjx0Q=kROG(n4VRt%7WB+~DJ%4-HlL9)3CQjj>WS1FKsz}U5|>wqM~
zpG_hUayX1ubIxJ*2w-UVtazeiuK#>{2DwTGy*7o*%?Fkml=$j1y>CAr@?EjOQf8X8
z*8?vLp0nqlhBAnJW_aS%Q1|Ln6vu`9*f@U1sOr<wiVm;ye>!qp(2cpr!?>&SbhM%a
zb5Z5&QU<#x7Z!8gd3b?AzA&Sq-|~wDQ@N$d0b4%XX$NZgct3D1X9(!c=X6Y$Y1C6(
z!T3UoY0I4Hcbyuhec4paap7p(Hx9;KcTUe!Ji+vZ%Td-L|JqEC1^llWzC2A16MDhk
z@HNtVfjz^ulE+EE{xD{^9o{A+!O_s2#NOzrxZ<v}f-KvL+x`g#4AcIpH7Y*b@J5!&
z+1dZBEz{wM<==~b{o!Oz`7I!EP?MqOiO4S@iKChfJ$#OQ2|gS~e>r-19ZTz(9y?87
zl{mkUQLW$wBa2#5Mgvbf+l(U%*@b4vG(|ONt}*U70PZ|3yv(w!IHN&QMk+zAUCt*#
ztetJf*@f)_GY&6gd{)H8@Hrw~qw(h&<_!&{44c*%_Z)D##u#zL>M*BHnrwsW8s-gC
zO5Fq^j#)9Bj$}_@echw7VUlyd>ISX_n(tVdRx@t+&Af)wu}Y?KtKtgA2=OMF1HS}P
zjtQ^ebksf2CJ^GUu)&ZevcY!^^M;wFYC<;-SusRMvL{HtPT|;d(u(1BWV%qJ>>B0`
zlS>)OHl9mi+8xQB!2g=zP3l}tmaItj1o_uKsvG8)GVpESJK%V2rrQI7*9<agvJKlK
z(ybbm*Dx1MDNPfom|e=y$N0efHp6koS=%}GvFiFWY*CPHC}()F?5O#&rzZ;}_66v^
zK9soCn{$se-|9HG2eu5im|lemS+F`pJLHKrUS6oaecAy@hMFg9lncywCak^bGvEH<
zhA(VP&z=2w53~wAVbE)1%rY0+A;MHs8?}%Bg3+~W*^}<vseb$RneU{{{JOh4^KY7Y
zuG{AuW>cy7vtV=B{pz>J?du*#r@9`!|L#xK^Pq_nOxG&s2;Shj7?GFpH^4TUX`6@1
z#J6&p-x5Bso;fEgU;p#ux%K~_^u~9wKUsEuYv#3}i}`#0IZH*UP5LxncU_3d)ccDL
zH?J>t<I7hwuh&x&^;zIyGW~zT`BfoXCgg9Qb~cJVeyU4I&D+T`M;9%Xd3N*gX&3EE
zomnmu3MN(r)IHspwzA>PpYH2*Ck}VFPH>N|Uw2da)aTRl%l`e-kNX??w<YQ{A78qJ
zvFiL1i^H3*x!HZKyd4=Bw=$^8V_lxt<v`7cOKMiX-F~&QGU8g@JL9vrTx?w5RJr7B
zjh&$y(|<~LzVYLY?x*%nW1rmq+;HddPYKUH)VRIdX0vqR^UX!mpK5a3&Yx_p>tFfe
z=bk%>m0C{c-=BOtjeR-$y!+Ghqvox;@c4A@*LlCX7p@5U)41o?jFO5~N90dUmu(jj
z@3fy3E>~RHbyWWJWk3JUAHtQVUTTWgYZd$X&-`IrdGo2}N|E>p&xQ5;r~W9uxp?E@
z;9FsTTIW3aBGS2kim9Lf#vj_1y6-+s>CivbxK47)v@ZRVJ3(5rciJvoe`LjSuPsxr
z_R6jfh|=qNay{nwl<lHNH+d&7U+ppFL|$I_MvbX=@2(RHRC{mnJUmY8)KmAo@R=(n
zl;2%f6sY-rXRPQVuekEvT1W4nkj@LA`Xjp1_@R}r=%e>f*2f$V*&<r6pKp0MRHRe?
zw0B<k#vklI_uURv+NZRBN9@u?bN9R|o7#E&X~px(^%~;-Cph!MTQl^H^TL<DP*C3)
z8~Vu8@=&E|XxH*5>tm0HY!mfAJ@H(@RM$t6mbcz&iT&3&z9Uxk(dwcJw?nn&P0ifC
z+Q&w{eMjumN0pXW-mVgmP0ioFI%to=?;WwBkLIV?KhInxq<`xEj=q&y+Eyq3oht}+
zeWbb*WRm`A)4cG`AG2bfmrd<jfBI`)_{<+|F^|foc85O++P>PUCRh*T!|hMFwy*a3
zvw6<*TXLswb>*Lq?ziOA%Dx>|<9@FEsCIU=iq+{gw?lRQx$2ed_Byh<XxHsft$o_*
zJ7QBGRToXW9jdoaKYe$s=p)n0BX3uU*iUf3yH4w)bLE-Jd0j{PpIqAUdcmS?k4h`=
zNUmSu@n`j&=VhYZ^^<?!T_^N0x$?qWEz$pa{5xY)AE_6$-wxHQ(<<K;EBYwCX#4F@
z%{smBAYY0XP5x{n+HL-%?s=tFo_3w4x%K0Vk5AN}SPKe!|D9*wY6<S2R2vgrDrr0M
zPt>>4-KUP^r_^s>9rI_p%!9Jfj{nnQ@2>OuXuoOp?NHT!;ok~uJ*G_U_&-_xXbk(*
zEutDM|JIxiRas^Gv+&MKZLwIJ%Ij~n1!HY1@4wX+y=(Kc^LDt}uIf+B+t<f@`S8Sd
z`+BD@U!LS{Umx`4)06hR_^B`6o_txN_t`&U<%`EBC!Ra}V&{hS>gg8#lD3lHK0ndf
zzCPm1_a`~q*Sqk1c;d2seF)E&lw)tTg_~_GZ@$$Q&-C{z{H=8)!N0HQx7JYs|Gu){
ztBwTt_m$4uWMp_|^6TVvhcoWWzdKoYZo-!9y&s+=Y+oPI^W}-a_Vq43pPm?OUmw!*
z?McD*^*%ixpQLSHAJg;oiP-k_PCcKWm~CGl)bstx?7aA?Gaj7WdM-FjPp#_Hj?Ysr
z9<Dkz`Sl#dmzlMb)U)sGOESDUS^CaCA;Y7SPv6-WWO#M*>AU-k49`y1zPqo;@b2W*
zclL1^9-hp7XP=kh<;juT*L$7$^hD{o=4ye>wm0uqo_cYxwohH$+WFGt-!cCCUVjtq
zuAEnM{;js)XWPnKZ?#3aZ7M+?j`ZL6_S@9%)_FG1zJ+$U&a-*=Ewt<Cyqe>0R||RD
zR34~2rFAsO->+z%QB_C$vy;u|CVUaue`j+0-F-<L4^7VBS*x0~RK0v>?b4*F>gBs@
zQ<Ju;f8SO6G^tlT`|dujjnk5>zGqd<h<|?4HZOi^gumhAb-`h(SsDEvyZ9Ef|Kgo@
z=Q)q3{}*Z7omby#i_OmXU+~-ONSc3M`EReIV*c+6exEuLcB}aAzM_r2W}MsCJMDRS
zvNtb&>5X?Mee>d{-gtOYbX~C9@2h^h4#q5BGiTo0@{9i-az*;_f8TAp^VVB!v1*&0
z$KGlSR@+uye5)<Gb>5yQ-==of&fD|m+tlvA^Y%RY7TUo)&*tg3(5~h!o;zwoA5Bm{
zZ{;63(Zc7v)45=`{-61kpXL~=*gltE*w4FteT2-?zlYyy3+7jUT5>yFtFLm?xwqQF
z$89T5yww(0&zM~BTkDARJex<~Lc1o<vw8I`wA1R>mGXI;l0NF$dYF{Ty7~WkUs<%m
zSjG0!{6*|c+t)|T`Syfk`+Ao-A5-kNuMe5?HKl(0dY?I;pEzt^A2a9s6NT;TonjXB
zn{Qtq6!Rs8fBSl`m`^GC&o#9L-(UXrqv5qd)sOvePTo5=;meo$qm#eK$V)%{@}++2
zybpKx2~GT*vei0m<&I}3_449p?(pO1&Wqo<!x2Qa{%DXByR*-$aPmBkJNvW>Pfs?U
zyL?T^on?EER-StC^1pM{q4KsR%l})?oAdaaXm`JjB`EjFUsm68J6!ACCE<Oy!`0qZ
z7OlD+uJ^9GXx8m;MZ1qrB(|>)3OW8HV*7fplFpMK&jp96?K+l{|2)pk|Nq^jq9$V%
z+rQ=gC%4ZD7qb2Pz2l^EUVP^Z$;tV<YnLtx_mM09eahwij5VddPr1&Yap(EB(2jkE
zl?UFg7Wtd>X~FGq)nCV-e4Ful%G7Q~L(8+3r(RqxN$`1B(6*$%*U@n2>9^WqFK66&
z`)z8+LBpM(xOPq|ntVH4t?d{n=f&`JpIn<4-zp(DS$;?D)Fc<5dnLbD9XT;$&s&>R
z(WDR`zOs8WzI?H_QnN1s`P<O2^5$D@vE<}WUAM!PjvY-o_f}i<aq_2Gx5L%cjy#Fn
z-e32k`($xmeCvrLPgu9F5Ax|c*$v9lGLzNkE?*Pm(|PjexnMW{|G~+d&Ph(1_iy>p
zC)IiJ8)wK(UJlCB%4)|!Sw`)xd|v#_8KRSgL3!F*%{VW<b%yZd!*}<28JerP@2Cw;
ziu36!nzu>I&|Zz-yinH7|37z9(H2lhrY9FIxE-z|b_|q8Tyz$#24(8*<f4w-;cC;4
zr08#7AEUGM?~S*s1x_bzT7NrSbJ}rG67yQqadPUqU^nyor)KPVXq77Z<;#98weX!z
zVHYm{e>Ecp6y2tVJFmUf7R*jAns_^0>)O#LN!!=^tm!|wG%tSViq4a%dGW0`1SS{X
z+2^&<Q0@7y+R!B7yS3+n!&J;Jv#<R;<>KZ4(`Ljx;PLeTADs+JBRbnIx`V>;Ue8I%
zy!e$j<R%;6-Dk8>S*?G!-7>8gFYD8N=7DmK-mS?XGiRI0ZC~&8rt_q;=XFhOvFhZa
zR*<3fx_7sL()s>=Ih8y6k~Z3__3x@xedOTtuJE_l(StMYy#6+|)A@_S!*5f&4;${h
z|8})N{!wswE7N(>{O&%j!YT8_<}B~2d$!p4?u}9j+rRSNC+C7pthZ5nzoYi(BNv~#
zC*P)alqY>!cRO6m@7NRD?dyH69DP!{eSORx@7dkA!xir>X6M|#K4?zY$>_ZJsTQ)6
zr_WiwCS=aSOe=p$+rRUBPkx`P`10j{8CwsKfi*sHrN6z7w9c@3`)z7hw;{O1o&Lh{
z&|7Ws_s5@9f--mC$%*UcFVueV@;_It)~?#nM_E37g}+`1+Plx5c{^NX-O&_KId=W!
zVo=#_o>a8rcDR;Z$H_!cmX@4cduLx!VYl6{JNvi_t<`?-uATbm@C=y;-$FaB4R2n3
zx%l~y{-jN_Z-=Yc^_`T<i{H3Ida~`^eL{u$YSVYrE`4NIyKiT0>Z8dsV%~k5+Wl%r
z%)@V?9eRb9=ijatiG5tO?{>KAuA`~fUM_y#`mS*2g}2&5wvUQ--455OI`))j`+Aoz
zU8f`S;#a<qoxb_*KBJ53dg{AspDxOeNxQR;>!QA%`tI7Pi|S+i3V*LU(y+tkah1~g
z1?ry~3oEa^T|EEyqfdKoyW4+1`jmBhf8D$8)8%*Ue(luP^WRnbr8quj-|KG|&({|I
zoCi@8ohSeIj`(zUh?@Ilzg}#t4vCQ~{@r!t#f~+lzq^jk*m39ix2YW)3o8$RGV3Ey
zp`mu{cxw3bdtUu_g&%=}K~e2kD*yKNK`D<mEx#SEs&@40@pU^xE^Yq$WXGP@-$Xk#
z3qkD*vEav_gtxDE@##Lz52|`?_40SsrY5<?+$;Ni>gbsre=fXTEwK5~r%kuRHPeni
z6$RD3ou}tqcIUrP{1{Y5s64x9`_}6E)GrLS_Im1|I+F43<-GWnCyqT0*}mRKr|0y=
zy!f3FBGZ%a?Bm*Kp?7>|?bIaCn7;DgtBzdSA@k_V#o$kycF4T?CffP5(DKe(Z87af
zMJ>0(mBNmtf~tu%U8n!$#m|h8oz4uZ8rAgJch-s~<;JWl{jGI$*N&Ly-$FaT7Dhh)
za&d8iZ%kgn@2(?lJ7S)F6YcIT+<E7%w&3hXMN4moYndH?s<nN+Pfq7)*1Y(gH@xPr
z1Z8cp>D_nsd3{Wa(F3)5zU`Rv^2^1~@A!WCl>Y8Ia&8B>Mu;s0*9f~cmwmps<oR9O
zFP3k=P3_z(a`~;c_|`8ypnA0yBo^BBx5R&UZRjJ17{B6QFDkz)*e>2t>-s1lrVkWn
ziMy8H-6!-hEXJ?kx7Sg@D!0Plr;c3QA@l6p)b8ys=av1|I<j$x%*$_~U5^VbPrY3&
zr2eRA^=0?-yWbyqYPNm7$DE$iZ}Z|euIN53n-||%VXk+5ckR+gwjfiD3bpjichx?9
zR1%X{^jqtQWR+OiZ>^(|AUUl<J-u-2+An*m?ewmLoWo?xy}S14BPCEQb?y+E?tN!p
z(8szMz0%*Oj->wbDg7(9bke-?^+%qDg0k$=^C8>U2R(W8Y1i#=)pbXoS}yOOSHAwT
z@!H$rDtSkqx^7<|Q6n&Y`kj43g~ocX@9YaI?4Fl-cb`$AwVwaZ+NX~W@349MEwsbB
zu=2{=)gtm2K^j%<`c6Oglec|;ulKZYUi`!z?(?^w+q(4m-QQm-Uw@n0;s4_EwYS=$
zS2-<Dyww(t7234>cDUXyHBghlsl@w4TweUr7xO0Uy}M8AqO#)qoki;xJ-<7T6O@{T
z_6lvfCAzt5UU{{8ia03KdY*`WH)H9dd?!$PcG=&u=kd3x-Tyd$PP`qi$fg1+?SpuH
zPN?R^PnDQ5;q)B4s(ZfbPl~p$_u%n6u`@4zqr{{MpFy>liK6(9+NDVmPHCW)sg2_D
zowZMsT%7#Me`_5%(J}`VZGoJYr{As?S}a`D1d6s~p`t~%!&Q!{Jy8L*n7vP&%!}`o
zm_K1_Ui``#6DIt=v(L!TP?3K}?Ng=66WH(W<1*A#+`hYZYEqHYyMo`Vj!bE}^AwaA
zue98G`%SbnlM__ci(M85RrN|~Dxj)9<c!aWwV+yc>V(zcT2=A-j#|+qKc{uYzqO9u
zX^DCNEwr<e^XJ0X-*<<8*<(HbUFP=n9y%T;`tR;bn&^9CcV2vF#Ec2o@9YcOsG+zX
z)TAwRs(bKlYPTw9<>j}l1-yknEdo`is!vq6ulLgNKXDoqZR<QvXy?UGjF>rLIjC~d
zQuN<ltD02mG_Ulx*U?!mHqXCJ?cB;~d1vRgFMD?DDY}E)RO<xlUby-?fx8!NEix}a
zeslYEr{s6nk!3A1Z@!6kpXIbX@>W|g`bF{Ox7wo7FF};>ZJ|x;Z-4)9TDIIVIP|CF
zfx|bR-$}L(uJq9|=J&a>BuQ2N_`dDG@7<p2r6swzGgM05Doi!rX{Ui#>jKsptA2F)
zmWePj7BZ&_i-tISb3HIM^jqzH@tfau|9?-N<kS0n=9B2#`>W4a|K9Wb-}(P9>oykG
zgg!j5L-fb3Z)bN*{c-Hu*&U`E*Bpuq++0__^DEE4bFcdUACLNP_``Sm+pN~9GYZ1L
zsu%8K*Iug<vgG=PQ`<b>YKGn3w#@eMcF}iN;*QVaGSAFUSUa`yt>v}I$ZK&4wXLV~
zCdMT>w|Z|i-?;J6&+RK-u1%cGJAcLH++XI=>Wb~#KW`7I@Tl%uEBfx*yu|3%=q=Yb
z-Ys)_%ll?ULB}^4Ywb7d_a0csRh^~3@wV1a{w3xck5B8jxxcGx@3o^D>l5df%H9fo
zv)yZn?cqkQ>!)9D)_a`Ls=d{`V8tQ*t<NoD9xA<M?YpsV$=)M@w_UfG7oJ)5kN?W2
zGX;0LcJHxXWBGI4p|?d9*#Ukb7E#q*lSTP1&wCis+Pvj@;Vq}$Z*1oxHm|ik9+};@
zRoo)|?3!;v&)5FEa_<Q1?!8U3?pf*Gdg(Ve&-R?^?%ydNx7t15^tJa^aLh(K|LV5Y
z(`IhDUbyzq<Xh4^;vOcxwag3I;a=VNu5bN4t-UV%$ER;Gzj%4$`?XnB(l4G?1l`+n
zP3vpf8@=}JWiMTi`)^tP;_;7t-*{?ek7ur5Jpb|RThcGy?+DN1yXM4OaA(=x0}jW(
z-ty)tidnh0^Pri1(bs1cC*$_%@Len1`=-K^FF*FW!12qsg7-YC2&ryc*q49uYO3rF
zgX0r#NlU!5aH;MKm;IfsZ*Zt^-8Ug++2z^!NmCxTKjbsq%Nkc)<1sh4_IAc`&Y~}e
zj5a@Zv8--=ns(gqmaet+zGZhmZGIf^cG^PO=~>%l-de=(PR%^-zcqSZ*_>5(jkZ2E
zT)*e3ewOU_?Cm*kcR25Unti<YmTvOxR}-&a|0KSx&vt9{wX!{nj&I-dwjykIYTohw
zt<mqw=B&B9Y5U{dmu64fFMIz&p5&db?y}Pl`{J{<>%80HvHNM^@te1FCtJ^(UY&ip
zXy;?jx6{tb@@H<ZdAB2acWTM;#8<z9xcxTEuxD;x^X|u@veU2ou3tUxuWNC(bkD+7
z#aRb4=dHD`-IrQ^y!%(p>G#|0wnn#=+bq3Xv}bMn+i6c_`#rX&Y`O7qN7?Bw+w7jc
z-kh@K%E#Qd)84K%znJ&)Ug4Co(?725&e%TZ{g1A))4#6W&e*=^y@kW>)VkxAmvkj{
zxBptbw@tL~@|NWhnO`HT+d})~Gu9_>y_)})x8}7)Ty>vm-{dXg5!qiOt2;~kqPLiD
zyjQrjq}xtf=6crp#Kn)V-wMttidkoSIPLh+Td!|C`mysH+cv9jlfSpS&d=>*-YS0M
z-3{mJPT9V_Tg^9K{<tY?U+`(O-7@Pl*C%d&EcsURTSV>kd5P=S%2(D-%l&rq*Ts7W
z>R#(@eQxo<BCNWx`C4t3e&LD2E#K7mqRX}J9y%>}JATXcf-8lc-$ahf?7xtA@qXdF
zZ&v$?V^-{K6z((L^4#L3g?Dvha9{A2=@z+vd%vljvv{|v<3rNZ$7@WV7yXQ=uuQ+{
z&6of1>7K`FZ#DHI%r4J+xahIe+tQd#Z<g(CJl%JC%kv#cZ>N7_3Sax@#r60{vmR@`
z^^Mv7Y4N@5TV7Qk-ZZ}|S!L^&OLsqOTkcspU2Y!tmgO&A{+#)ZXRl3q*80WUpUb@E
z-SeU{qPov+-tjHsFJA9-ukNgy_j{}P#rwsp=iC!w&Z&CxC2EJ{i=EDV`47`3JhytQ
z$yS|rW!^*8^Wj^rf86xE;4LrDN0|k-$F=7*Zxxq#b<?}LGjU$+7IVWppI24gzWnW9
zcy5K|-f6XN756U09rie{`RRD{H_4|zH-6(;U+H%x?s&%eleeTR?iTlalgY2xw|eh^
z+4I(C>VKT}T>94RirdA_v*NPn9;?s`%#TeG>pfPL7j}7eq)FBB;;G+6-dC-=I4^OI
zsQlZ~p6@Zs_BL{c)ogh_<HgR9>PF9b>072(od3M%o0?km$BCDt5C5Kb{ppu&e~xZ_
z&S_b5IzBc!_tLziuIGwxC6`5gycl=fectY^<{vgbe|@XC=F!h--<U41`H`V-c(-`T
zH?_2gj}tG?eiVHE>8sw^XPU11oL4NW;11io#w^p{@b>2Y-*}2`(lgg5uX`TzmiNr&
zM~iKb*PMTOi(6;Iqs4oVIGyL;YQFJASO1)QJo78RO<jGx>v+<6&Z3Iwzuq~|uRM=_
zxBcqMyG8qRdvED}zkO}R-J<=^x!z9uZ5y7w{m%QJt!1bG&Wi^zD+Bjt=1<>$Cv17l
zUa`|*6>$>}N9#nttn{kx3Z0j}H9g{OrAxK!{VKWZ_YO#%-+QZg%~zWRdk<Kh7kp(r
z{Y=u$s@uP|m~TAzIrZzr$$!6@SFH<we=Bv`Ewf6#sfVMpZoj$dU)`lUk9lkQjaN6F
zt7UK7RA=WW?0#<Y*0QXkZq?oce&_kOOuzBeGPGKFx9#!MFVAi~Uc6;aoOf=ut@_D_
zx?A6FUh7=l^><$K)^v*pJN@t3PP@fdC3ofC0mbugZxzdYyR&rf0m1AwTc%rN&7J&B
ztuONVloc;^3+@yzukzR2eC69*)3Bln?_BkquP0}p54!CcU3<%T&Zj+Vj<0{b<+<rw
z-Z>kOdF^hk%U-iZ+#<bqDyWVqzI5*h>-p_ZUvG{myZBl5t!d0BnWeTzQ(yONN#BvQ
z(WhEAe68`Ndk0LrQ*RZ=Y(M9*duiSI%3Izg{yPGyg>8k-O~~81I{i@Ot?U<53%b6E
z>=mwCV|%!pQ$1__V*f)YZ%MzHT`(y|e%kI|sx|S|jsG~0XKlYRzhKTcH8wSwwR`do
zW(6EF-+JA^;-SG?%{VU$r|P!p!gcHR_9=4izjW__Hm7*Tc|((j>9@Ffye)#OJ0EgB
z&)9xv{s-lk)8@FT%vpA~sQ%F7tm;+KMN(5gEGawvmy;hXzX8N7&)R-xenCHoX`TsU
zt_CsLPyF1NVWRdh;jL+p?+x$ju5zJo7w0{^+Y<itYov+P^bc*{*p8{&EVn)0(jvZ9
ze8!9q!f#d8B5N<-J5n7cza;wG%$%>jyH)E~^WO@tN%-np-NwJxE@OSN*CE4O(lcfi
zO#LP!uNt#>?}6iC@>{0=P<d$d*4JnAFNM2@&VFg}-g@2O@T=ys?th%mv(FnCKV-dX
ze^vL+8Lx`SYUa&r?J~|Asy~!`%la&;_Cj1z+@a)KvuEUgb=<XdIxFY*?DdI>haMKy
z>Fm|<vIyFp3Ub2hh&crv-`J)_)LxjEoO$TqE$*7Uua5io3B{|tdHH_DzrZuPKcl~{
z<Jfv<{)Z#F^)lh!e>wjLetCNBdfns?yMF1MzI7{g+Zz?}h`iNaMV+GCGE~er8a;gN
z7gOFD$|*1QJZk^$Ti2R{V-9cs_Ilc!q~5<~s_7B43${J6x)!NB?aqNJ?X8oFb2fNs
z?L2U-MOtP0jg-=WBH`I<&uDJbEAI|9JZYNadn5eszBR}1PTR2i3D0fexFC6*$oAdJ
z4>M2lzS)vFvHAIp)Zgt-n0BwNof4Dee`va@`9|}Hk50`GyKVP5XL_H$&F!7HAJ4sI
z|MSh~xw}hWT>5pj?yc_K=-+qr>Reub7mnJuo%g}F`-Ls4HQI%_kKMP_^(J;Tm&tDb
z-)X0Gcwx(bDfvZDqnUF%4s%ZLP>kw7QK0g$_#<=FK9>!(vhKoVo$?ocwRTDNsn%%K
zIh+<}zr}G}*zVr8s_N%_vh(Ynb*9gMe`)JiY4Nvb-V5<%f4=-m{$JaczMG%U*ZSSy
z6WT827xi6wyU6l2CywYIe%a#wDD1f8>Jx?857w;xGVQ<Uqwl)MEnl-delBV;|3lOr
zub2(DmGgXKw%oPOn#QB2Y9qg0N-w&yFS<*c)BKq3;n3H|9)}&@+Vc9*wF6&UUO%4p
z*zHjM<FEzQ4`%I{`a*DrQJ(J?o)}Tn*FUOR-*rdx?q&XdT=!^q<L<}P7WzM!x8v$X
z^8)ufQZK}J80T63V$bW1Ze(n{eQV3=e+R#xVJd%cY+8QO-&`+4jSbni-_K9huP%7-
zdE&)4uKPtQmge^8M6$2jZ|f$uiut_T`As&m6<TiBPVw{J5s|*I>qlba^+oAVCFCkh
z-70z4v(4)Zl2vAUepJmMKOyD8AC0FHq88R(ta03PgqI2}X14DQ5?;@Kt|zdN{~pI2
zv87V?c;?7070G9<lU>S_&t50I)an>Zo#0ZoV{CPjOJ&}(=ye7)mNQRxTX|&10oTB+
zq?!lmhMTtKIz70dan-=^!(5G}GemxbO)=Ud{-bQls~Ivss-{R)*njBNc=|)3AY=+x
zjl_?rDPBIDbA*<vEo1ZRowzkwo^_x6QYkyWKMG!&cARrWmhxO?y5AindztyT`^rYi
zM&U(M9tZFKx+o+e?ZHfqsWU_?l)QY;@Y&pa*7vIa|MaNnjbYn-v;7{}-<1s4URuoj
zd|O~lLfiwLld8|y&rP^<r0IaaNc#7L#0TM%vLi%(?0h0JpH*+#oW#NhPA6HXvGh%h
zNy>aMd(!M5HU(QA{j&X`RIucU)IOd+0YzQwnbf_{eJFk4a<a5W*ut-f`E<_YiF1-G
zACynxUc+Idwe!fS1J09XM~GI$79RezJa@$;-FmjTDLFrlKg>xvJ-<;}CHfyvjP}h>
z=?}6_PP1nY_t^GFa!2^4r{@pEf|TZk&x!uWbLY}Aq57WLH>7@a?_W7BcK*vBtMhcv
zm%quHx#;iy@|ssG{bZy1H;a6XzVY_v^QeD||4!EZ{@?6%%Da90e_gL)@Gg$sUE+26
zzM)Uw+ikbE{QmrEZqbZy{`U9QGMC*rclq7=RlPp~{$Jnvjwh!4``)Yjd*na=-+du=
z+rh2BH^1^fYPdFh=k2mxW#wV@pX6nCmAwA(B;RH0HJ7l%^A3o=>-w<%@S`|wAKm#;
z_2;Aab=|9pf6%nz{+`|q_5}&jFL`A+WCXrmQ<?d2L21^-kI{P@oy+ELojUJv!2{N}
ztbHusuG{bLT-><#_8-@;>(s6PM*m)JU9#=m_l5Np57T9>%in%8u3nY=JnDC!zs>*E
z%L~uU`m?(}g15iy&9#Tq|No3(-{JN3dqKDD=L60B|Nk<7zc>BR-KbK2n?J>?zu9kC
zF8}ZSa_y_D>c2Qv{}8zKr|#=EKiT;^wmUEPTbumn#EX0TYoAQ4U-|f2z}h?i%lq%N
z-+ptD*}ncw-JcV3Ha~9YXRo+dGeK$R&jZKy|6I^_>MT3&mYqKp^7nSM%l&@-oBKz+
zy_O)4e4gZ|X}4FMT-<L{kw5KTyZk?K#Fa`qwOzh9moY0CPh@29uwcTxQ!O<mZA&$~
z&D7i<`_Bq)3NI^5<71!MzA4-##YJ%9#J$%~dMH*G=d4uel2P{5l8wHpB~v|R@Bj0T
zFKgq!e*0Iq|Nrlo!PkrL-+wK4e&_r5-*>%xUZ;Pn@<q49Ij6{m|7*^)wp{qXDWbEx
z`pel_FD$-mPX4`hT1I`T$i9G*z$Ypzu56t){Y9#^-nWhO?y+x++WyY2uFKNvp76_r
z-Mc$O_VrB8KbNPsaAxEgfjLtQEw|shy7Qsvp@7b}0U3L4eaU!I@yoX3w88n^2fX?#
zZSG$4$+MMRwS4N-+P=@tZ=-KdxVrx9@sDn0Y1YecZ+F|L(4;PZLMbS_)N{rLnIm&{
zE)ZL>ySbP@C0k!))vEiK_Jw-f@GG5e{chTOfqQ+~(w|pGpZmOZ6Zi3ZSDhDc$f&5<
z%^@w%f9$l8V$$UE-PiTz3GaNmKlt>Mg<WeD?_Y~tW_WW?=$CWLnzUQCyIs&*cFH~f
z#QwYxf&ZtI3SQ34tZ&xb-u5}9|Bqd!O;`QTpX=7#{<v)NXZa<PTH(&pzm{i9&&w;l
zw!~oHJvZJGz4b=oSIYG*{dgZsohjYe7PMy8^S!yZe0XclsFvL_{u$?6?_6k|vZrpd
ziu-{x+i$&bvGQ8vb!7f2Hb2W6@9o)+3ODi>7BqNxsqt<~G7Y($Gf%s9eyiZ~w_2Y<
zGt(`fFT3zrI4$&(hnqfEV|YqR&h{;<&RzIipx8TY-TDfj&qXiG{l1on2TkDE{wu5a
z+|Aysm~3~OeHScMt&-mxl<ZEL7|i0dJ18mVrAXi3hdZwB{xI8#JEFMcP}yaEyHh^F
zsr#Hb_e~Mqmwlxqcc$C=7c1T7J?}JjUjO!f<m@Rs>=wMdWx!yovo9o%ORsdb#;mRH
z17@DC$zAc(KD*-J-$|mbJ7&b06|O1uyLWcZ`hOG3IhKDbj!M3sUM|l&QTJ;l%S@$p
z1#7ld+TG<)+8I}HG2{`W>^;|<khEjl_B}BB-L+e9-HT)G?LS^An<?l2p6!3eX;Qke
z(U+}1mVa5-&n;GE|M%CO_j|LO6!pB6Pi?w?_V>c*|9`hH5?%RFvpcHlHK(tv)I+<h
z*Rxz-?4NY8zSB=FWakRal>U>ag>rH@y{(FJZ_W_2?QOOznHq2OdXlP5b@#WN9cR9N
zv1=|^z5Cbc)G6<OvGsQ4-ecpvwR+R)goPsW4UXNet?}yL@g-yPqneG`sV>RSHcmFr
z7c0EK`oGt)#FP%1rSDDCY$iS`vGkn~^Hl0l$UNgmA${D3#Da8I9Xpou-u`Cj>OEJC
zcV03~J7Bq`^nS*rrQ6EBoZ{FUu~Fo2tG7vw<Ii8OeAdeS$an9azgOzc+imYxYnH#a
zE>{jOYWjX{vBgJ|Wf5t+UiqJsI~_AmMKx*CULSdb{@wF-o}L|SG&|dE`6KZ)^6awB
zZXC8tB4wSoa@B2gvdKTn|L9X{`lZub^-F6r94cPzxX(1{-J0gO7gyc+mvkNfc_vN#
zmUCEzLB+Z}<toegkIRGO7nDit*%P$m>%l5^)_Eu8xw{QtMAcL^?f&y>%CEc6<9hC>
zmoE=GU$Mse;5ok7+=|HHM^h4_KA$aqvR1aXKuKk1YI9QFpO2w_er3l4OjmMPY0a7*
z_1NjjXSU1hdgD3Pr{xJ$I>~R>E7|vH?@PZq_j-QJ5vbhs^wrX9A;Rw)d7muNzxtxa
zEdG9se`EA&AJ#<WHNnfBdzF8b%5}fJbwE<>L`(RQ$W3Qvtk`^aRmW%ly^q(rSjHRg
z|E_fLkePT}`s-;Ik5AuH@ys&tc$|U%HUFMVx@9}#s@^wVztQ|H;q=PoC)oCit#4m%
z6@T&5k=5$AC!Fs8TwnF2VcqKE%g!ElzmltbBl_fy>yK)rcFwZQeb%tx_Tf-z>BEl>
zPW+SmJJ0F;v6&`v>AsxPxAcB~8vT8XuU-lNu`^jmZyQfBF4vD){UasggPgIk&zb+8
zS1*0r`_CuuPn+y(%~xDab-nseU+3)Ie%AQ@ot=C$MJ6tvJRyIYz+IV&lous26)Nrx
z$A2GwHYINEzs}%qhErzEZ{NcD=}w{V5yiZDd>3CvO#bu6=Fgjwnw?sIcDB{*wE45M
zq$WJ{$9kzxu}>fF_d0%kYuWzy3+;4dOx)g;uKUR?TYqnB(W0Jj5sMFHFTE9I_MxZh
z#mPm{&sX%{?OnWV{*%q#_1`Y6TD16$P?65AbN_d}SpLK3{e#CgpQm(W+A*CExH-rB
zrDRCjtHWvE@|Oxs)QQ~nF#Y|G3)|h(vo|qio4Z~8>Ueb#^P}XMPeM;$&$quYbLF<j
zbL4AEmQMV<b7k-8!_wtj^=y}_YF+E^mHJ+}NY&W;)zVjU1<&4UO?@f4-tvFKwdEZP
zT<8Cpx$WX%;SHR>EdCz-^!3BM-V1GK)LE78TD6$`i&l!etr{TPx%FJ=xt;zm{X^0Z
zzu8k#)4Q&({$()ls<ZQY->!VWFvum$K6!qViubmuJ5wXiu`$%INn>7j?o=kv$=9`k
zk5jj=*YSU;TvNU4dt^^rpt1F*Ends3*sF6YPxVS#|DL=z`njJs*OET%?%E#lKu_Ou
zq2A}N9*wN4(F>L?{d#D6%;A5G)tBwo%H{9%)ZJI~PFHW$mdc#eysY2J7dL+2vSG?k
z2c6}iPqgN562B@wxxf5={Rbayx&Mze-!Jceq-NT-a^B4RgW~o_c2u@*T%WPB^nk?r
z`PQdP1r6^VaIaqKuKrtRiq`d(tZ$2N9a-tEo47enrRn_Q#qVnc-d4-M&y<RCk<2fs
zdXQO^?^m(YP<6HCBq>8*JJ+XCYsEgYHueNnO1%87s<Y){7SEnTdw)F$&06ZeGH~(6
z2dkIWTy>9)es8hHYG-MZ$RS(%uKZ72?EQN>{f#zUt=K4BF@5Jpm&ew*x3364*>qRQ
zZTZ#n`|Fo!C`;MN>?kn5s9x~krTM;p=G!h#KVBu-yyB<3bJR-P_x3$9&1-*YeD>Zo
zmG|pJwi6#_^7*+hYWZU~ea@%vGp`AWC-0el_QZUvC5cA+Cf(m<Egfnrt5<i6za}Ry
zL2-x3qbJ7eo=(x%_4{Fy{^*%rlFKf?Q_62`l~PYEK3LFpsF7FS_t@e!KaRZfGs=|x
z|LwM!OPl1Wf)bsas=^OvDkGJI%a7mNr7t`G<g(&DY1dEr-IGr_r*J0l)U`V%`#B4p
z=e|;o7Qa-q^3C*5<x6^&pX)4`xpl^Y8*A15xbKK^ZC|+VO6v{nrr4{;?%(%~T)*PR
zuA8RIi#pGko@&0e|MAA2IOX>dQEjJBmls>5-ao@`#6E4RlI;09CAomO+46JqLPGgg
zP1r0GwRB4Cn!THHDwL1P8Mp2DeQ)ZDYns;|8P#6&Iet7wJLcK6>udE@j|g1Z{>32N
zZsL~r(%ItrCo96fW-ZXuFt*p)_G9m@knYOUN^`IF_Aho?vipjDYG%TF?Ppe-Pv30Q
zZHwJ+)#t)%qOA6J_3N`;oaH}e>QxlgFIrxA^lNASh8<V=gs<Bt+@2NM^YAsR(h)D;
zpOwE)&EUyC-Lb&o2=Bpe@0Tw5`Yvm1f);lrSuXweA<HRIZ|b|6t_OQp3(C9|jR~KB
z=vKJm9#{GE_a5uodf&f0Xa0vaUDZcxUiZD5zH9r0hM=O0d9JT6MP}`a`*%4(a;fXH
zH<s^=51vb(Tyo?D@8|rG72nN&#I0TTdg>LaE9)h{{}j?$HMJt{di<TAbE+fjb$#z7
zO=>mqm0l?1=)bS}#P$4E+Yq(Cvmf@meb%{k_07A}Z?;|D6PNPM@O{ur(MJ_83Lo9@
zyvzM?%Q@>k$BXnX9o5QYQ4hUaJ^8J3v0uu!)JrUv+bq9kznpfXhvVY!@7a1~ckUeY
zeo!U%?S^xIS6sK@+$r5B-d;Oow(fu4igty`0j+GYn`__w;#=izYdq(Q$Ih^)2dfS0
z<1(ge`wAXfz9(g&;>wBZXKvCv*k-)t)5Xl#z`Ij&m3A3_xWv_>c)Y6XmAk?e&#<|_
z792Z2dsU+4-2X?8-&0<uQhO_F-JfMM6&L5PzjWm8_3OFu@zWQ4-uC-KbXG?ThtI8j
z^ClhGn-p^3_ATSTl@dCWu4UA+X4Y<McsFs<o7<I>A3WLkdDWv%`F9`sZ=SQr-4mYk
z_L|eulzr>pb<E`08>(LV?d>MDa?ZJ)-mhJv&qtnZIZ`HGH<gK<Z)*Sj5NnaX?cH+u
z=Sx;<Zm9L{5vh!8v8lWoqW(#co#jvA6|IdS+n)aF<`=WLx4fnK*b2XI5_fm%ysmk^
zN%w<GxzVMcdXWpvmDT5co_fqz@!`DJ#?IeQ-k5ov<=vf$@zuAd=}mdKfA^Npd{JL?
zU-J4*opJ5bR+Dw<VQ*%if4^ky_6<HKy5he(N=aSMc>Xfo<9V-JM*PnAKYuBU*4>Vs
zw5D|ChDD3c^gUH~HGHKq-QQr}j+biXvg+4Qw9abTaz7}C<2&~@kta{RlTOX%au($k
z6S!-cEf!S86lb8xXvimOYtHlDOwmwP<o6q08=DFRnJ(Eo-<+iN<nK&lZD)IY@Tq9t
zLqn%#WzJ63#EPDfFD@)cD)uBq1yw28X6IFA=UId>viw=NC|q6P*%MaviI-M0i*jAN
zV;R6|^?+a0wmaaOx6YhswoeiJdVXmZ-O_38e;U!^@l~#YS^pNx-M;54wo4br-fB#q
z$o`1+m3+ff=}^r>k1V<MZzV7u4Owmy@g;au!>#aDBLCI>bY2@AN?tO>X{qcKu}Yf;
z>o1Hyau%=B+dg62y}b?^HU`ofbxT)q|G2v=qxg4OjopLgpJjdhU1EMnILif#^Otak
z`?F7;FrlN#hTE$&ev+5|e&-I+$=_}M?CY~(*H;ecaV@QH4$^;YvMWNkF5cO0eaD>1
zvG2tC=V|*Z&R@zZ;;qkDrD*2yb<fgWMs5xa9P-U4M5MQ;aBBK`E%__S%)R>FQ4aq}
zngyp=kGtBu_*9$Ax^(ibm*q?z=O(UN-M!;OVyU>GPsZab`<b*gHfAnwXPuz&F4Q2Q
z^tONx$J_7?S<4SIc`T?Fb&0rZ!y>%ox2VpomyC=mMb)CwGwx3QA<TW_MOXNfIXn_h
zMGaSvESdXG)In)&Z(C1NS^H!EJqPk!!VR*P?`EAa=jQ4chu(x8oPWY8`~};MC+ez^
zf?SH4npRg0KTp=x395)|C}ot$n{@SU!R(qIztY4+m8VZd<j(y`(J7s{-=cJHl#E!8
zXMN*A(_Oi0C3{^qvRr=ZvpA_Wuc6kFbIYQ>Su)K}KY9D`<hV{Zz9F2a`0(3x1GeZd
zBKz4GcCa1gn#k}w+d||CV`bwrH}wqpZtlBmjSLL|zjXq`>|>dE*jM<^Dma`yJM{l)
z=ga>5@8kb``M~fknEB+x1J2K@6%$o1J{7S#S7Wt)L%2Z>&z=n`+K*0aE_(GUwZh4x
z>RgTU8x`%kfalY6zArW0-0lAKdD0>wx!UArscqAzWIVO|{p)_ziTH~#IV<CIo~G~D
zNtxk(s&<=^O>0BrYhLNsd22Ux^{!ek;eUPYoF86KlaDMs?;5o-Y^K*DBW3e;MZRS{
zd7lFpiY}ZxU%KqszM7vxT_)O*F}X$a<8xo>IP^_5?O(2^WVPQaugbFiMSszU&$lFm
z*BTh_-FC${`9hY}XAgzoUE#Zy-Fn^G^2Xtq*U7BO$5t*^wcaecb>8gPyWX*0{@XeG
zM5%iH``qnT=bx9JpZ|S1|Jhka(@vaQInRk_*5xJBt{T4U)2%a|-G9ntWA?<<h{So{
z{~PUo{3xdKncL|-Yo`BSzVc&Xe7gPftv5~C|D3<fcli7>$;4%kzZ~Ag;<t$<dFH_-
zs}J6?w)`Y#{3K!(%Ps}2tA}ce?pfsW@)?-hL|%=O))JCm%j+8$`Yi40gMx=`%UAhj
zI4gd1iZm#WE4B=1zUM7bStrPIWZ^n)t7TP&^XFK-+i2A;<7mg}T@d^*Rnqs)^L>vF
zGH+MC?kAkUwpm5KUWq;H%Hg0gzmroZ_`ghXZ?d{%k!!LzFhTUWLP4V!m#b4t??eT4
z`L}_kJB6fVGj%*>ONjLKrs>Rhy&}!!N2aO7(+@Xto<&S(e&ccM&ht&%5AGIP6D@Da
zbl}~_gzp(sR{r9jtT{hMk9FasBDb46pIj(8p}ybd(y~IeDNlkjjwOElv+!h1Mw;BL
zw4YCOEO!04*mz3w$Ej{7t!@c3pE+heIw#o5RLa(+2dztI_)($rqr&8r4O{C?#ijQY
zRi_KB`lS7V`_*hu?Ta^(zW+NCt1{QIHvgWaj>m)6fG1VUo>Z|lZcREEby4F%Z==-`
z6RRapf*Zb{Sh|NJEb_?4lMns~e|S1QVfJ*3S)TiuqL)s1U!HTSiKVDBdFQ;7JLfef
z9E{kIU~<Zct@U=`+IxYz(<iN%<g|ECMO3Qb>0?tq+c(9pFza6>w>#&v_y*C$TJdM0
z(<DM(cm`c$bzj4y(|xvex#C*iOCfeW8yG5&n;3O_M;dU)SyY{MeCqIQ&zeYsg%!CL
zZ>;oXxL#drW}CcR<lqO31G^Li-17u^-0m%8=T%*=)ikkS$BU*7p_!jgetgt&#q!F|
zv%w*gx5PI+mQO#ZQ+f8O<Jqc+4X1-8mV1WIVeZTmXHDK1bgU_JQJ|Qi#u~oluYAcn
zr*KT2l6>miA+2*W%$VkwG3lIeD^tmP_j$>k=Zp!nA_|-jXB-x)uwC$S?W7G|9anhz
zcTF>2c)UUHf*<pzq-O3X&JOi2Ef-`p^oQP!?Okw*rEA?OpS*}72i7Z{3--QB?%Htw
z#75=VS5IT5nORj5v^32Ea#a{-FmKpUta`;-B4WaUq=Oq2J6RuVTdP0yI<b^tHRtAL
z#aAjtXFR`FyXFb{nRs~|wPaYs(s}$v!h<b}oo*Kwg@3c#CA>HN`=0eGtJx;J`~RRn
zV#3`w9;@y=|CTQPv@ln$aFO>swUulyv^ti}f8d+EV;)OMLBO0(hwps%JXtHpV%EVb
z?Xq~?@dX^#J*HMYPlLNcgZwnDyH{D%=f7M3!0%k&-}y4@lO6UZJLsu~sy=Pmw7H|p
zcw4XS@?KkRp_6?o=W6H8`MqZz@4PkqN`4G~H8@$ElMYVEm~wUgj{mZ2>NxA`ljR+q
ztfMMlAJ0C&@Km3eOou>J!Im6<jf-qGU#I2%S!6aX%XG`Tv-)+B9%=FUNgNWh81_o8
z6TO^ra4K(Y?My>wtDidaHe8WBlC*#6>dU*PZk{~<xv_t^{ql7FI|>UoFWg*bb|{RG
zm9_NGrt?PStIBt?+50a{yUUZVt-v<({H)*U)2Fisa?k7j_V4t%joX~d@>V|0jJ{rW
zHq5;IZ`GgtFxwS#E7P{!+JCDjrf`kpoQK+cy_06HjEOGUyX3#%!~IDcUKaf6@yY$q
z`#ZEnY*FI6%GA8fntQ3=oBn1kb3U5peDub(?Q5T^ho-09Q9Q%B@mJmMY~Q24=5hw%
z0Xa1-7cykqS8ZAB@*z?>!Y%Vf;_1LYx8oz0oJwGPqW|6e(Z6XtD*Izul%HI%7Lfb3
z!>n}62ZLt^o~^f7d*$KbXfM-f$%eHu(=-kngg<1-ZZNOOyUY>Fk=tM#9py3CzNkBC
zgPJFsfa|L(+;Z#VpKP6{C$Mqb)r0+9eP-&Z@l(Ptx6SM8Ki3rd^yr#3p6k{eT(e?>
zwMpwEL$<an>}^cH^f`|w%W!Wsn#2%4MQ49q$A(8oZHgNIY?<ZaV{uVA;ElutdjYnN
zF5`V?Wu7loTl&n7-)@4!ZwV34;|<634{}~?eyV8Pe>m;mk=mk?`BVOBs_mcHXU~uv
z(6S=nf#tqGoHj{!H;VoZ(iMEXVC%B?`Eefu(t4Bw4&LAT<W^=w1fS1FXJKE#-%cUl
zCU>TFGaLWB;1hcEg8xGkkAnffSM$AG^qBWebwcE^1BVVUb+LL~df8NYvGHf<Ue@?a
zmt7o{%RQDCTHIiq79M&|-CkCF?ZLvSvrR*%Hp~v4%dFmKGJlSNU-aDONz(I{M6KWz
zwVl9GDe%cb>D!Zrz|uzvYXu8utG``us%UYhO<Y*(NtdHITZ>Xf{_ZEu9?1;>t~Y;f
z=`x<yt0pjYgTj3#Mz3`)MeAG?W^gC;WLybtu(7&y#ro2dprrrL6V7!n|NfhoExwyI
zzT55Q(FGkE3z9sTcGXDSdgsBs!pm-{kE*N7%}Af4lINGTUS8J9dh>MOlG9T?{;qzk
z_~Y}|UDLfejD2qEYBB6gFi{Da%<=O|M6Lhg<`pcS7hdW%rOt9=3)#!G@bMlQ+l3xx
zPbQfrt-5}8b&%A9Q(ZiJul8_G$qUNcr1r5k{Y^>R^lGh5%eAVtgn#9K`VyU?e!G$9
z&+aSxEVxC@9xhlD^4!j;>Hp-o_l;|NRK8FDu|MPLaY51a{iP0e-yM(aQaJux+|<r3
zfb+sFrXxiQ%C;?PUv^?)XV`<<;;0uFZ0^L_uXrZ3Veh`l=a*jo$i4Hy-Q;JpH{Wr8
zJ>kaH`d0#3KYC6aSIF5T7<<SeHzF(X2lsO8nkV<ua@T9T@axTfoNhj)aO&3w*FAWb
zu5Xs(Q{D5Ceaexi?KM|amz=BnR#d)!h5i3GkB>i&VXFJrb2d0`^<(Sn<>~hOv!YxT
z(*K6#-l?vsZogz~R5mZi(%vG<k?ZxJZE3sRTkKs-8@H(kE6-9~DcF5B^~9s29Y&{@
zb8uQm?hZBO<<p)Y+x#%M|E~ADg-2Ju`#a6Qy}hUQH%s62noZ?#tG}&TeS1aKyZBkV
zJSWeRcs>8!e$KYsqir_#ZdNLLaQ6z|%i+74#?^Vx^0TtW9@o164vih5YxzYo`USpS
zTDVtMU-J60jr$*GZjI-9`@W)BW5s6$29fZivO7zQ)=nu%PM(`_c*W{EmIRrfvo8r(
z$2iN0ujMQ7{rf)hclxFJnryZBUs)@TUA4c+Q+mQN<kNDSf8m$HceQI7_}reCt~>pQ
zL8ttx+L;MG3DZ+%F1Y#Xa@Wu4qGuRqp18i*_s)!|`#h)alMoF&kafmx?Y!z=t@kVw
zdihSQ;6K6g#eAXZ=L3H>y0ND){pLROd#8+fud>|3;E-R-LVhKMZdv5|{eVmNyT;7I
z3?`@FyJJ%93xDoqGhmi7@Kdbg3!d=uXrY$-f5Y?XjkCKYTO<`PK4Y1fzCbPJ&YF{}
zPE{u|$fO-Vy^7(LEr;s{3yuDBjh<T<dj8J(sBdYIDIhRme}qZsg^p?VZ>7#M{Z_5~
z&%P}Gli9aBaqLCcYD1<U;}`BZm%{JU`*(|U1*<*B-{fywYEBrgn8A57Gx^i(jK6oE
zSEO(GCpRO*%*x<mPD#R|n=j5EJ^bpl<F()1|7t!8%rkNC$@VW|S^Q7)ui1q?J1%fm
z7AE#?xa6_7`NfW}i)-{YG`24?wqNeM&+T2E+!hzFt7})AE1uyF_$Z`ZSI&6&h^Ww(
zvoozz7riNc<mr`XWcuPCt4>|l@BNAI?ftzc&7b&Y|L4WZ2jicLinAWE+UxbY*{fdO
z<KHP+-fc!gUN?iA|IciBblY%`PPy8PiGQxUeAGXCLq%R?@BSsr=5D%E%KqYj)18Y-
zDT;s2UpAjuDgQfJ;o_;CIdWd1M!$2))~G#H*Sz>IP0+&d`OLNHIwzFA28un4HjjPA
zK4srp(PQUlztz*}jd?hEYUh<JYxX{Qd_3WqK~7XqqlKK|olB4EHEnI3uLVR!-TK}6
zW5UNb?UVTbrib2IYqno<*3XK(Sq=h%M;~AAjlWwHyFcn`#g%Je;nL^$Y>l=G%V-7W
z>b~37wLI{a=>3~>{%Y;MB(l`=u8F?c-dp?c+_~}g>Aydf`t?s<6--_8_*BVF<Bzu*
z*FHD3t+PENcUvqq)cM;Bo71&cQ<I#XH-~CZw!d_6t^Cc{J2&3BU(h*Wb8Jb1ZcgZS
z?<_^`=vnEtPu&eF^^X)L#b*_S?&Mz-V4GKQs!rtAG}g^l9ZvQ28XNt0sXTwJeVlW~
zm)H7yORvZ8(cP)WryL|uzxkZf+wJl{<T?ZeelXp+&z<sx-6)|??XK<Cj!hOO-&a)J
zXDO0X{H(IUlI^<e@57ho-{DJsaNqd5yqu*h?=h>Tg4JHi3h&n^%wv8vvwzC#DnpK>
z6MP@+{&~u6&(0Az!7!tN=RjM7-jiU}=;^5fl|F(w`n(H*Yl|na$z=Wge~@3nRR311
z^YL}v{7qW#1^=YX?b<wr|6qrN&W60mfUs-dZyviYy6(%}rKNHm%{S-NE&BgP*>U5)
z9aj3>;k&ejiZ2~nvbV7G<HL`>l3Vh1@~tDHYThn9@NdnrpOL*s(<eQBx{7yeSgx**
zQle2nV}sbK51qbKo@_K!^O`HX^q*4hr%%=A4L&h+-YDSYT9|$PbN!6qcD26?XMXy-
z!N|wS<;AQSA53koRB!!OI*m;rJByofu9^qai9J~pe;(hx=Gv3IEew5?sgrN`zf9Q@
zEd6DJ%-*AO_I!L3{hhD4em&p*6V4W<{F|03cd|t{+}PvAbNt7DtKuK)ME>hJH#$7~
zFk#Mw{zu>Z4^270-Xr69)x0M+*P2_O=C*D6F+WUa-<ky88IwX@)Ey2vx<UNO7V#Rx
z+0$z>mi;r(ZDhGFw~2S9>YZL4&mSApwJsmM_xikAX3VBvGt3_<KlhDLahsZ3^xA5{
zb>@Dvq8a90#=#~Q23lS1kGSp}&zT&;d~DPD>l*Uaxh-M;?XRzz-}1J-;?Kexe}ZQ=
z`L{%cT1H#@ZU4J5NmgcwseI0j`gtWXl8^owJN@IlbD&<-XvKpt$$#nX%JKgWKlHe)
z$A3xw_Kxz%FByu>bMA?65@xG*y7t2}S*JGd>UJja`5l{Q2x<2-EOHjKXi7d|XZ2^I
zuEF=KrgMdJ-cNe`(k*|c>$EeTN9Sbkd->lXE$zns^mi3$NuMhgB{+RwP$_$8yH0Lp
z@~(P|!{JO@FTQ#5Z2RRuxn;RaBQ~fk&O30!W!XA+uE5yRK>3UJH#C*3etqe4)U5CG
z-3-nf@7@)D?U$YD#rt#S#=4!U+x_nCn;6AF+ZfxFXZOSNG^Sad(5%P}FWR129cz}g
zXRGSQ-I)bTFXtD3RdcFJNb6ftnYA`oWlzP8oRgQf=3KtEKkd5vtJkMC{asW!xBTc#
zNy*<or+FSMRd0IvbH!JKYfCSOFZshU?P#L6@{(XbmdP<k=I{PCvsgdjUb-Lag$Inq
zNksy(2I}c^CLFUXmYcWl&BuVfT=_vF&b8|KH;S0=YAa0iO4;irT@q^bX2oRbyj%^d
zg1>*${<G`HoD;69lWTr|?fixP^{yHZ{$1&NTPSzAy!zm@ic*=sx{OkXwB|W(Q`F?I
zTFrhpSWu~NHM`cQ{T3Fl*8CKB`=0&KlLpbZvOVvfPv*WVe@kPX+iK%Y!W-;ttabm}
zMm}(y-z+;LgYmw3_l5K{cC}w;-W;$wA|{)Z%eS%qtc}KxN#9RANbll1nCg4AU2vu2
zfA>58+7krTOLl$9Hv1RC;(yA*QA71({Qk9ntZ%fQNwc5u_uQn@Z)Bs_T`}1`kL8bs
zXQn#S9f91l$M48%Y&(|_A`uWGQ4{siDp$W+>`T(N-&b`)Q+ucCz1aBf@1OSP`B&#W
zXFsxWzr~*aQx<<e?ELxILd)t5Be_{G+tofgzS8)fHSNc5&(FcxnXm5)ykvZR>*A7I
zSH8yNz4+O0^G7)1$+3g#muuS9Y~wTi;v=;?x=tkYu6(^LeCmGvTV{c!U!Sd9b(uNm
zKJV72>zkyb*&1S%b@tzBdYsD5nj`%2kUNjC?1%VE?=Q;C`@>V)!I2WM-)`9r`@FJ7
z5&KDN5C81j)%!1LYyLfZli;obtwf%m+wu)E-#vYEbZ7Os@C)Am54hw{uKoPv;Pk8o
zpXCi-9Dnol^!>$`Qnu{-*Us?ud4`#%hq9~21f~Ds51zCzOa2MJ)aAA|b(P+!O)h7S
z%dK<~5H#E(^pZhSH1qNGQ2RsYJ8k(in_uqT%O<k$%dyo3a&zWS(qiA-;Z<<Yiq*OH
z?AnP;V%*%l)67>}Yumcj_!!Nq%bp!2sO<6N!5xvTGpASHO1ZJ)*`x(87f+g0S$iaF
zqhozxh~e7Tm-n&1-{&*K_3f^=>(1uCOZ7ODbUE<v>C3$y`rl_g|Lf59<o&MSi#9I#
z+BMn#>@U&x%WpsZH$Sa=VsIYE`L27?M*Pnjx8(9#g^ORe-+gocn{8Q-pFH3B`0<nA
zi~0HXN5p69zU{p6Yue%YE4GBFXecCnb6DWIA$0#%*A1zzrxzYtpW~q*ZThkF&8#n_
zP12hzW`AB%TgQ_0cFC-n`>gYwRF~}Gm~j1l!s7nT6`?XyoBax}Y;KY}dXVWx;`5Um
zlRQF0)fOclFz!6nvZ`y7(Uj@UQ$<5W*bj#+3=Lh_+jj7A0Y}rh$r~O_eY)w2<>QuT
zwX@PxYrj8w?5U`_CMut&rcdXfi}920w}PH>{81SStGD)FYKbzazEoMXXvXPPT6ZpP
zcw-?kjcfZVuSAnKbuv8xO9MiWT1iclXFnXgG3;+VujePJj|$vzbuwiJ-a$M+T8bq5
zy&@GXzW$%@dGNS#TjIe@$JgDd=2^w{!ANh;?zY}b%rhR#?LW4F)BN}P8UMSJzHV7#
z@n*w;jFJmg_4-{;?<(h9-D_damb2lTqxC+qMcdmh_1)*rx4hs}m#ELMZ-cnt|3wux
z*R(>}zU<8j3uS%0ATT<P@67wX8Xp=w3O`9a=}4%4bFy*SWoaAlxLk(fV{ZaAZ=H`T
zeg7raUG($}**W1nhrL;B?_{^6_qUi<G~}6l>$qmwZ~I`u%)d-`ZMFKWbN;SBdh%OO
zp}Ap;XY!g4xpypb?krl%^X~vpu3dIO>Z_p2n@>AV*&BcEpL=`0nS(P|^~Rt(^~Uyl
z(|TtoAJ~-MA{Y2m{4>|q-uR&8e7_gVCwJz}_o(cApu1G%Z02=|mz@vxZDUF1Uc<bW
zarfhYuV3%&z8koDcW!djZoBpD=JravrW*_XJ$fSDC~n!MEdGztv(EaaURfi2WLlv9
z3zHp}Zx>#=75_7axjW?diHYy_x}BWkdAxY;N8M|aGT(Yv=3f5#cumz7eMME*Xzn$!
z_ucY;Xzzb>DM?B5jI5ED)UJ@3w;cU{D+D~Yyk|PGeMY9Pmg(mOv({@ht=e{LdguM4
zH|?&idcWj%gW$=T&yN=uMgQNL`KNu&^e?fl^7cDhI@m0v#ZoVc8C*V_eM8`~{RIE7
zO7|2t9p!jy@qU$k=(Dth7Fn%b3=VIdTnt+toe;etH6tX~Q~oih|0VCG{lS-g1!su3
zZab)aTR`@Uo_KSr+RMvPr#{@0QV;QcH(|z|lL1?gGW_eSRheJe`(tv&S<Pci<%MS}
zuP!@zCLp)C=|=n4y+PX~rf)h`D3|Q)+myicY0o-^Pg-*p>G3_~{B&{l^_|u2mL}WX
zA7))#WgmL`$h0f|dW^p8FMTI&-raCce5LF5!abGU2X4CT(K<EdSKOjG>I(e6DGz6<
z&!4HT|Kazy#VY%_*i(d3+Cvwx{K}R-W%B6KD&Z$Pp9@FUeUbc>6r`@PwZW$Mb?@`K
z|9f}L3bc2tY|t&*^8a_m1BZ+KI}>NI9NcY@aoZvz^t+sw=Fz1u>uqF13azRdH(zOa
zw5njk>H@bicFSvhsj|wF7D2v8&*)yr%1ZN2^pO5gT0A@G;K96mtB+Qvzc90Zn#;`C
zl=f-Wl)Rnoh84YhrAI;|`TN|z6x)11aA>LVti%%q_Iop=KmS?Oxw2C--cc*5fca&s
z#&X@&8rsI*E0X2zMg8fSQQW>`dQHZ>lFy~_Th^~MlaiCtDx7Vuv9clM)$c{S)=$4H
zC+&LXn&<7ezpmx>-}1}}m-_YH_Lk|q-wiL^^KN_d{PO>8sxzI#@9q~ThD%Y~Vr}<q
z*p#z1XP^Gs{%6tI+b_@j^Qiy(dZYRm@-p(Xqu%#PM1NW>A+y5pO3LJ~U%q{bng7$~
zFYhyRt8IH`MA*dI_-(enf9Z+&J<Zp8n~T(npC7CE-%*`wGu?UF%K6Xdx*lBN6CB}V
z7UanwRrHN_pI}bmyv&sD+05<lRnsmn_k4IgQa?k}I#V;VS@%Wr#&sE!Js##>j=N~4
zzANAPNVR+1mvw)1A3D`6d(fd>{-n8e?dR6Dtsf>N*gU!(*(2wo9eCZT{hH^xEtxTy
z#_Fp!DNfp>tp9sbm{--xrxv*zENcy(i7I~8cADN9H+k>5q;FEscLnqBi{=;hJ0*T^
zf7_CflFHSchYw4Hi`6nnwEp|B`E}>Xl83H`-?=f%T{h0Yw^fVD>ecy2YgryE=gPcs
zul-}WN2;tM_RR|Y=K60Rmn%Jy^ZsyEQ>?Pd{_lZe7r$@w54fup+z2pq+jN=diT^+K
znK$P*9oGD|zDag&E4xSwho^JFk_+E2{Zlw+yQo!p;ZMO+`~TkgQp|ONHLJw)!atU_
z|CL!k&b#p)oGi<}ob~_HExfJ~0vF!~Rev;I^Toa{?$^%~ewSqSl*j$r?ep$>=KR^a
ze7#pNEMss!^~AcOcAk^rue|&FX1`r%S(`D>!X%h~{nmdc9v<85{$&61qDwCxw=oOP
zEtZ|pzCGvvG<GTHyH>92+uZj2T>Qu2@q@V67oV4gg|_i8d*dA|V4GoWS#fIKk*7Wy
z3Hdf+Z}lo}-1{=YLhR1WQ&ov}D%+hHYnfyO*M~2fKevKQWBCIe7WS^$^0f>cI!8{v
zXl6dVKRy5d+rvlW4+iFVZ0?WWCvxFH^c<rZ^Mn)JCTLx3y%!U(`RA6T&#9~?3c_F0
z%t8b%zjNz)+`GWt<MZM5kMH;QEZx-n%HZ9Hq}ejMi9+o6Z#-HT$hM$gZSnhkTk|9D
zYztm|XqA2RDIvEd!3v(U7WZ9$k>7UyzX@C6PqA|ow%@S6t^O!bVB*JF`G-2%cD9LL
zIdjG*X?sq{^H<A$*BniAcKrV9gi?56dRFuN-`l2VAK#sDqD1zyhq3YXpo!BICcjxw
zIBQM((&gRv!`-iIwe-xLc{r=k>GGMJ`qyl$RD#R6p1#;wE39bwB0$L^Cto2VF@eD|
z*Fm9s`|(*5Y|HXiieKKoZ275ud6hc-w|3^u2+s-UdvCK|DyixT8|T}3f4XE{l{Y-T
zxG+AUVZqIudwLQ(Sv3p2WADnmk?%>W3KR;}4!ym}x@rB{u#J2=q17)L#8v;W3iFgd
z7C)xSXKtsvE9>Db+4QyPvIVR&4STn)kez4uu2$^!<yP}s0UK*$f4u#B<Vh`WM)Tn}
z{3Z$wHcuRwH9{uuo1cE|g(cUln`()d4t6y$d;HJepDe@qw@~&+y6ndWt>^L04>KD7
ziT^4R+2M8an2DfbLuG^3kC#$+%2%#fHZ5)6uUJE=FB?`J47bh|b;@wMeBsK5eoyE4
zv>WEV{NBC%-t2rJ^naDp<ooMc|68x!-*GW)KMSwO-~E@G`Tr~1&e$d}w|Bv!y1(+K
z57IZB{qMzhA%TPQQtF<!m)U>3_h<iq(c@El^HW*d@^9ix{?7Mf`2RY5&avk3f2S88
zQciiqe?Vf6%f>k_^Aq;7JQtVgc(DJ%p7b>K=G~mrif&HH|M2AQgT1HM@$Wg)R~_4F
z<f8v9X@BLixB8}SFRsSCQJ?TY{fXK#?j*;1^Y>4AoG`~~Mf+EaXR$VpOkA};^W&qB
z@$3KpS@AgK@y+boNs2Mj$Ko#>ox4(_(MS32N7>cpZ?<hu>95Z!UorV^)N#JU;&Y-u
z-xn(XGSM-1m)hpb6Yjb=)wf06(%rqqc=t-yH)*@B6u;i;z#_b8@$s#Hxmx57zBgT5
znff(n%9gJ_FI_aR$7V`p8urc#tSG2kk~+n0Bk%m_8XG4boBAzj_O{nw1eZx2{54m6
z`nt>0m+v`e_TtPdw&3ea9@w7IpZkI>y8E7O^dx=$9XGo2<b%7_SN~`Y;H><@yNvs`
zk%pm0?yoyx7fxKgb0??PetU>keI&n|q?6Yr?P9L~opaM(+_kzNb8o^{?#YtN=Ui@C
z%y!vUvBQ|}pY_$<eWA0@r${~zn>~F#&+L%XUY?$3f345m+PD2(Sm?KzKRk`EE}Lwy
z+r3rKtY+>Sw;yZ#O&?GHk)Kz7zV`PXv*(ZYZT!5pZiZ!1@P>pX2`RO?l?RVrE!|m}
z7h!hM$9Qv}V{wh##9Q;VUQb(Ldhh4g)$^-gUORTjE#U1juA*)A)}~wUCwx{uqL{or
zCne?X#rT?S@Bd8ocy{;Q<A3|C<*lwi-<LOgceR%n?@zCDZxYrEExnz#)n=)`YN2$1
z6?3vksdBNl7VmrIOB|-3&e|%n3l<)nzkxsT(1g1CWnSx!WHniED{X2qP84oRSFMSX
zVEoUMx#>n_v=oEz2Bw)O95YWPFzI~YaMtiGiB^{XGviZ>tCO_e?(Pk@Si~wrh1$8*
zPCT*QxyttT&ObKoPs;`B=0EB;HQPHqYnh2s+cKBu%n9p%KA5p!cUwSC(JvzjIqMr-
zE^BiB&YNSmOSe;5@4nlQGzFc50#!YG|Jd%FxH$UJF(J8*{XA}KbLO52)ML+m*8F&q
zbY$a?#kc)dN6I^ex(a2?XFqi0R6^3l+%V4EiYK-2PyV($dc*(brOnfs*Zp5Hxp|qC
zlfOoE_liPc{>Yw56TT~+{QYDhhw}@`)a;H~rgj<ExJ<M~UhdLqFE5ehu9?8k*T=KJ
zeZ7t160=6eq%BN_n+{0-cyQy5-htH|4HKsv^vGE4ytlzpCiMEjgZ1Y^<gx<Ts*f<~
zo3%)}Y%l$M&|lL(#y?|mQn_I0Yy}pfdcNt=CELWe@cieyIL*ampXEXu#xor=?z+0<
zGWGA#nt7O2+T_Q)Jz4^3`K(e?nw?H1eA6jNST<ov&52DS9~E6h@4x7qVzcFdz^SD+
zjA646FfNU5ycGFhZcPDKnfQY13Nz9qeT;XVRa?mGqSvW1ea-a~=9i{8SGP#tyIHhN
zprqzWzWt3^Gr5*?UPzyJq@ePC+wC;Tq<+I6`+5zz9iO*uR9O<x6gZiworPy_{^Ao_
z3l{rl)d<Ue)3avbS9#^veRcomT~+rU7QOns<m!{;vTaYgx6WylU%UQ?Yp3p)xz+ad
z%<Y|z&h2vf+buoW^K;e@&QIY!A3Nu_Usl_{t0eh^YIZ>9yuI4GJritiI|U0)k8z)&
zEv>!Bpwme`An<){#X=creXqd&)}B){d(ExhY-72(_FPfauKkBXYWFrJYVQBCASf*7
z&ppTAlMBvIcXWRcQhL@Z^1=SHJMuSMBATB2oDh8X`^BE5KbJ4w+Fz)j8ZC0@_uV%P
z@~53t+ZRh`?l)?&a{d2)J;&V>_kw<Bf4n*AV2bYVdY)I;efOR|uQ8!riN8xTpCx`;
z=Fj5`{%sZ9n>L|H@j~_gpHHg)%`)D>bgJv4P>T4X!xeLHzS}$@_J_sQ&I2o|?z_#I
z>J{GlmMPFe?m&vE<J44zO)b}_c|C94$`W=!&-wo|f9{9XhZ*bkr*O&M`EclR%*~c3
zR?}v6g}k}>{?eg}|JRHRxGJ~(KG1PPAw^yEo6do+Yx4CjoVxyD!K@R{Ud1i{rKo>e
z@$sb7O`XeS1D=aNlbL*W28#{Pr+eID!CrF>Tw3SZm|dT-Bk$>DzGwE+@8@p&<6E%X
zzq(vu^&0uTZ_1)Xcc+BTvw!xZ)i09K%Cuuo9h3ayH|h6%R<y7CZgKa4<W1jmr=8jg
znIbA#Vyx5}mAACLS-kl&{|oNu_tIfSqMIf6Ti*WoZ=T1gKjI2^olpJRZs49~wAQ4w
z&ZTU*7=L%KyJ%0%y~1L#+qY)@E<2u|S!TJF$uO2@iK(h;|CzikGV!`M=G|Rc^V{O@
z;U~}DnIv6LU%X<a@XozEdvC2;vpRac%sM$axheB5pD9W1YnidrH23^1<q6-;X{-AF
z`xh4-m@0VU>&LdaY0K{xPMKeSRdOZI#*c~nm)5-z`v3Lm$x8Kd{_k0w``=C#PdlU1
zabe<l<@vGKR{dRVTUFfm^oEh=b^kq6KXGO1e~vkHYGLG@1y<orS@k&@suOR#x-jYa
z&X$SI`z;*H^ti6>n;@`L(bvcAJ<s7}=EWQxqHFI@zSzE1buP=~L#<QSL@o$d+Nx@+
zv?JrjsX&%LUDrH}7H`-#W%AP{1%axs&p-Ngy3xh`>ISD=#{DM>^mKzN*04;`Uh`I$
zd5%-zqpTF^j<Zj<Tw>vynexeV`ho{nV<h!iXQ*{4%w;|Gl;`pv&V5rH)=oXhwf7lM
zwqomaPlxrbTfZ6|a1~L1qjdYljhG`-)H+V61pDu5>8PntNY>=fW|aELX?OGN{6JlH
zVF$q(8yqs{Br+}ez`?2E8xgI{{Ab3a7S|MMz1iIxF0qJ3hF<cVe&F2F97)|)=L&Y#
z2YjuzO!FcavYp%DzT`s^=L*M&XmjR2Qyv}pdcsW0OgwNYr_-iYQzq+e=kS@35_;hZ
zd+?*ij9}BPhGv&@+6>oL?x`rglv&91`A%WT?~~R(C+2V|E`G~1$$H{Ey=QtSWb~q5
zrYsFp)ZeIa`Lwr~kEy=;uB9)ID(&dA|8}L1OEICwUrm!;*-_A7lf%cki7HDzByeif
zMMT>&%S0DGDoT-7o83L(5)0c*6@zvLlbEk;(QP6kVw^i__|jkHv1<E8xNn=dac9F+
zHI5T1jT;YfNK8zb^jjco#Ux{$Nh0%<8AG|Uv(p=PFA2!zbxizrASX|dhv`MKYB9h0
zv5u4Lf}J-g$W45$vbIs3BmTF>)%^<FHm~5l_M>D?@QwdnPnhzmf@J3`n#9^;#TvTf
z*!QnlqJ4Yx4X=IM?R`64#_Z6ivUhVzH$;A2mi{^@{0OhM510NCg~dXOi<3@ndvN9$
zQ-pV*zQUWbq8q0laP?naVs%KwYDUhUu$(<bUA^smAHVm<tG52%`^DW@nD^;xr;7Gm
zLAi^4ze4z2Hh$>XRTlYs$-{4prT=BtG{hH`Gse&O7XM1a_l?e<IUDMqe(VqY;H%rj
z@BZ-9)|9)#@8@6so11-f(kz{TB}z;6V>e&<RrNT)R_}JL_O{<eU3Xt~g(S9WK5UIh
z5qCMEw;`ok^rTDh+}|2cMX$)c-sv)>IJc1L`y7Q;f3L^}r}R}y{wg&td%D5tY|Dvh
zk4<JB-<LWsxZ!GC+U!I7g`FNmufI_`N9@O6%gvmkUng``H70(ae7M51)P?b%{Tsu5
z{()WZ7F^Gpn_J3y!=L$wdHmUP`uYEj-o5*)`n`I4Z2|k-Tm60Y?{tsz-#Dvz@_XDY
zn=NZ=U;K)Eu<gZ%ok7R@B{li}?#kwr^DyReoFDAELa47|(n9_GSPA(Y2mP?Kj<xoW
z^U`PJy8p<P5PusJmMIj+DzWbM`}JRbU(lF2EAHsOyov)cAvM#TIQ|80pDY;`(S9;4
zpyw<1&87vZ-rTjWAMb?6-`uO+^*MUp%zLZX>Fe1)G&>lmdil&cr~BN2b-@n<&h{F&
z+U_oz+McLrVg9qrd`I4==z}TC?2}Sb1W%+$o%wyqbI~1RPb+6>DJik?Z8qimcdgm=
z%bV{^_?OI!Z>rX=wY#%&jZd+0H;=An#)&sFKliXcnHSY@L`eR;zsEtVU|ok4Gi!GB
z-?KOVtzG)e$aMCWSz`Om>f`=@)4h_IBK+xWYVv7Uo4tO_j~+aj{_5JU=>?&Yr>|WN
zP38QxD}No&yEO~ark77Rar5c3B}dO&_i4({D-|_*Uw6mXU;7`2WW9Q5sD0v5mAeKP
zwV#UKkbAh(Wk&J_3&)ysj91_N`1Q?AMpXClBNk7-L&cg4_9pf#-#vWmjq+`e6Tc>;
z)UF9=VfoUt$kgSe)szG=R|9V@`IH9ZO;eZ8)5+hkQY-JRjZVku4gajVJk>Z0w7E@0
z9t0odY;b1$#=<dC<Q_wS_H1s4<qYqcdZe$V@ojk1dpXuGB7@&#<7~zwc{u?|8+P4l
zIb&IPT<)q~kvj8CXXoh2OG1>Ms7jfZ?5s&X<g(&bEnnF=(PfUs;vOQCCxlN5YQB0s
zaL<(x{SAw@c1$WYILIq<kT=L;5~JUluz59`V&v4G@d$HgZ|=%Dto*v#|JrdT->D7r
z9GZ8|Z>z4co?xw~UwiZ1eQhO2s{<dn+PiKfCaXN<<hWeDX;rQ3pDVG!8D?LqU2R&P
zcdAs>{O-Q+_T{7Wf3tocp8J1Y_o^R!@$Q{BV_c@FeK@g-;U>SD1k0>x?Kc;5u-SLo
zYdcN0GPr#Hm-Do(o$tQ#`<wkfv!8R9i|GEmDzWK5*FAW&bz;W}?f83oGe7H!Pw}fU
zjDEMSSd;hu4!@0tLYo4Ml2$IPS$x3l60;zy&q<?Ax*ZMoCEeC$@a_o~%lKTU(#Xy-
zzpH9Sig<&qgupTGH%XR9D-v#RO4-l+O?hRX>W0OKxf)B`b|1G+Y+c?c%k8rB;T`Fe
zv(fSY&eYyNUV5|G|Jk>0b)L3>$0hA=MU(yp27h_s!IZ!&9x&ng_Lm*A65c4AJb2$E
zSf4fX`IHGNtLA>3V=Ykpq@}9q?S<gyS10@nfB4sZ@%C?;wfhqfGkg6HzF+J0zcM3z
z`~J5V9|#`)7d=_H+`_1%FJq5{?8><R)B7%_{5yT@=!J)`*55zeV)1;U?0=JcJ9;@2
zcq*nvtZoW?U9sYT@9FDyyzk?4)T6JQdhPe&bNY(ik2Cluv!7sj_Fmw^?3&sD>sfzZ
zZ0wua+El;$+&Zrn@delTM8m3H9<X=rxV6g7!K?1#d;7NNt%{{Tj5M~NKKs|`-<`_*
zw)b0RJG_uksjg6OUA=DGb01Z+Nb?2Te&l=)KFsYY@>M~^swc7a+nk$|mRQ{`6|wqv
z!<Q-ahWn|hKQbNGrCs*jvTIGIb5uC*fy_slr*D^i=Cb&rwd6>A+<vc3RfV$`b*^hZ
zSNd}?^S&FWcSe;v7vJ6T@0V%it~dR2RnMNBrRq1^Kc6S^9DlZ->yFyus!7k1{qNqt
zAD{knqNVzwv+MWn*|}u<zP)j-XFAsZf7=uC|E0!R*MC#Zngf<jTG{qs&RZ?dkB!%g
zH}3UUk@<CR{sP6~55FtIuj!uZSif>j&*vk-OYVlfyghA-r?~9Fk5B8iueJGo<>;#K
zTrbVH<z8R@_Ij}Vxm&uGWj`b~DFq*knHRI=)XOjfi?aP`DR~hVwr_8CXy^#JPYQ2j
zTkd7;!tf->#ihqJC-d*5_kFUCH}?t{&aumD^^P!q^suKf@BJ;KCwp|)-hA+!XW^0W
zTZ(@?P(0OY#d(YCP3)bl)-_MAKel)@ubtcWp~8N-^A|;(OO@X%|Cm>1C~xDq^Mg~<
zHmPdy^-&M&R4re;wEpz^p@FjZg<#hght&;~&35cl+;aU`$F}J_Pk!~)Xz{3Jau)5i
zdV6gm*Usg!2iW^V^se|`2uphZC{WwR(@ymG#jnNzg0udyd~;E8e8DOHQ{)08Ylh?s
z?)J*arfKWd)|KQiDQiA#PS+5ZQpw2PSUxvM`w#mCq5B7GLi%LStW7)X<R^ceQH@n_
zzw&ba=7*6@9qvb07Wc=8wy@bV8hP#5qbTw_E?P|9Cg5h2^}^iqoxgolUMvxkjyWz+
z^(ih|QU1@oO<UufW*b@02tPY(?i{;$%re4n<kTO#GVbCwk>;~b=iIfL<7_39_4emi
zv=7uDpCNKY{&?Uyr#u!Or+Is2GORsrN}XdrzV|wtX^UKP^ZhEO#d3R#9W6h5O7#4a
z-FeZU@6O-Q?eD(c(>OIZJbMd&+Q-ymk(Fg>MWq|I?6g{+a#3rd*U>W%roMbFVSkL{
zrF72is|$>!w#{UHxPfJXWRXC<jr$$v?9Z#0C@kn!NPTC)=jEz#!I;}}p(@Asd1e>t
zBzPp6ZLFVK7de^jC~Gp)RM}vY%U^%%RE<cCmco~{cdqWWl=G9(__j{h@!h1QTZ6)W
zy)0U17Hs8tsZ&SEZ9;ck2SX$GoD(f~Zn-fT8$2<&G3S>|x`p{#-VN*SwHCW;2OSH1
zv7x27xH$Fn#Mc?wT5Oxr)0eg;uGBc?J>lW87S_8d$|>rHWrLT5q$#t=v?!!x{IC7-
znD4g8(?k0e|1I_0K4mZCpO~MT;*UN&Up#Z?;X?PnCr%wveDL<uzhkBKGi<+3&zM{v
z%6Z1I&iS3p?tAv9>v=vDP2TYHYJBKFiQ0(vOZw@iw?BS5n;%mzXKNF6Y!Xk&!~D;c
z&#K;}yjefDZ${|7N$S70Pg0S%8gp&kUWL2GvwzLG`fBHoD9$(*-$z25HZ5<nyq@^{
z=mg#G+om?%<~<Vh_)U-C`xKYJ{<YQZ4+38Pczegkj{A2`ot|rkO{?D=#kDPNU+;8h
z&pkff*0n3YBB$qD_rAUVOh4wfrp&$i`4Z=zZ7%11f1LZ0v^8SR*YNOKg=_nd*<9Uu
z?$5<pt33;@&KJ9BvEirhwk0?J9$WSH+nMXne(PS2JDps1Id1Re=o1^&olj4Vo9|;c
z$41jdX<MU$?;;)}k%Qe^1!m>-w8h!9PCfPM#<w@>MKZtJS?nD3y;eM#>Y3<&^N@q%
z)L$0q&71V*aarlE|J%VeS?0NtZ@`}oD?=;R{y)ZcU3pVQ*p%Nl*;%^<r>)MHj?L?O
z``|qP^>tfxx1^<%J=Er0=aRX0jbCq0f3^9;>t~JQPrr=${&IKm+UvWnzyD>NW<CAO
z1<~F~XY<1tMO|{ekKcatp(^F+uk$CAUYser-t+uA+nSnf?qb<)V!`|M+&T4Jxo++K
zr@`Hkl&dOLQ2jz%bl0Mkwu^5pcP)6^({uNAX#D(+?RT^1oPO6<d`0b&cu#nL?e9A>
zuXkwcZ?xRFHnZYk&(m`!)`@-m9Nc~X*}aadw=G%R*R{D_W8?qIH!H{fN7?^>=6@I*
zyIMC&b6fwjT@+sw7Ws9z{f3NC{)9P)7acujB5*BS<L94Ohk5@@-+X?Zro~K8(QLm$
z-dQ_!b#oO~h~J&P*DS}T)%a9={@R<<wyD1{c)Dk?Ms>UY`u@*Pga7Z;@8erI$@%l<
z&GWWxR{o&DvvIkXZDZt_ce&PUBGn>lk6839t(~g=(J9>F+CTME*(tGibZ5*wazo$z
z#Kq}5^{0PJJ*Rc1q|N?~dK>RbpWDCJTI=R-GqqIX>Xe@FWNJzFwYXVV{nr#vKgxae
zm1nlVky9e;qxf@-EjBi)d@GM>5?z}wDRHhjM?8?NG0JwO=%$e9nTJ<tcDc9l3w${E
zpUv?lU&`*;b=#%=dwAZ(IRA`VXq9)EdAHB*rY-l6ekuLDcai9B5yP0($;Njp`dQB@
z`zoGy{B2iOpw_!L=cCWo$le|AyIMD2-#*jx%B>fdo=n-gJKg{7g*gW=?`(Ryw6n~k
zaPG=8&rCM0^HMvzr0hZ96R-MW$tC-DS4dmVx3aZZn>f2`i+H5gvG40=OaFhceP39s
z{L=L%@2~0azLXzu$L9CVLwCO`C7*3rxv}5<@shCYnJJ;?%ckbk-+g!J?a{>TS025!
zd~zrGeV5e!rC-m^?q)i+`S3FHFz4{@<n@JHr8H`J?#}zY%|=yT$VyK1Xw0OO3fdbt
zoAv1&H2?hS%l5m6BskCRR`$pib5y^*bK}39+UkOs*A>~ZzviqzoLc?cd-|QTzwRnd
znA&vYtL##t*-!1>PoA*vU)Fo$+Q0Kw%YL0?`mU*Grjp!h*)>AR&4ON)a;qmkedk^L
z^4jOc%~@6@d{wf|ujTiM%ZAVYWc<8lHs8L?x7|_(;)i5sE7X<izj!8eZ^ouQE#V)|
z-qC53s_guHYoc=`kIfw~zFQNg6j}=8scP!H-yia9*}AkF{O{5Vi|*F{{uZR;`u)X=
z!`mNT&#(J!uu4PgVP>_lwd&fa5GQx%Vhz=`6DFT_bPm=x+txJswe839%W3Zx>M{i$
zIx)rY&H8&zlF@7r_mut4ecu@|@z}+gyYnaO=B?W!7b-sO!@eS8d(E|O5l-QIQ#CYu
z+dt1bT>hgu*!;>$cYT{V^N&<+kD0LJ(ha@ck9R!XY|-&0e)i2T^1rqjM&D`pwdvM{
zyV>?JyA3bx{kZ3*p-I=V_ea|o`YxR#rLstAx!T>kj;C)|*J%{ArmT3jrrek*h);5r
zrRC{}$7ipWA1mIv^iOiV-<?kBHEW%}pSS!PX8l#KCZaNTW^kr?NT&PD4x5P;-%ksl
zpQvtiEQ#+}()QVb<+Hyh-eFoP&?wwHt$V$t#9x8R^*U4MR58Z*J>?1bxpUcn7p3II
zPk-Efc0%7@EdKw}pyM}kR}{5HKj>-RD4m*Q<&tn|qs_aJC#<^5<K9nHXPs(n>bG~-
zF>Qut*^%!%U0%AK{<-_CWc<7}`?md2Z*f0x`{0hni68$g=2U)jY@>hqZ07xUVk&Ia
zo~t}G6jEN0Da0dq;Ctk+Z?g`otl;8ri1XMK%ODpaw^)8!?s{haOT5*lymz0=tIk|2
zD9ZGJ=d-u-)b&ZJ_vU)U6!S52{mJQR*K(hlBipl5TDNg!0k_F~51ZF179l73^jEaW
zeZRfoQDKnf`lmCe9}Ei#sEvCaH+@}ZVB;qdf6wW9zl-J^6!Gu5zB~AI6}Rx>@~hu`
zyhLAatXO}0r{w*RrPt?`g&YgrWUsqo-@4NE{@1@`Hh$9a_ne>i&TGy=o%5CN%a3YL
z`QJbHdtcU~x7UtoUaEdCD<YsN)bZsW%Tae$7H3gGk<5k83`@B#xhi-FGqSRX3Mw%!
zX%?_j(*1IwT78PXi0J&|pXYtI-T(RTzhBp8ZB3t^9bf&v`rXWvJ0kW?oPFUl*ITd1
zlM^RP<o-V=RIg>hbLP~!H4h(8nji3)Z>dl0!)f8%7r!p>db;jpTl<Tk-g^csPpZX;
z2}tf0-0-z0G1B4Y@9Z04;Rk+s?D#g(DyL&>RjtXhs8^@FE4N<XC0D<+s66#>{Oh2z
zcMM*hQi~B6n7LDM!{?sFSO>{ZraA3qvcVieRV>qYe7=yTv&>a$-*+!p?i5kG+s!GO
zx$cYDe&3#`yY%SI)YQE0t~i$N6Vp?Ln{{e+x=+05<w%h{TVr(Rb=>`&9pA2h@Nu$S
z_oZ0v<?|D7<Zm@6-OAv)m9fIs{iV&+sihB3?V1(r&$Fzf<H@v6|Aal+rq8c4F$LXW
zzG?eZ-tM{Gwctg3!pSGa+4=QPz2EWphP}t-!;f@X{{=XlbH9|W^DJIPu6eGpcgvN3
z-RFWlwl7mVwDtd-3Kl-GJg;AtKj%m9-Ir=BzwWGV{fWd0GL4?*Y9fah%$1irS2yt!
zbMCL5x)a#h-tGM?BkcP#yYJlRkCqZ`sXMMp1Q)+FGh=)Aw&&)-`u9Z@7asdB{=ai#
zx4ZPaE4$u*oB99u&EucvpIvORbH_jD^}WvjSG)e5n^A74;L3RWW0w2p`S;f)Jvv?=
ze9QH~in1^HCred**{e_NZu(&{wXovl`7_Vfeq=0+dcW<NJV$NFwc7KMMK5!loTmu<
z?$WM({HQ5A>r`k_!p7Mhb5Azi-ucVcCBm@EpnQ$py#*FKjxV@%@aWPbD@x|omgn!-
zTD9`z6sLQC7x%Qk|IU28v1{MKovtdY?=E_6InlTJNkh}&vh;<$x4E)1%XXIStm5Ck
zyh&I7q+6o@L$N)b@2+>G+^=q*H%CrB=3a?&KQ9~qwX(Lm+P!b}Wu+b0>T7JAEu$hJ
zv!*^v)N|_kA3VqZ6wSRU8=HCOak2CF1;^hknKXC7TIbEJ?7vsWY0WN;`*zu5@zH~;
z*(b1GpL>SgmQ{4!Ng1`3Cs&^Q^l9I{-<My1o&0UzCbfOW)oCK<x(}!3t>0rJb~=MC
z)kbXc@`-!nx=+nM<e}euKJWF3OW!sv@lA=oY4qvabGd0h(si!RzVh+7e^5@VT?(i6
zeuGBajyY!kJ~&F<3OQLMWb<`Ksh4(QtF>1w^F(FuxWbU0M2?Cq^<{@<FLIxI?UkBS
zkfFKC>V^$%wi-=lcFY=U1aDnA_et92a^R`rGcQ9qvu7@z=HzlsY5s?u7nZqAtC)4G
z^W%qQ>WlrfONAMiaipB&u6tPgZNcg-A2%MbcL<SPYI7{<)YGW;EsnZdKDIhMtX}9l
zBfO*H?U9&@;71zI=30L&S;2GpHE#fSn~%efd4J^_8m+%iH{Uf?(e>Sn-!GrK6?j}U
zz07^0Xz_K{FW;7l@8UVs#r-0^DEl|B$F<$=&x`#J8=vkyrQmwq_kPlYe6BBVeeah^
zJ`odtasEl!cj-Ct@8``t-+O!K{(To~C4-*)l#a2yl~vlDXJ5r@T3@%_MDk+(hN-``
zR_;hxIm7kRy)TK=8QrGOIeGL)<h9*_pLagA{vK|=>#CxwT*g7Y=BK=Sud~jGTQ@W5
zmX|)iu3kXfg`2b4-f<|M?!5l>fxxbrG2bsAc)e^!o6+gwE^a;NFK>JwmlaKT+Vr|A
zRbcPT82=K#=?8zY$<ExTyUEBz=Kr22iz3(VvX7l~+xlJq-YMN-TGv`*JX`{1Pvx@d
z)R7f@!j}^0rxd+yGS7q*N!cx`H5!q@x4dtrOFs%b@in?>t<W9;{k`8e{<al*>a^#n
z*e?d{Nf)1Rw{ZNDnt6N51#ZQ>`4)`ZHN|tMuCXsvs+Rvh?ZFf7@=sS|I!q!KWZa4V
zx9d1-utB5dhrDa^@AJsrFQ0#-U+RH|#DfmU{-X;+{Tkh`O?SV(^T8*zf}aiZer=fd
zV5#i)r?Otce1gJ#t8Q+*6)9TIaYxoELf~XTfs{>LjD57sp4|`kZ5K2>5@&E^-zEEP
z_trmp%=-Of)`tE!8<*dh7k_W&^Hmd!S5Ej{|KPuz%_a4wKW{dFFDon0OwY@!<auj7
z)7H{*=HASm+*ADL-TFA^-a<1oQA4v@OY7N|tKafy7Pr}))bBVio*5tiKH~ZBn7mIr
zW411L->v@df8&3aI@9U`x1#Jl@6WII(r&1}|DYjHC6|BlFC&*YT^*Z>4cxX5OuP5&
zelNbDs{6Cq_x9-_>uTTa+2qyH_JXTpzFOdnKQp7&N=aAE(@XnxV$PkJRdeMu1&&;=
z&OcsRo|37%k|E|1rz5|gzt8dft~Yr{zpFED{vG^Q>}~DS(~8mF%HN)_TR$kh62-q^
zU$MW+kC^N(^Cd?vpZ;Dvb9(pgjHBl^-PSToG1S?8HN`<}lhO4)3G3yj4^GS9zC$2V
zVB*@Ki%k9xEl%{_xgV+Fs-*qkMeTQ?%x$idYtwJWvY$U&dgV_|-lfAq5^K%~96Gme
zcT{$~&Hbte`(h$v@7|4yi4RQUDr^4jbV2$zpHH0ahE0*(XFDPb*Z=z3*?Mr=Psc>_
z-%tMC{<!qON!}@!pQ&#M|9|ArpC`xME-&yoZ4+Iya<<dP=%Wrdmuzn-=s$R)yJ=VF
z+}~W2cUD^nh-c1!zx!?NY^Bs?yL<DM`KOiL=5DidzQ%r?U%_~(jltt9abHgC$~*E;
zBA{jO*8@d=56rybr?cq#uAe%uc^$$I$Ue2cvu5r!D-*%X4LXymg3>-VSg$+Ns`Az9
z%%jHg7l(OIADt7OIZ=G&nk&N7<vTYThnmh`n;GW+g4g4Ps)+w0k#xiDi#Bd}l({W5
zZyT@29-&DRdQl!KVIHZfCsReMp3O2c(+!Mb;IrSVBzVs>&OYmriLZ`b)X|JJr~lO5
zxp977;>w2~mM8yZS~fR+?FxZd6WIl8FL8P(7}>ABBctGTIQ6T=Z_T9^;hVEOl$MH?
z_wp^Yn0oYPgNu{ltoa+Z-P)6T(a)*Shx-+$*{QP)TC!5zI$GKqOD!T->rWTmTq!p3
z#{9?Ue&@-AU3wO&k~G)l(J{r&aN%WBXU|<-BB8S>V~t&K*XGWMziH~-Wo&9b>?&N>
zC#c1*;qwdeN(jnRTbs3P%Z5c;xE6_g7Z>ICNZ|5#vMTdgj@r{rhs-^B{+u=2t|Ss1
z`Da1&#TUgF>~B_G*gC&t_xqo}cQrAlX5=eu3HRRfW!8?KUeUv~tBX4<Q;*zP?eh3~
z=g9^qq0U1Q+Sg08!z;8O@^4)nxnuQA@o06~*E$m=4d<;8yT)5*uRDh&HAnW9{+1(Y
zh7pn1Hzb|!V0)zU;TPwHx}U7I%R85zeZRb|*jqBX;}^H-#z>QEpR*$p)9<l9xbx`i
z<Hdgu_3BRPbM;Sg`@*f&?_2%7tE}lr>-r1N7xDMZ^Ncb0|83&`#z6l{TctP7(@rNG
zkbU{#rIz7;t4kAGp6uGGweg14+=(n(t<S&p>=sEs+Iz`oV$YTMceNpF8oEFJ+8Sm5
z>En*YouQ^{F7NAl^jWUWzfvUVwDpVim1}~2C#(rwt)ygglsmU}#$Lz#zX6iJrA{6{
z{U_qP{7d<rtd}>&J(m1<F-cVOnVjOIb%~`9_bgTWX6$wOw`@-@$KE#A+tb{C%wKu8
z_q(Bup8SIA8}ihi&s}=-lJ#`&FYkBEzk6PI+0j!e=Tr{{*94n47e3$f|4;tT&GYqa
zefL+k_nhw9|9hGj$K}+{{3&9N*AJ^Q3Hj|5mY0m^O;wY7xAk(u`HDq~r)Piv+~Yih
zMRi}|whWoi%xv8YPCs;2Nz$5GTHDC^^7{KT+UD`qpYKiO-aJvDr~46Or~Sp89_7B5
z!PCMGN-njTJI!!fU$=NGN0p>@<H_HftuO8sZD0}TOx{x~rawiP$M6nU>v_$iyQ4n;
z$nv;;R9>KS<r`D!zti&;8rSWL$Ua=@y&>*`#NP;~in+N{XWW{5dipu0b77sW*BRY}
z*4_L0=E5AcWkn~oqSstm_;c!wUhlBCJuzXynoJ*dU*F9bYH2oU)1p!vEzXc%;UQnF
zIEy!5D$Zg0VzplOXx#mDv-fOwrf}4k&$nb>Rp;I0^PbCJ?#nOLx9>TUY?S3L^*dVR
zul&%Pm&4gTU76$PY7zMl+YM(g_q-6*-o4GRdK<$kj&&=xsIAIdn(5oPc}2}FhU}n?
zEnL@5DO){r__+4-qvY<h-cn(uD?4L4ABL@vE`6svC5$n@dcEz0HNVn+M>QS&)0iS|
z@@Fo~>DrcG<r<L_Mf-J=wuBx3>(sV3*5UmDQ_qB{Yh~+&x_7F4kW`8>(bUY?lqBhH
z*m#O-%_VDx2oCT6)_o5h=D3%==M`IR`EBX!2*LLoB3L(?<RwnL{PQD6BCBxy!bRU-
z$86is<h*hX(}%Y8iSerr6;}M^{u{DzrkU%T{T?9)rPWk^PU?Hya8ji;K1I-x#o%w;
zvU69uBsQP@_q!y&ZVj^;(`%t!_W#6olpH^_H^1&c$n>KPLiw4O+kfogn7;SA?UjAg
zjrYfzB*wJAO10hl+3-@De?d>&i}UH?D&;J#UOU_EXU2yudAho*sH33xtFEbJl!SiS
zmr0YWB6iu_J+<|A;nfwXyUf=J?UdMk#&CzJS&pLibgoOPA0DlkJtyXBYxSbH^8_n=
zkLB@Y<@&wry1#nIt?Aj@FXe0Q<^6b1dM)qf<K|QEpZI@g%4?20%=)@MxsuhEyQ{am
zPH>;}xcX?DZ@wk}gvgL|E|Ksvb;f(sUn!}x-xf-pUo6S}`s&o1zwCBQzTtoK`YMLB
z>8|__<yg!fg<rmuW;p5I5ABEhI{JE(oY<8$&u(Qg4!l$n=pA^8CouZr^2|kIi>y{X
z)7N(GWIFoeuiX5dZeDYab^5;UKfJy(batDn$6Aep@7pW=CkZYuOuql+c;(v8tAFqB
ze%>iE)ADas;m6hIFU(6-34N%Zs<if*daB~nhxJ{VkJhtf2g;^?p5Ya^EI8Ka*JFXK
zq|jxF4+FHjKK=eVccF^vvnNOFKEL0waY~`Z-$IMZje7smS6TJVwC|JDpZ27FnbyOA
zUylPmC3XIFUlo5s(#t5xFE1ywevW|enr|jLd0DOp_7|NOPJC(7U&V1}nf>C$wG$uq
zoV#xOft~ft`t!wdXZKv0zRO-JaDVZh*QK`^>`yvuVwvQpc16DJag_4+w|Vc=|0Q1C
z^Gjx7U6oB_W0Z>JOV)|!to1tc_pYm-xvfWM*Kf}mKY||J`OSa!{*?`zlb`H6Dw|rf
z;{48v*^KK|K3mT?@}lb6!aZdw>*YM>Dpy*r_Fty2e8FhBj`KN>zb68$&8GD8>`8Y1
zcVflf;uH`0=XYinKAn*-s+VihoBpCF_U?*#C*G~i(04kq?aasOoMp?^D)+bq+g#ot
z&&44(ebUtLV*lf2J(7~X7ODB}rE=%C`hO;>)6L!9t1_;>6ZPV1Oz)4A)h@5tPak~i
zDg7cY{`uXRYUUGGp6~XbyfTvQc~Wcq#L|`1J<h$jYo_&^WkxMWf?oB;<9Zh#?*0E)
zE!H7#)~tJ%dhTsLS-sk~fGg!d#<b^i8K=2=|8{?F{MhFGiK=rq*BQEP$~QVKIjz+#
zvQoFtB1d;`ZSHL4(DsNnr`bkUpSTjQoNB#bq1|#iLNBV8Gc~W^xUJYX1J3uk#g$iW
z4U3C6u0Go1w%ucUYm>x_)lUyEV$ODs(sf&{6Spm@BqZ|4lBhYJp*)&P6xZ0e-!!al
zv%b%=KKP+bYU!QOyw}p7lgcLA#;R%ZhpL}Cx$IoM&b`V-QN`_smUm>7_a1Vu_%dY`
ze@$+2$LlYj4($mSp2<FY_h0+gGT{$>3E5j8$e)V5wxZ%e?pD9s{_P8XiU)_Cy1>8p
zM#zE#drmHjvDl>k{}QkCUvu$e-}H9Z8ReF&@9>;wzN14&Vt)DbSu^KeSMlSN-1_vN
zrmmXmj_Um<UY&eZ_FeAOBDtIG#`ohs@piKA6Y0<RSon1DWxZP+Gk>xPz4*QFfc37A
zXP>TbUdVofBg8K7bJEVW7jIt(TQD=_=z+JlR{pcC@AXc9TGO6#_D<4)nN^ZAyse(U
zi@BN?Jiqawk?nTpK!?DO36qtS7Uk!tT#s+bPx#ul_Wk5gwl9Z-1MjajKU=<kUZFGp
znTFf!PfH(9$Y*e>WQg2*e!-dk_v@;kSsd1@o{|3U-kh?o-%+KNk9?1}Bv_pMS#Z}P
zky(0iwAnmMd0%6B>8=w$R$R7NH??Q$WtSy8PE}poy523LBj(ea*m;XCYiKOJw|T<U
zNuNL6tqb0@KjK=d*8RO&*F|5-?ERR#H+)OdvO|@<AAQAEZK}PM=ey`m`I(;DYKhYH
z4Yjw=-CXkZkm~0HxB0Yf?aYdQg{z6lT=UXyU+l}5uz~5rw&@dGHQpcbFyX%cDqTf!
z!c?8i^|kd`rduyeX}WObf>FWCeajCO2}W8@yqlp@`^w?$UhYpz{at$Y$YzSX(@$5)
z&1_Aav({~QaeD17cgcp+JHLp|^XIyEWpd@c7^mRSH~ouk%~ZlZG(Ju_Blk~7`^Uo%
zUPk=&rB4b3VmgoCb7Y+<#;fyiQ+{@WWCv4J|Kyvd8P4TWhssUz3l98yv|;8$#&aKH
z8hchd$L;NusgUK2=ueC6KR2oT)P(mhE^T;yso`CO^!W`A7vuwYmDBcZPrCKNUH(kU
znGaKU^EDj2|GwogyO)iaO4uBk44+Duq{-XTmT^tqHp7;OJ*!G;)~)pw?T6!KR_<+o
z6(eAyZ&hb-YC-)@k!wefz6tbxw(wYRz@g9tTR(Q0<=pN4YzO1@a`;^&8N!?*!<?@A
z%7psdl0J9abdEjiKNHTl*o*J85+r+=?#c6S&rX;a5y;-xZd{$RVa<y6!?#b`7}@pj
zT&WQ6&TL<N^FQ;AwRSB#3S7I7-}7YssU^knc+>j~k3%~BXMc$9Zc{mMD)PY((X{@E
zX;U}9R-Nqlp`L;FmpL2zt00xIH4TqB()yjIiLNfF(4XnP&`QX7p<@5u)m%pUQW2+8
z|F2c#47M_t_+-7|#T|i1hI6I*_b%r8lv-l=?AI3aJ8~Ub+vN5qTrZH`;KVPp|G*o;
zW1F1h`HuU@F6;Y~V6*SIk!kpr@5kp`IDB6GKiBExJU3?Tj3cMb4g9Q^?LWFZU{64^
z<hk0p6CPLz3SR%2y>WZT)?<>a-xYJF+$mHuzOpmfa@q6@-ox#cQsVDeawVOE9$X3%
z;FQ$-`DEIzjW=Ev8Xq@Wky%``#^&hLmrIxaIQA%siS;pa@b)`bBe!2Y=A|xwV&6_1
z9aoo#3XYe&PD*Jx_NpvWb3aQx`d}vasm(&i%0l;MhwPn+pVofxeEUvr>*NVV>|r(k
z-dNkj#b{`UCb5|N2?k0872I%(-Z8CdPyW-3%NN~g(yVFR>}>j3TjP3>fJ&?BRNJpy
zKbz`;-&U<%>hUe^@~4u<Qzo&~+TQ(L{r>mGw@ZKXhjA|8QayX~wa(YCpTDvmoh)6R
z((|i&@8<$Rkx8p<Iy_E>ZoRtu5!c(@(;kW5yq($+JNK&7k#(Q4Bo584KIoImU|kg?
z8Df;pKmW|U^L%Z;zwMHE-^+8P`-<o{v31=$-o070myP}5vW}XcHM_&wmMvvzmHD}5
zu5PPLk^K(;+btDpoDL7Gi??~qDTp^_R+8JedY4r94PVDxuXSC@QL5pd0!ousKNn=3
zG{fy}q3-(atJbrgxqkh<scz}Li+ub0wg@a@s$0&&C;Wwdsts4-M&3kD&6R(quQEG0
z??(Bl3Tu<okNoEMe@}2N-kQfKxtaCSD<<I${Sy_p`c6&Fc^Z?sL;3td-k!oUKc;8>
zX}a#Gz4>;|MAa43FFu%lLu=D+=B~0mzx9tiUz&4r;h+59tbHaS2jaXGrY7s$%wKhK
zORh(dhi7uY$;lIz*snMz{P&&m=A$yK(ZV|SSLwNyEZX&KevO`T@yDvn^z}wFKJD87
zKkDPonY<_E^L~7|FSEbkz>T^553R-TcYN6|_LKig(~7wlKFB^YXTAU5*fdRDy~h26
zujBpt^=|88^6j;q)D@h*7d)*hSy5IU#`$)((5?1`xBd$sUijZ_;oiukuzxx8^QHy&
zybWJF{lD={fz<4Uf9$7x;J7XN@_x$Z`wLAxx_2in+Gv*ib77%bitDfQpEmwrUo`Fi
zOc}lqjVh&%H41y`cf?P2o4;@0k<aFyxkkyqLv~MFIsMzbSM`e1s}x%oTlL&b&i-wb
zRr{shVa~MJ<O*lU`T6@g_B~qhkH2&MYn7MA6K&)BE6WU0OY=<9uesa^I=$!5^iQj+
z9T)C2n7=ypP5G+-r-iCbga1DG_(bRAA@%!i$?TJz?)PU4^DlJU_2aKF`)!ry)*GV(
zR(q;e2fyag+pT=R-sZ;~e)$^a<#IK-uAeSTN@|8Zf5PV5zCh*ypXyzwg05P(+QwS-
zteljRjCVIv9$(Xze0IWWBJ*SBZ26A({YeWeyoyrHx;JSHx4x?i`t)ed_BA=X_r899
z=;~8d2iN?3@4DKrwjS%=_09b**3Z`-5&1;AQvT&#+pkVjx1Zd{d?U1@qkr9}H++AK
zyZF_PZ|D{jY;Av*JE8fT?cu0BNzWy0d#oy72k_d4yq8_&-OqJyT1wdTb2j^Q|5}Fc
zP`Uiy<8Mpg{a;_t+q_fPtNgt?>*Ohe1^=He^nPj+Cd#eB@M3$*c>||ooa;l1kE?De
zU*PnwJnzm#?#Vo@Wzu{vY^OE_Em+TU<hJmJ<rgap(ylLF^{!t(k6ZiW;{DsVFTc2m
zKWdK24%XzJi8t@m&HW!U|M}iUqT3_ldS6tQF62M8$e(AMsPdU?EuT~=&(1ANqz?K|
ze#^CDg|i~_Yt4C^^^0oem7R-w=<#TU{c%B?YZCoAoZe;{&Lw8MtPi*Uir+l_?5*2&
zce0(|=e#ZXvSrqxo2l(K({k@mUHeYzR`cA{nPM8Ro^ml(C#;;w*ZPL(<rB+qu14#Z
zY@GPC^;>z~rZt~s3TEau*xbIHu;u^XZT}|C<w+>7{}HW{wW)SxY30hQT-$BCYJY_q
z@@Q!PPCCzHD{Fn%cj3l}a4WT-69>7TD@^XcaN$J8oT%8MbF6PxXYOP5u-PeNUR}HB
z)2G}0A)j{6J7)Qbxh=)#{^zaTN;CG0XRLQOh&SqM_u>|t$}O(D=iMi>efuX|56!Gy
zJMqlstM2y_bhpJFDY+k0n*6!<jIHv$gEm|FF0^+2tvw$!Ieg2mhi=>4*0-46|1$q^
za)s%>g{jUpvcG&+*UA_EeLP`L!@NsR^C}w;{H~P!#N-yJ|2%p9=j&gmMn7SVdUAID
zdSff29A@1d!#2D6yW96m{|XfQym4^_lLwExTg}BsSMEAk|NeQC_wUNy{HJB#j<=n>
z!nWy7p5cYOkM$XQGH35^{KsRspO1H+P}NkYxsP)ae_u`D4C~_fdQ_3`Q`pr~ruyGg
z#P#>2?p-71x&Oi^p0&JNTMmAdIOW)UvuBmLkBED5j@#>|WVOnj0=JgEmX?k-SbO(p
z=hfn-KNIJwh<J3E+~!^zJM#)N<0t>4N!=&8tq<iHF8H+Wof|X%^KD$^`GT|3pV!ph
z)!Qd9J>%=wr^R31p8vpZd;7)uA1PkXCTAFhMkkyq4mHpA$rIlYt8_SL!`rDF!&F;z
zYB(po{rl|8r4Rom>s)#_gX`5<)k(*qeDn4eR(Ai8KE*xh^vq|Ua@VGAU6o?peEv&J
z-Ye;p=g-!C+E|*tsq}v8>v;*U`zD_|8Cx;e{=@W-q6@lDyLU`;`xr0#mVdrwIs0bb
zRsXlliTq)(?6iCI^ZxI1?W3&gw-o)$c)7>y&RvdomOru={k^;Fcwea7&4g0bO@`HH
zE|fmMcQC2&<0qS5i<KfroFY^_3vT{>{m=Vu_ry=l7tX)9WM1YJ#qi<ldVRKehclub
z<+<3RerO+>5zlS3_n*w~j(@HKGg58q3^meiUev9RWo};Y$g_{Z$FpAK{s+C!tJ59J
z6JP39KUX%c{-A$gO4Ytel9oT8@85Ir>U+h+?|N-VzpX7vxYKa6Ij?bA-xi+(SDa^;
zSLjc%n!|nb_niH?+az=|o(FGtdc5CNw8VIQiNSgi$(1uCR{luwuJKv@u739+L!Da7
z%TI4^|D7{a_R@5D5!W+EHk=Xpnp*#uxy-g;=lv7xGWtb9f^CJOCHo(Cf8V0JUof+#
zcjui6Y5Kx9_Uy_yGe0tO`P=yGl1C4!y$*`YkKHF}-%?W;sIvKH-0tg#qC^${{i!_l
zd(CV)&zZkY%$$1V=T9HzCt3-*XOg{AZY5qf(_HdZ^>bU&`<Z#mPlx-|%(L0!6PB|g
zEcZ_IJVgb=gsBpD%=bs>=PA8cS{Pezxp6}ONvWtOo$q!iH|{T*`?GTTZN|%DYm+YC
zn#y{3{dLxNe*G!WXU6=rD*pTWOv~rl)f0CFZ5Nb2^zr)q?>=v|o2OrAZMgZeP4L0p
zm)%_a88K1Ys#zR%?cK_gp1ObbvZB{pJ?83)=A4<nui*Em_A}@BUH5EH)%z0v@58pw
z&XW22&#=z4IQ?d|@6TKEY3t3le)xLG%3kH@nbg#}6VGn$-YZk-yf%2n&&f+|i|TXA
zJs1;rZqIzF^SU;`cH-<$U*=c@PjV}5i%y^M#_92Pxogv=s83kLxa-B)E<2B%$9cS>
z*NH#-*^zWcjl<qLIlnac-GSSZL4W2hJ@m`=so&pkmldyPX8xMuS~fql`qqZ12U*{q
zeF?q$s9Z6qJGAtUicjLU(*?rkm;8?Tm;d6Z@VBWStu;LYC+~d8CHijO>dk6u6C5Yz
zT<-8)?K(fFnR$z+qt~6h8yAc<k4!h3`mt8;{FN2Qjy<&fe)igiEQQQ_=jJWbjrEw5
zB)9J<>#3XW_cNXEJ=!;Y(Hr~Zhl#%5Ti#q_e`GH&e)dF1UhMOCin~i?pId5rTw3_M
z+hpN{|Kg5L3y;Uo;B4IxIkQ9Un`U00w${Y*UQMrw_ho`bl;2B*ia59mT<X)>G|^r<
zaLMBM0Lh8V|7sqyE@o@m?-XZ$h^?3-Z<+O6A#H<=tWymu4>WOgem(N=rH8$cX4>RW
z=eV`pn;u;iE|Kn0`WfMJSGeGb#qNMuW>1ZU3vQaIZ}V~Jo>w7dw4ay7J7<=%!uA6)
z$F-uFUOSnJ{N+=%w`-H&@N?;IvRos!YPKS$0oO<0;!n>$&we&T;_zW1u4%2W6u#^h
zth^npb?$TG&yN`gwtDBDuW2h@74oQQre@5Ih3~F$h!%FWzxyLtY4MWz;O_AII;wZq
zMO{${2~d-ZQkSgq-ST7Gs!s3b*}vu<wn(eHCgsGmQETaPz9}~9tNLb64B-&n*{Y?d
zx6Eewucgk)2j&+(2~d5d^e2&H>CuIY{qDGTupE6A&-Qqe(w3G-9WOZQzRkV9Qo(KS
zxyZkpclF);`RuZ;%qqP!KlPs$lbiNS{h0YaC5`Fuk<JL~I#Em6_Fo^T22^~QbXR42
z#k2Dk{tffhZ$JH4wRNrAQ%376hj&FCy*R0_;8B6Y$_e}X421WG%>O*Y^hY;G%gllk
zLFbQ$r2p1^>9Xw|3**0*>2Ho4b}^8=xtY)2<orjjzitj!eB2big}z)VoXS>vJKW>&
z#A8v1>t9?k^k)BWGOwyA&N8Mk`Eci?w634i8yO?z-_2hkzh;})^ncf$xfjWP@?Do4
z)w=9*s^2I6asjn}D`vf#{<&n%v%52W*PRIOe>G*ERnWb}wL6zixc2wB=&Ic!N^W@{
zugoueGyRqGrMAHSuove4Ct7Wil&)LC<Z@Olq^4$nZtMh!;^3-Nj9mUxE3*6*zdo9~
z$n5CZiTa`bYyO8l$eD6;Wl+2M4b8eacXr(G%CuKvEw+&TcCKUQ=EYxA1bPZ>E}xXM
zI^!bzua>FjS2F+2I6J1l%Kwu2Ehk6s`H(*6$(qSrk>4KfxwOd1`Qx?2Qd9lh0;Sg;
z|H*SX>5%wD#t-^a9#4E_9Niu0f9=JT{~oe8W9-6I`L4f^UunMYe?a%&FNWDa7Nl2y
zxTNyoR(D8$N}u%fGt>9g?EcZ$I-%?(x5=A|iFGNDCHnq_y*l=>x2)4)4eRD$DOt_q
zem%<{IA8ty!LlU8-m#GX>vlE6RoiP$n6cNH|2{F_o>iyuU2SS}_@NtBEB?4C*lj(W
z6}ax`PUCZT`!zUsE{Z9bz_^H4c6*RTZq_X3MKzY&&etF6J$FZER!23L);fo8;)_!~
zMN*#b4J!})y<*uN&xFQK84t$Hn7~K70}Z<Uy53hd+?x4LhUsnYvU!{1V;-!yvE9BP
zO8&k>NOdNIWmfXVP$`j5XYMuRzJB^GiZ}MB-TT>lrW!roc+R`v!nKg4ZS_wg`?x<f
z>3a7{c}{#H(|m8st~X-m@7$SwLUV%hRHIGr4zhH6AI^F6A*+6Sn}O4k$`iLmi|WnY
zD!v*>|68=8x?m}f=A_k1>jT}`Gv!XTC0Xy*cqLeqvUbApU8W*2k!t+KyII#STlZf}
zxy8hOqub4}j0f)yCg!QSFFKYs#aF_z>7&@u<6#`j-*MR*CdBU3JaH~MIPFz#PlD6N
zr3Y3g-@OxlV*6!P@%xo5$|*S$pRIo4nRnmaeLA0i!PHM(S(0H-P6$<QxUE|8l|%aH
zBIn-IEVF|-L@&&Vc@tCMw8pDgSv+fw&>ImY)zHsPR~J2XI%9CVYucCcFzyvyd=^LA
zVt5WtRSn&|jIFBi)C#+(0}02RyW|BO3YfY!eHQS0bvxHr?^xPI?(m<^XZ}5$`1#nJ
z7fDw#(;N(vEfbG>?tFRJRMOth@>s>{y?>8B{<&oHvyh4<D?e;EvflDt=#G@cJXO&I
z?^$(Hl^e<rE?Ke7{+4a;x~auq=5>{;FDiSoqnP{t<+HNklcfLdancJF-t_gnz%s9m
z&yu&d6<<Egr|9z}>1s^a^em5NVK<GVk-7nQes1=D%hCI^P_MK<A*@?r>a+cgt1PD(
zo@;pDG~?udLFpt5FOMZtGmrJC)mhoEI5hju5|6O6zYjmFyTTKsXquby=V-D8SA?DD
zy<Z>xZZ`_rI^*r55~rvS|JYyld(CZ^PP?6vo9VRlj?ckGfz0zHj}_EKdUV{~;-<35
zMLY4^heaPe>TGSl^*?bk&DznmM~u<Hg7IJ~E8ol1trPw%&0Fzt-~DI)6W2bppCjuU
zsS%p&tvBt9_uaE)2N`zS$%g$%4|w%Zu>1AH+$UemU+GS5mfvyd@m0&IdsuQTuKM15
zc=wC8y@$)=?^Z7s_kM2P{{Hs;-*XnHbJ+FuPe_?}>bK<bSDnS#9rgR?HZQy0w?*Sp
z3xE60*qF3E6CTA1ONIwcJn&lX&EBBic*gH{Z#b&jE;49)Z+>mf^J!x4dhh(tJpbmu
ztuKGA^|s|!HP=;Ll5cA)IV;GqaO39hf9wi7@*d=6RMfAWm)~{g;KZ+Gj{aZneEZ^{
zzq7gadzo3*VV*XzS88QtHQ&Rhi9c)Hw|e)bfcI<9Uj29@q+;jsINhZ6x3?se?3!9y
zT>H-<&FSFo#Gj4j&Ot%-do+&}ZWfi@vtyg7#F<?@ex<jb70-=`)QdeCU@@cXiYwoV
zStkx^*@V44_w;wg+qZf=Y@5GJEO~cUKWu?{ls4Zpw$0yU?_SUd(Y;kV>rg_iuj}p8
zx-ChY4=`0OE~}oOw(^5nmHpx8C#QB67rif=%NeuRx*+ulyS(ISe|w{EGmpFWFa1?h
zw`9SFZnrgJcP6`Q%IG{;KkM_7Uwju%%jd*?a5K+W;?tPM_igU!H|OgvetenL=W|t{
zFkX|fRCgwO=;SnG&hEprr{^^N@>HHVA#D4soA2x2fAW~HY=>c{o$~6*GJn!Sue92(
zUb^da+sqfo_FfmCw8U`LlDEs*pKj5R{9KeJ(p_n(%JtWEv336Iq$VH1H|tftxZjw%
z<Wj%ET9x(v23rjrj3e(mXeI3{SBPw#-nn~XkhaI?MOi%IJh?Ujx^4<?%QsBjJuyt%
z`}3oTqPdc%qED+!<o;|4pM726={m8);>smSh1r%)k4@*!`yj`y$^6c3pTvcY7mCj8
zl(N+mP+l!IdF@W8)l-`$@jkw4`?1IB&a<~q^OjuywdALx?lY$zU5l8nA4LAX`pq&m
ztYz8cEFOQJ>@O7@>)F<eWT}f33r)}o?cRBo`}gb}hYqb(6|3?)`pa&`&CsQm+7FqY
z?VBmQVb@)mi3S-TZ6@9Injh|PKgLzV?n4;=LnFS=FGSZ#$vvK+sG=H>?Q-Of=l=PA
z-4&BFT;^pzh+(igpg6UtaT(Vo8^`jBM{XzDKeGM%qBZ@6P+r&0iE|r5g^$;re;Tp(
z@bB)WFOU9w5pHj+Z2M|OT~R`i%)-@9hFk2D&V5;)EV0W@;C$gH*_6ZUx79Hm;k&LP
zF!un%&*=N^4eI>AHMTnapX9ryDMtUIY38)^{~oX6nZxap>~%r!h}^>t^Q~@Abnl&J
zS)?oc%<%f08+s<6h2JvgF$zzX{;+%foygK@-ue?hDc;yudAU?p_jLZrq>r=IW!z^!
z5np=1Vej`>`Wu3kX6Qc)nZ@)!^Mz$>!Kw2p8^ap!C(SrFN$!K3x_Q6opS7ht3*=I|
zB2D^2Yxmio{g%8wWBLoBT&|uIyF0Gl-&Rn2se19xV5Zp-nQH~wV~XcJ`#M?UzC#(q
zubIwTUk~+ad7KUBUUb%ck$d!0`Hjc*+&K%wR%RKBy*_Fx-aCJd{bDiA=gx7vb*g91
za7ZvcT(^+*>x0l1#<Yw6sb;q?$j{T0534EOuKF(IZB_pI1M@9-6n=hqXZi8Y$Ay27
z7S7SRxwz72ZbAQ>{I`h=4>uI$o%y4o_1FGvnZ0L_>9MA;@Cui>-AkrcEi_=5E3THh
z;O?BHk1NacmV9rz`fbY2s}Ef>?RLEM*peB+TKR6mDf5E&9&2~rX!2aS$yVm=jbbYc
z<C-(<4)X6xd(^(H_UO3vgn!PzhrJ?F=EuI*y#Be?;P6GCe)Xd|&wPA09SmTq`Cz+X
z<s|QYS!eXKHoiHnu5!HQ5(j_PnW>gq=QetM`|eeF{B-dQj*`Dm|8=ggzG+r)E?#-f
z@5SYu&s3Su{rUKO-_wWxcgIetIXX|&ZTYsHzTa;osK#%uxpF%0m91~Dl4<-S?FIWM
zdA0f4Z_Hi1m3L_$f6Cc8uK#Ck+~}Qh_vh{V_xi3Cw;t^FzB_jgpW1b+>)&Iw_v{Hv
z(>RxUT$-U<omax^;=X0YpYEyd^$@O(PkD84@1=#C{&8qpU;cFW;4!(@JN-G=a<1*T
zW^zq0|I@Qo8|@xN&d;ChGuh+uZ25{WZznF9|3vw3#joiVv%b_#yHPK0!Qgn5-!Mbw
z-sdj)I`J##zU`PbscxE0`A2?#_g|eAIyD#Hi<;?6E<d}vNJlruH|;3j8i_v|4f5+v
zySjq8+QSd$&oSr<eQoxwvrRTR%yvbI@-N+~iKRZe``Qz(ctpqxr|XyT++OJM+ehx{
zo9|rHr}q|1d3QSmSIv5ULy0T(Xx5QSN5c1Xh&Ic*zDn;r`g*@wQ3h|lQrD%An~ywP
z`q1adr7kCHdD-ke+amV#=e_bacZfLt^yFL%j)aN-n7?{)C-1my!%`bjo8HsY>-561
z)6dnyTz2t=>3u?H{<vG3PuJI<;4=4Ta7o6u6Giqh6OY&T*X{fjywy5ps{FA)VG+K|
z%YR>dap6C2!nXh0+fsAh{rqV4WaC{f<>^m*gmzr{ZT3xZ?n6f(g^kBgulRC8_RwQa
z4HmtB$pL2==2W*c2N(#>&3bR*^4fZu+PT>_mo&c2WaBuu!)9BsmYC1={0DO$G4a0p
za$#lYucy!NsQh9ypPcaIPEFAsulkN-L9&snj<5dm{e{wJ{?%T>O|r#WExnQL>dU38
ze!bGYmU%#2deVLCvUeGlF~=sq5Z_dP=5tc7*Th{Z0=L7oyPot!gdDyaa{E}@J{QI1
zZRZ4Jr(NII{&i>9$A1Q_ZBCoH;wMIZeiwU&$BTR8oY^zH_V5b4Dy%%SDS=I$pX2{l
zABo@c8y<dBId}Hh<kVm8SA2B;ov_-q_n+k1j$etTGWwTSzLI&*SK{}zsATqBukY2;
zf2%haub)(J_~UNfzy3hJ{O!rVy$?*i?|gi&=39dTp}hrC^X#|tRBT$yb?E1WGsfB9
z9VbSAe{_`7&vT8$(eu~0#nyh8HNTv9)>X^pwpK%p{q%*eH)}qURLc*GIj}ZhZBPAP
zSD&<~oi5AY$w~wo>~a0GLgAI;KIV$sc^A&y-M%KNyl`)Iopr^&ve+XFc9fjIfBekJ
z+Rv{meq2BLuU75h_vR;S{R*|6*zygQ^C~uf|DF)Py@hAla+cS58&wV+O?)J`==Mvg
z-J5S3d(Qs&t^50`yN?=Y$Nx?*)l55-Gi~{6_SeC&7dP5|3;#W<;>dCHIeG8>?#_*5
zO+C5o-qCW8H50lw8*6@!`6zYkuJ<Yr!<pMJ-z|@u`*vOKoOK!kngyX3Oz+K{eeTJJ
zk6&*&{C;OyCEMfMf9hRX$)k$(ZqxX_&i?i7?CsyDDjUqdiD+Heyt(^#pHykb&%aY=
z9z15iT0MDhr{x!O;T>!K#cXgbz7zLjYcE&qY*v3`+xp7qb;;Y?S?8~Eys>OobMb?U
z*tVlPS6rOR{B5&J;&!E%)7DE=U;BLb?CvvH?$>?1yyU`M>G-v#v({|QzkfApmy*G=
ze;+eT+xI>EYUR#x`H}6*-Mnko9D1-_?Uz-A`8$i$mFh=D&qbJ;)!%Wu^O|q}jBN3Q
z7eOyp*}rJFtbE1)N&Fm(>2sCR?)qT=E6h=^?(fa6o_TVC=wr<}mS3JkmDc}Q@%_(C
z<r7=-WSvcBe7_l>cm3VWhgD0rU0^QTe4=&ZTA}iv+wu=Gh_7!c5nYk8jbX>r(zn(-
z8PETkpRc&heSX3HM|MZ5EH0O`9WmGG$aM%Q`q?e#XSdaPPW(H`fHd##szFC@*Uc}G
zdnEPnTVUkrEuPySZh!bhnE&~YlibT(h5S<=yxC(H#Jb$5<8{r4cOf=)`CYjV&lh|T
zE=iW0@Hg<zSL=T6B&mO0S`+u}J;Jd`)i18Vc*c{0%dBQQUHd9bd9Q~B|CqMGUCFn0
zyPNt9(}3P8{;M&kUNY}8F4<+=5_f%nhp=kg^Yh8&Clkv9C(XX-ss3E{IM1C$|J?pm
zHY+qKCIw#Kx%NfDtr@b<ws9Q&_qcrBY3DUHGVE6SV{`=KJ8hrw&UV`T<xu{oo;NDR
zUX9s*ls+A(T%UQLD^}@5&-(J$%XY>s5WX$Ct7A_2&QolSFOQgKynGzDr)Ov3Wz8?2
zuI`s&Wm$UV$ENuH%W{@q=M?G1U(K#j)>wLc`ds5W<9DqIKIv;0y#B55*v7c|X7LmM
zR%H)~Cg#INtForLxe3cGl9aHKspnesqT-Z2*V-2qx9mA?O1)j8Sn}G*ZOK-*TYDa@
zQR+^*l<wKI<;hhC?T()z=^Gm|JA<-za#SUB-2U=g^78vSrET#ND(#*T)0j?fTlHb@
z*}~KJ6aSyQ>-GKoV&kthe~%yT{g}P}N&lU8-CNK9<jd>-|8KRRn`^U*gGgsye(2th
z9_M-O{J%eWcj~NIi=E&0pV#ds2j2b>Ww-e5?tHtPko=>3o-@BCdu~fk{b}(&vzz00
zN4V+y+ixyzulcy^;a9f&Jm-#t<CX{c*3EdZ`ETEoUh&;kk5A4zY@rpgIp@{xE*@R^
zM{D=XR{wQ4Y8O8L)~(c8ACj#$OjxHQxG_!j=HxAVcg<w4u-<L=KHsS2NXz{*_ip(~
zzw&f4Q@*~|Y}ceE`*bF5&2*{0_pDg_NvU|xqjUd!tWwXK_*`xgwF&W7);In&E9%X9
z^}1#L_Fe@`wuHYh*ef^nSGMJXH}{&CzWc2CJv%*n)4jDZhUR)=CpPcfxOM5g|1Q7O
zSo-(a#Oj5=`}XeZch{8M^qH5nJ}y4Tr^$P8_pL64h!-A@c=`VD@;$DpK9LvxVtEVG
zoo&afS#ovv{khEhTk>D^!4uD}EIe4u&6RB}c;Zy;RHkZ$lvBLl-Q{l2ITW?1K;YY)
zrU&Km$KLM?est_tPH%b%^Yb%&|6WCg2<(wCkyc>qN?&(vckAt~aSC(iL?@k&{?l@<
z?9HF={$&+^bC1kl_hjbA1}*vgSI25USw3CxETQzh?&so%&&BtLb{>B4)@DxIX@=vL
z@xMC!R`A|mIO)j3Pfn^I)$Mm#I)y!dcw8j@LqqD*9M0cQ7O1|Nl(=b9;<ibNG3}>L
zEq49e<bFY_dfC)xzbth33P;Y1%<4Qg;X}&LJZ;u>iQ-3AC%=-FHF`QpUe4&7<MjRB
zI?dC6#9qi&yi@jT%l59nb@%_ooc}VhUgdws--$0Cr$<S@nW3K{xLIzm{`UQoc4Sv4
z+~)4!iJx(xIsMSzuK5=k_D$H5@j3TVrd?Xa!3|$3M2{ai$nsdJaQ&2|TYlZak3LR6
zvfnA|$d4<|C4cnfA5W6JQ{NI3+g5l^=e+&gnAl%I%3`ZiXWjnkedETOx(Hpah_5nr
zb4B9aINF{U$X?r^pPRg~D%o|*;}mw=pq2k7Wz3sqAEW##;aG*|6V26J2SX>FJCj(~
zsIl8!Yg_!1A8pbaJ)I~2ZhRm5D|JTgMIqT|DJE}974>rs-%p%1na^xq&2#_%Z(U}m
z&b?Ud8TN8w(LdE&OO`Z$J{WxI;5@mhW?K)x*OB>hRPU+5z8#)kdL8zjuj|#f1T84D
zIF+QIeWUUX!)>4EPk-CjEx)@_p!j{Yb;L~OR|~mhK6(U}J$JoPs_gwfpYMoW?7>wm
ztPU9w@lT2-t$CwixM{<c7O}HAS*vcJ^LiF{cZ%25_ClTa@A?`a#?AhCplk0{URJ)!
z`E%D!^;nQ^apB(e>ipfpcQ<Ef)i>M|3A_;<9eZ|JM(Brcb`rC$+_>2Bz0@t+<yYOE
zvooG++|K9!w)ba}xo1^&?(LlVl!}Y#>#uB)VY(UTeD?bB?>TZma>{?)o49&HxW;X_
zz>qb0X~_a7znw7RFJWwTs{HvbNZ0w#!DoB>moZ=1BvgKWZs)b;zQ`|mmoD7%yTmu&
zf1Q8yk`o8tu^e@sTv*L|F7%~zs;>T(?dle0X})Weeb&ZnKbpieOZ0CigZbqtA7=dJ
zH{ENZRI?&9>apaCgxlIOEkbU;Ym9qau2_DY_~GU@ADPFqGx;@^>lCoD-ahfcURk8k
zB=}eV8<yKoIOZOe-+0+K@$sq1rL4kh_l7N5FgwZW@yrD)dec*;m5JZ?P}dcH-jpEy
zS+eJw*z<)MvsK<5-kkfZU*+W*%>sicJ-?ZeQT#7&9d%bL37%<@`mamLBv`A$I%3C!
z7WYq_T{2C%EG5C^8q5E5=J^R+y_sUlw&&-ESE}0YCmZ`T^+rf8dE}cGx}-4dy3A{f
z=)VPDj!9Z<XWm+)wb<upxQA1@u89AY6?e7nacyQ3yp?NXDsOmBIP~&yn`0p*a}-Oy
z8<w*sU)k7oI9l<R#-SZfi=HhO$@RKbuqNsF47DEP33=>A;Y&<A9?V}6(W_*ot>U=*
z)Wv1jk4YBzhwLwG+kCr1%kCww(jV=_8|tebae2OZm%NUPXF}QTYwunDrte;Pu3}O6
z(e-n#c0OJ_`@xk*xjQW7&hMPN^66!XRIMG0WOuz@QnBJ$Mt8WKfO|`)`m?Tbf0eSe
z>c1v4TsnWh$E?;yuD)!x|Iz2Z%^e54guivJ+OkM?xAfuA#>B;U=Y2T5f=jMn&e|zg
zZ`N~;y${tdJPJFdxUi91c~(+F)7`A7V;le7GBkL%!|T8Cw<DW&c*nQ1)L1M_`6Iqx
zX{t@5@X7u=YGN7Qn!6@Vz4K15;Ls$u=s#ZJG0wj^e?^_~vfttMHFo39>1jHu!Pf27
z-{YnjPVRXYbItmr{?B_S7r*ZRbkzG(?#;NJcYYizIj!*j)o<COdCO+=1o<V+W4aTw
zaLLP->hyUDFKx}ZH*j6z6bunw6Wp~!Ec9yFPu=qZRpGk~o-f+gBI>vxahk`wGwhph
z-*1_vCnf#rXsVO_kNqOAdah13s5$=q!OqkqmpH$}rJLeZmp;Gz)pDNGePxwd_g*u6
z{rYat##!FUIZ>N0>~_eH=03Y;s_SI!AOF<)UvHhT+xmUc2~WG3%6eR-Y_DG4J*7D5
z;rd+~<=*Gz-+!~#UiAEOd{Ia1uD>@j&I)}mF4mGeFD>$}uGH*mbpP&nKe?y{n^#=V
z4f?5j{le#UC64D#rE&^4IW(_1DigVXLs_oYqWwCYSC^g3oL5<Ee}B!&XpXXpXC2NP
zPPV-N|Km@;>b<3Z%bUN?zwfu@^x2;!vLAh4*4`-z?7R5${dd(Z_g|&Fekr*(aK3N$
z?_Fstru(EvbL?F`|LT;<lgo{-J)QM5_Ph;acB1BrGL<eXSvT&E<t{0&n&xk5>{xh9
za77=_j8$6=<eL0NZSHbDVmo5*b~NR{wKc6P7u@nnSX6d_Php<G#F=c;+r_3eXR$9>
zx4m%+<Ht`NcBvoUZ`l`cC1YKFJJXZfj+Iw*WB)sC^!EJM$}bb685N{eJv07H__wg8
zdtpt_{y&}gN54OB^Pk^~*n~KDo;h2UvO=b2!#sPP=k3vYiqrQ-_A^a&W_b6l{cK3n
zwX>gW{qNk(`TuE$a+W|Or;>I*(*yoYqu=5m+QN4oy~3NFeK)e|w^z>T70nl;kGRG4
zty!9PW7;0o{hPwyO%ngNmz{4u$2(oV^E01DcSv=pJ~1+TJ#i7s$AcyRHeB!uc*}2|
zy;;uEYXXDJbN=5^oU;s0mkXIr@H$Z&V)JvUyp4_i)-4BLy>sQ8uI#Yv!L9B8&fk&y
z@tV`Oy1aa4?SC!j*59Wq-0g2XT&H@yXwt*E^ZFnCT_BV!cxLuFvCYqxdEPz!Rs6}k
z4ZAv|)@PZB=T>Q{Up3gulOJI}U2)Q$z?-3;f0pYVHv4o;)ZF(Mzm|N~32Ar3eMwXK
z19J>(*3J4NA8Pkz(@~aluAI8dYxEe){HHwS>bEbc+;p=y{9D`)g`2Kt{;hT0uyip`
zzHr;7#yx`0ewU7??)X2shQayWujW67$HG5OmI-F7^Z6I|)LAO}ObgTcj?@iLg}2SP
z^;1LfcY?Q`WL&P#6{{OX($2R}r~a5f<<@<Xyi;wgdA)(mUHXpso0aug7R+0I@WU<k
zu$(tL7;b8QQte6Ia&bzQ<8`JQxz)e!=1Dt5-mYZqTDjnFf8eB55B}vuRNQ8;T@b-_
z>wI5@M8mHsY|7d4H#Tg1%qa3$QO<3FU1me%r9|;HZ$lW43S`(TK6?1cgVSewot#p(
z`My^YZWkTc0=HQ|C=~MVJr<DF@Y~o=W9NdupVX&t@GSkxnac5M@;gpdi2$8)mz6AO
zm7KHR%LHuSrrh{#vyz<KB9*BJXXG`nd3(Wr*Wc|cm&RD_zvh?P#_}Pa=Rw@!hes#Y
z_9$IvxSoFC&uz9940&?`Br92>8MKsm!|vK`$k}^<v%tDJf!opbMHA<xM4M~ed<^E1
zD|i%}d^vqS2$@~8T#&NYfn#c->ju|FC#D{h%4P0fBfgCxZ%V+-z=xjgNh^O{3R~Is
zK}^d$v+4ZZpx>#w&&t+GoZ<*+ImWqEiJ{{4A;Z)HwwyJ8TP6t|oL1#znY8yoolED-
zFwvO#wU^$iuHgCYxqZisyj;Ise|vA8{k`F~d0fu^yR2z07d{KFSTAXi`udMChgIkY
z7xfPAgbxy;vlyc{o?G5BFP!P|*5+-DY%Lu-Om3_w-KX=VhT&C_v<GK`LjQtbw$G8z
z<D2I7y9h2nyn>N!N{2;kL4x#)^II0Lb5(uuPIPy)$_8t3nb|$Jp2+1e-@nEuBKTkM
z-~X-M;?|0f#j>x5PR*P-Wo22%ij9WVjHz?=*=q$FjIx+lGE_Yg6gu^Q``XW-3+xj$
zo|Q=0E@&4DT*A$l&pgpV-etirql8!2epYaBhJL6~?_j_1S%UR!LvF<LU`Kh4#*?=k
zGaUF87rn4wz&pFgVdLp<m1`sVx2Inb%UTgoa7NpKVRdv&eanCL*gb`p|NmulXD^uC
zG;Nb|4|BlJOoK_^8cS!h<~2ym9kO7Wx}Gu3qkZo7xB#{tjtiEya3rf1)Uf4jt93YA
z)hH3=)oI}8%BkJVdy7qNjkpbCUdV+@$2Af|3;fM=*5p2@Z;cAOn9`VW)Yz!&pfZQu
zsjZ9FNZ)<@TjU;3-Bh;hLjh6p!F*yVhxj&x>%5Zu_eU{Vv+9T9WvPQ^j-p$5PHtcE
zv&%(d&HJZLD!l3iaZ%A>pR871+d7+5r1*#S{FR?R%75CEdg9ESt}5nzyKZLf*<x_f
z{-$xH;`82ncW;MGXnmu%miOGWUs^5IOP48#9_oI}KW&<p)`Z=guWtS-!|uV#y5smk
zuhPA@eQt-9R~@~!tK+;`R#NpEtCi_S_ud|;mR~sG<>St^+KZ$;4YybqpD(XoyCJE5
z`vo~o{`>3r?}=o}HtDdu`yYC(O|xplwfgK&VMpW6N1U1~@ZmD^eUAGVnCANgPoME~
z#dMpGZ+9xL+LH2;(a!5mT9KWBu_d=stL;g##=mFt)_EQa3^SR0=-JaQ$$NF{5}Z~}
zT9GBRa)riJhHKfp$_LlX;++!nPsK-#x9gN+i_dkbX(1n0>aQ~G(M~sv>FE;+u=B1i
z)PLo9fcxFY!l$d19<MqTzH-i#otrG@?AZB;Gf_3rS7pNrjkgRJwzhR9Oh`M-nri*<
zBX6qpy}~Lzg|))7xIOj?35ohVV(eE^_!N+PfOpE*%n$qvmhL;&_5F=p*@Br$f|B(d
z@2>mWbGsfjo1lE4;qMc(r!DjRkKS1pboZifaMh|i3mvad(Y9DQZPvGYm9I}1DnI^x
zblLMcBHnk(?e~1VnYbu=jr^+JA#<}%Jrg;2_0zoEof{H#zrSKPTRuUfUP!Y-L~r7h
zEaozW)%|K+y`8r&HLjl3^0J^LCP_{H%!|mD&IN6zPdB$OX4gnBOmN#T_<`w)f$R^b
z&5jN~wf_H@bt?2;VU`{nn>xQ9Th?;*K$RmK?rI1r&4^-FDmbu2(I%mx+Q#FiUt&z`
z%(+=d8?`4TwocZbbU|5n)x1N>TBj5k__Aj3wq%>l2{(HvA0KY`aBGcFsI-Pk(#fMs
zWfhL(?>y`3q@wWlNQl^~mACvVE4v!S+yCgZvoCre$L_c4!S(5v=7s6Ud399v9J<aB
zo_;`XD{lZ(!<+!IN|s0lEe+nVn|2#ga*uN|%yXR3x}d4#;EtCDdfC?O3;|-NoH`VO
znI7+J=`G>Yh`KH46d56yGEt)@b%WRKhWT6XiZOU_rv8jyuun^+KYq{IjyXFIM@pUi
zI){1t`SvU2{yJIf=NWAh%>T@KV(ugDd#AJmI*zgQE#LPc?*mI;`@|2%OzoGGxtl)3
z>^lDS70-)%vFl$wzOsJz)!NyoqFJ)m_es_C_PlV~AJ50-kW{B+bFM)*k~`SRbIO4m
zZx`q?Fe@q<@S5f5>Ts)aI%sZh6k+7~#9@<aFn#L>RSi!SE_GQq&V&UnEU%j6w>EMt
zR1sXUif6{6tsf*==4n+d5kA71@MFL8?A+tb)me*<{k}A7LVj<H)NeQG6PyC)>prbK
zz<Sls_Gd_fYf9Ffn#Ps|R-5?uJ7m4TTmI<8%(f?UVk`M&S-qD8*F*;!^xWOH?6<Pa
z=YxwF=jGY|OITRP8NJ!^UqWUMd*!^E4J;Ad&VF_g|K95TW4^V{vFS4Z(!?l+0Ipd_
z`gRC4obqZG+{(Y^=8Zz;hKdet!4*2Z2EJB42X6UEGPF2M<`4}3$8UO{@#>LlTYUvz
zhR*uZaASp%t5wS?6V{b%0*;*}LZTD2Vg)%Ku(H0?(28~J2yyfgXjWiiTG+0z_xm@0
zf%nyWr&nKp{rv0y{q<`jXP!ATulCy<%jah{f3G|j)_Ocu$vt|*s{rv;M^xB);<Wx5
zNaQ_HUTuH%ZQW(7Uk6s*KkS$#v4j8OuG;4htN9-oUtn0#@@^H+aeK2Hp`4Sa1l|d)
zRA9EewQKbx?#1GJ7jKf3<|&PwJSEY`hwF&^wWjT9O8Mr=jM<Kzo?g4J{rkS^t8VxH
z*LxSO`q1I?q<~wDr*xsuo&ybno<}z~J+wM|!*NCT^b}3!N39MvtF7iR?4G@``tZ9;
zwGtCGYW9fDv69u~C=Hyd^VM^YL!)5f|F^D@j}IrF7EF}6@o!sz(afhaK5T3K%W1~&
zabwm&Y2)(HPpWYNw?ZTNSG3fH&b+>yUH;TYxw&CclX_P5Y{?DTw@^p+sBGr!m+w?$
zr^;kzTYdSdoAT-Qv_JjP=k4>3ZTorNZ^pK}=L;+Hbz19>F`D<e*xk1Lt7m%r`0-Bf
zdCW^KzewIFUi9g{*wo$rF;71|bMbsEtMWh7`W$0=r^~%c?u#4Fp0`N6Rb<cp>)wys
zFU#{4r{{j2Ki}Z(p81cz+>4N^pCkP5_V&x+{|b$No<4SQw$tlhid(MiTlf3uft2TG
zCQV+xy`)v?`TrMRIyN(%=U(zDwmI_CHoyM|yN%d{p1SwFeR|thx#j=zeRtJO^*hoS
z?JrxNOju^R={BRdnt-2PV%fb<?H1OKa}U&JeQMwJ+hOj3+BKirC+%{a+mOGy()st(
z^Z@k-xAiJByqWD1ZDX0`EZ8N)4$Ep6IY&!<$Ti=Ytjw6&(CP8+#!1f>;e;fXavj|d
z`M)<zZ}_vYUQ0_+edV21%ctkW7~fyB<;=R}ky$mKXPnsXmB|F~i3I=L{priwF1M!J
zJ3_yi=PlN<(G<44x#{&|!-P4(<+XckZ7#KEmlp_`M<yLIcKAN)=$7w)z1ZI!5Ai>F
zxsqpR_z8FR#QdV2{=1i}y7GVhS@dxFm4CeK=MK1-T%PjrTOgmo)3gOuybYTZC&_i~
zwwSHUY<X{2_vW1j(=P57uw${>`i=Kc_Caf-azz`BjWL<xhyF9>N4Gt;EYhoQ{b0X-
z*PAfbY0}$YFbOqZtiJmCq0_P-+<z?h%B{)%-y6PR`QeWrmfb3MF8EXbp5<S!_OH$R
zp7O7BW#N(Y4PIcktN#m6i0jnXIii-vnkVPGZ2We+_Can^@@K`1d;iKrYaTfNGOX9k
z&9IH{$FGTJ1MgbAPpqlkX|7q`XTmIHQy3-det6Z-b^5=`5;(a3Ejj<}tbpdu?_K7{
ztM|^nGAa1C*7o#mY0Hmpd&btjd-K|<T6?asrL}L{o)#9xyGpdiW_o(twbX5YZ@7zy
zXw}^0USsC|I(B>QbT_-4wP$+IU2|3O`MiuN@4}mJVKrO+XR@*$<=TI9UgrK*zVB<7
z2Cm(AYWC*hm6!K=bwz8>y=)%-eEZrDr<13z{$n1X@p@;`<*V-`>bD=ry}vp?OxfLT
zN8Xurc~w7q%=3=OuUHWKF;L9Uw2v?T+eG_0IiBu{$+2(M?*=cJWBFpY;@58r?w@^~
z5oz^(1>dn(Q;n}KZs)qf(9hO1kC9of*UE}P=9Kov$KM?;Fe^6PXJ)!Ad-UuI&kxVu
zGJbvgPC?44<mj6pj&28cxxc;Uz^-xj=i57uy;q*y%1{$9ow`$Ail@%=BB!H%<I<V)
zvKKfX)UTX>Tp&h~qsoG%pq1s|BKN}LtVP!A1f<VM7qlh_a`<UIQEQs~fa_|jMg-%!
z2~76y#AdilF6Y`O{Ppez-bJ_OE5r#_dCz@zr*q40W;v7g&2?fe|CDlmD`(xGIPI?>
zTl?SM;)~VlC!%}uq>8y78XkGjrL^t|?`^)PRr>iidfvA0s;Datzw)s3=I(c=R=W7j
zi!E=JxKL3Vo-AS)l6^fkaN?AYH%r5tj3zSc?w%*W+-6pKUHDh#)$RQ=WFwbJ#CvS?
zbX)yYO7qI;rHjvO+P?GH)yg-MjxS06|KilVxgk6~9mgKC#;vj4dGL3Mgs9=l($vp)
z3?oh672Y|y`DY}*@v{}JZ@3lr^)pw_f4I5-ytr+!QLWc~xx|D|s+V_2b~o?3^QSHL
z>ch1+ci;H>eB!tL3w~u<{w<$Be}0)JPi+dnzW=@VZ#O)B-)$Fmv^+sKq&(W=(ZMa>
z?mgOnY~#(~wQs&oE1xdj_t`jWg{<#(w}2UMZFl_tVxIh=!mQ!v^G^>$PCQF~*WWWs
z{^k0z6IY$pG`@-aHw{a99{+zGb9_eI0afdYGUk~JT#jG4dqPEgnM=00k!0WdQ}=p(
zvJR?8?{ibLG@9TYzTZvFP*>pn-5(hmCilzD?RHJS)gsfAdeFQ7dTfT+agMp)F4TN}
zm$kz9-^`Eq9@%FfRln?f|K~>gXN&)OeP6$RMUQdSj^o}E*Ym1xThCf^+`Xdu)HfH+
z_w|qeb+$Zy%v%<^%X^yEn<($OjWT(xYp#B;{U_T|^iy!h-)3Ql`>VHIYd)XEC04ZP
zvr^7=cKi79`75lpZfWv*nc%#4t-h4hpEpnK0{K1r98PUKxW4<*afSD{)7<-_!^7uJ
z66<}G=4|SE;~aloUFoZ(5mQv9v$PI{@zlqBnQzAK;C%D1%y;2s&eK2Lzvir@JV7R>
zVC8-Nin#`J_fP3x-=3f}q4NN%;hyHJzqfVei$D8UDE<3*?pyio->N*~SS~g3#xdPU
z`nm7z)xUFItNR=;*Nd>y^m(w&FJ_6=tX+F-B_<cRT)TRpV9|x6s&95}uhC0i?t6ae
zhSyo2cHXTuv(4C5820ndlDygT;&v6Dl}=kaKeuYu%VX1R&ZNw{zIRpqoi_86)se3v
zgo-WQpQO$!+30<8Sw5eeMA7Wsvc{1w9!ZtOI@o<@S|B4;R{OX7X@NuKck`!nV$E!G
zmR-M4Vp-30-^R7n{Pd37?P}bWZw`qa-g(=zvHo9Tcg?4rQNpb@dWqfhKJ9!m*Q{&$
zA+gXW#eubET@7w-KksbFlXg82b5%O+;{03@#^Q9_GcV>}zgy#MZpSc3d+qMd2YKQ<
z65Zls%8Q$K+j0bao4!b7?H>kvjhLCw@6S-?zIR;IRVdZp=bx2>!>0Jw(`VcNiSQo1
z=eI!cdwJo$D=#-Z6i@EithsK*8u8vE%wAoOKlevn7k_&`+$DU!ZK(RP66xtiM+_dF
zto8f(WYHBt{XB-%-<q9oGOXcZdY?Y+hQl4MXOE75ZGL&AKr6w@Z3dS{kN7e6Q_Ci}
zDn+eKEmyjlesOVPStt`jnCRBsoC#Oi|CA*JX#Ztq3hP~9ww-B1mQCx=$S-rGv>8`F
zI;ek>VT~5kw6wZ?ogF-FA0D$ET$E&C5HUq?8JE|C)<%b=A|5J>W=lyn?-1y*?ViVT
zIBPNM0h>iTA`Q+f$|!fttIT6{IiFJT*82UdXLBkdKQxG(UFiL=yhHzT$nCD?69y?!
z9~!5vx0tBHY+3wO+pp#>)5kkUI~fB4=f}=jv4HKq+B1P;5%1sLIdOyWobUD58t;OR
zO<=q~#ryAmQTY>wr)5K!=14D!R+muoTYmM(gc++NG^OLLG|o<6lea%r?&UMRtD6^T
z?bSMZdh=F0dyWeEfAem0%O;-k5}o(iTlouXZL~4>;+3bkW^=EVUsPGmcs(V1<E-WW
zmBp9#9yp#}+Ba`sRd(`PU(4kO^4Df-aBhu=+t3>S?skOqoE%>6<k^02*WPm4s+OZ(
z@oUZc9^NV6f9k2aEdQ0ae&393L8~Gn^`{s5e!cxeVpHjwEYS}>eEf>36K)qIy<XLJ
z_UuG{?yBfL3xdpMFf2TGS@7-`hq>HMkvHdsD>yZ^O3q)d*mk(3eAS%XbHXb=?JQui
zoO+$DY0jp}_xbo<EqiyxZF7^wN~^yYW<Aj0isYyg^Eu8E?0%og{o|o;bE;1KYWk;~
zl&HUAlhF1}3q$T%SsZ%RKjrYp6^ZT7{D1Wd_4qzDTe9+fef3G>7c7ff|6W@8calx%
zo{sC~|MMQbcorYOYPO2>`E~vWr+duZ^*Xp9(P>LrZC61}?zeURADMHX?hjr4{*Um+
z@9mv8)*QKa$EBq3k*c=s3su|y+Ew8)|E{~;Ti*2VMe>ffzKsS-=GC<d;^#A(mY<wh
zU99$V()-)OY8C6|%(guedil|{d5_j{&2M>ed{UtL+PtpaT6L?EzfAP*xprv1-9yJ3
zD}!=_sNbg#ChzYl{+L|Cx47dyb1Xx{(?frjZRJil{PF#!a>g}cH9MYa>n%Iwa4z<5
zSNH2^g}B{2ECgn~-`w5(--@?0<y57GgueA0_T@(Zi_Ygv+4<wL;eVUO-=}Z+HtnCP
z+lgsg`2X1RrOtZ#c}K{-H44(<rYElonJw4fw`j-IjT-ZkKjqh?{a2f`@~bSz{vXUC
z?edB-e>!fRmz%V7bHMe9VkOmSwfSjQZrOWnex35Zw|D9PYGpaKS@q@tj8~tlX&!D~
z$a<J{fnH7LoRvTRzVvYOl+^QCA||uKqs!%7!JpeXOSG<}%D07a^X*}<^PK7M=O53L
zH=*KfE`FgF7es7SQ#A}GW}b)+5TEaIE@96z|JAy?jy`qLyM3C=S>w^6uLW99U);Pj
zV;3jmCflXoO&$dwC_k|9QU0St^?a7krQd9FtFwFZCw~Ro<c=)C@;w|KJ0cDrddRj&
zd$m&goBP}I{p(I&e*d`o+U^q@R++!rQp=Q?8|~+KN#fOE;qzD4?tYiJlC{J`<nX6c
zE;~w(x?d0H{gznWXtFgyD$v?rK=Y|%owaCy>|e)R6Jw3OUyY(sa}Q)%bjJl)`*c{T
z1uAO`&SBZal*@6=|F_q~i}B4ft2c@<w4C_1N64YWujl+_!O4k!?FA1zb_wVi%E)_Y
z&v>no$Liwua%p28&&mx>?kwRS9y=WfJ7v*pf83~ahT?=<iJBeXqz^t`<lg@5i7V&9
zGxuXJC2$M=-SZ<y_*JoGlh(I)nffBL&T8)CxLx4Br$frjy);o&e)__iZJXNk790_p
zw$by<Ti4~s&IYaH-aoNhzemZ~{p{15O}Dz6)^nSmJbJr0SlpHK*{xOE#DDh8ZjJvR
z%WH9cTG1Vz!q^@DeP4yP_eo|MT)(z@Z%5*tHDP^M`*v?M(K^C&bj$KrC7GMwCvV=#
zwEUQ+-HC}Ju7+ETmDaKEF1l}Ynae-@_^gXNy53KJ5U|*hb<fsV#i={aSNJ?Vu`<o;
zSGLNLlRO$MoiQ4puXXB7)d)CobIw2i<Dy<Gu6#ZalNAzwgEze4^rnSp>N}S0x-8?p
z`KlUAWMGFpgN=Rf;uLSC{jcZls&4Atf6$<SJz~z`v*LVz*7B9_S#l}gJJ)VP)rTt;
z`48u_+SDf>u3vxch5y7;dk-8BoBw1}cBn_d|9gI&XIILm-IVoU_x{@u?saTU*{P)T
z%FEYIK6ReSr6n@a_0#(J<1Ac@j|X0Q*Sad~<6AKq-bqjV!$0+XU%P#xrdD2FPx3D7
z7rZgmt5=&{U&GDwOY6@z^Or{}s<mscZ9leMdxM7l`l~A+c5%h)@89Yu`Sk0@jHh4o
z44-~Icr0gT?)<0o-tk?3+uE9&eSPiOm1j3RWvc(bbz@*p`|AIv&LnMLJ@x;s_I<j?
zGGD*FS$Xg0LzcLorrWZ)Ij`ET3ye-aeKmXXo~!wtTz)xgJ#tTKt=+wDX18ZelJ$x7
z?M(O1t10p*@h&rT`o6lA>lUk$+e&$d3r7#`;t{G^VDXFnBS%%+PR3VP>o!a>=$OuL
zp0Z@xr$p_O`RjsTEIiR5ymjxjm7jXgl|F3m+B%oVxBsq>-S&-lcE}}VW+rEO{m71)
zUH@#eVd~F9>EMH%=?ACn7ky^%cHR=b@(qTfGb_ZBB7<feu3Eg-?0(YsB?nrZH>9Q{
z@;&BVd8_8^`CO5wGP~73a}`JAO}X-n`Dnn@=o>S*d@Wi8{P?OR>VE{>*8DJ`>_F$K
zdE39)U*q)c37m5J>N9uIXB>aN>i^hm=xRB&S2N>L#fDUmM83nk)9y}A&++N^mEqr5
z6Efp)&ExI2Y7U=27Sb~LL@!IyuYD{@r%YO1!&&DY<hbj~yLzU_l|}C-SYHZNte;^#
zYgg8`H-(P-G~Ul~-OW1xuGYcJ!fb);+|mo#uRdaZ+axgg%3OgjrxVoMo>whU`E{;>
zMbpBI=Q*2`;lYp#>P|bR6r?2ta;1naGGtlQtj1hwAU!QL=EB9iJkO4kjrW#4@JN}Z
z{8;T?+`1K)H_3gd)!bnyottdh;Z<3E@s`<w$DOqw+CAo%3x2=C^!6;*GX8DmTG#H1
z{0zu2bvD*x{S(W2j!!;kx!=Z>Yc`n+M=z7q4_vYP=dYB!<4k9N6s+=1wJEq}TvEs@
zaDXZOdB(Am4ZEZLpQ-(5*Na+mBV)#?eYsC&O?Ezbx%tz2N1-QK-izGyCz>aVM{`Wx
zCU!w{(veSxJD+k%cxUx2<=W%iZE*DUjJ_ppeyUE(pQ+fosMvNGPTONW|DwR245>?o
z%r|dz>1H0A#3+ARHb^r&sgl9&zggTBhI@={o8PXrxjXT8l(D_@1bHso=Cs}0{3BTH
z=6H5AaC^^UdvJf{;n&fM&o0y`oyou0lJWC%8b{%x3yeJ7jDL!(Cb?V+$y8_IYn$x&
zxm49x)L?(>gO#%sS6e?U%Hr{s3R=W!q@8(cmd2Ltk7gydU#+>^@u^#>SEp}#$t_i#
z_zl0dM=W_V<BjhB&uuGm-F0G)$!>qZ&r>V&X7Q@f&GHO$tS^Z1EN^}G+Otd}!OQ7`
z%-IQFWm&v>Cwe)1ZFhL4Y8@dg8~R}KOqMNLN;d@?-UqcOM=6Rg_<3Z;qztA_wKsVb
zeM@W_CK@$ZFITSj*cct}Et+mp=e3aA?B1pOvsbDg?tb}MS1wZNZuCEPo{6y;64@@&
z;;Y{;zPdPuw}(rCRp1%lG~R>n^N-zY-&LVj6qKBCdBSF0mOH0somk1V{j39DOsU|s
zDVzuPUsXB~Y`lV7Q0<eMor!ehl^#{ECr?xzB5#+dY+Q2Yq02duKhXw%;saz3bk2Jl
zxb*XaX}#awgijy2v9IgFtx0W~I>+DW?%%E$pW(j0Iw@;K_xcn~aW#FG^S63@TXt2b
z#w|G3u|%=aj&ZV1s_&i!Ozc$`K7@)3p1j0Rvs+BYVV_ulc#_Y(+?pCQp%>vQo#GXS
zN>K$1#3y-9lk?j%p(&sJaQ)mz&f>K*x#cH4oiuTiQ?`(Q?3YF6i<c|h3jXG~Y(_&S
zxA5X6OBDK|`ch8&|7^OnS)}pa%S%7koH^FKb$0P(n}5QGXKSnbY6oq<!5;ZXY>j@r
zVo!<t)_Xa>byLDKPCx2!yqL6u(V(AimdKgpmUZ009qt0n&PO>y64W?Fw^VTSPMRzE
z!{BJM)}b@|`KshsOpQzuEu303mE%Zs>jLqkR}Ie!Hcu^a+++8`z)?nWg#(W{&$dgB
z!Od%XF7zgHPY?>@`mDvYJe=K^DJ!LJU(*hsn<vw^`!QTpQaG2Ou51$bcBz6MgQ1Hq
zx1%^~vEhLd$HXt2K5}Fg?33VQdzH|%a?w&J1uqNkf`pK(u^nxFRmGoeTW*MR`A@y>
zY&9=+p~kYC4c05FHyhu%=+3uPvE7LCoZW?>4prL{Ra+b3r;)->nLh+xwEkx;!Pm1(
zJ!%7U`0_Igf?XQK_dk9coV+9SfktUXgqcuA#FB>d`-P<irhQR3R3Lw#VEKc^P9IAC
z^G{j*@k{;n4V{b=b}<(?e^5C);dembd6%p#o|(%7UX-X>o4suL@FiL)d`g9C{)GKe
zFF4Gf-g}gJVx}0=iB#VuVU4#_6n?Mo;_}|a`XGBNN5c&sEw2{NoxS{L+{DbBJA_tN
zaz41JChMtkvdm(l6>B_q+y7o!`}IG%zZ`$R?<V^!#cXSB_LYWBnI0#_PRvPR%3jpR
zRbw)F!>IrlBSnV)fwK25aBXbp+PAGdBG^iPdtKam!KVU07W!V={^3KJbCTDVllMLy
znc;r1MYQMWS%FC|bD1R^nSWd@d17~xW$E0jeF+u;^;MHNxn{U4RxFb@eBv%*{=KF4
z`rN4<23>ZOPXE22xghPt<q0d4*WS3=`Rbzb%H1;xIlpY!v~BXrmrHN=J`nF+Id$vL
zpRJY*d5g8`zdql8f5YQ=#;4|QeuO*x<h6dss8J3QSn5&QH(x$m_<VzK>)wkiOWV{|
zGpc+2=3f3J`)sbyR=e$n_jbtTocwzoq+hO~h~4@frv|gP_iuGqo4qTmtN$ObpI*85
z`rppYZK8JX-tIpUqh@d{Zg;`rGPO{q^m8S)XDh$HxmvXRZvGPylLv>`wYFb5F^@gu
zhpf`_qM+%;i$9-V-VpIM?rzPGgfphODxo`1m1iexS$B2T_4CEKCe~BKcb=+G-nQ;`
zd|-WL#tNCOJGObusEU;Fo*VY<=8cMn`Ht}yR=?OEr+Hy_-u@f+Uatz#eQJI`@9pln
zkF(aUc=P)4P78xwRx9TOZ7ogAD=QTXejfPNRC8L^)_qrB+z5a9eCLimHghMv+HQEv
z{>ed8ud}}#&IoNc^9c!Ujl1LXBI3dQI;ZUc*Ka-Fe(Ifs<-7fFTc>iFZeAOpaA9Ks
zYf$~%gv+T@9F*LgOE~xFpAv{owf<-OeE+}ICr$L+A|#VETDk?a6nQ^Zo_*Zmkin|$
zaKTxT%Q`s2y>N4uO?!ckg5kEEvlR@N?X>1F(^~J#a(n*t`Yq}^H$6Qy<EqpLK2Gtk
zMh1E3;~AcV>bx^<P3B#7X0v)yj4qXLzxuuDb#v2~{Q2kntf%iyoL77Q+5aq;=&V1x
zr^@focz8Fs$mKud+sb3kpH14j4n}G|SoKf1{n<_)<>Fu3GB1km@2^~z`KaLN_fq4j
zarfqN{gXKy%Xg>l!^`!{{~x^5zTRYC_4dHb6O1dm59L{exdypqn#)vc2_85Ze|RI$
z43Fl!1vw)Ba-Zc{gvr`n`DJ=QYF6i*);it|leQ&<mocyTzx7r@{1oZ*FK>9~DX6=i
z<vjW~)~<Z@^_%P0G=H1&k5PFZ7iX>e?4Jt@{_DqF`BlDZ?vEGV%_3Ei&wuQ>$aKl@
zNvxF3zd3Q=Yd6=WD7o58evIuX$Zz_zf3wmj@m1H>N!s12pM14<;xZl|tzT_Bk}Ve)
zDkPi__&I<2v(oo^XSMIf&U$Y8K4;6$0|$+4`;)|PHN-j>uXuXynsM0uGq>J7*#4~P
zuA57MvNlKdiz^pX6b0-{JPddjyGSM}v^<*-YtdxU;&!vI|G@sg#>*4?UE9uIz1aMq
zV%u|$LuV{Mf97@JP)zA{@oBS=-PG-Hq0K^5zG~yie|ImOS=w{Evvj&*z?C@b<S&t5
z0%jaKXR=cxPcUbzv77PvwT#JM=4bFt==tZUcCm@kVE+rt@~h39weQp&QmTWu)+uto
zxxUiQ?f!q+)yeNqd^~KuezG##r1$r#Bsed*vzjbS<GCO{d&_sRd)jCIbT7JYzv$YE
z=->4|A*I(Z&pzex#&lX&X2}lYcMNIS@fs&`8zSE5{>?sg-F`vXwv@lSw|D(DS9_{F
zsqI5>;N7QPdOOx`s*0L1i@j=7e>|Jq*+0TI2kIv${V@5v-M%lV)t)=@9~<Z1o#`!m
z^RN8Az%e(i<mF?-7t53Xy*<9JChbn}kLx!U^iTZ#j(dwb-%r6CUzk6z2|bK!`l7XR
zPpDAw?j>?}um0JWy?W>Czsnz2bG^T@;n~HmwQ6Apj!C|GIrYX|i-$KuFEyWRzaq~g
z`Qg<1y(#5Ki*8F5Z+fU9?Y!%NU2SP;to6f(s-}f@ZOZ*$MAwSWi?^R2`!3)@aL~qU
z!9iPhZkZ==H~i&h%L^~!XLfJdwCa=9v{(B@)q?kKymjsQ{u_Z-cb~sD-X9XaFK4gm
zmB}F&Uhm#_FFoUK^x6xrH&2<e%Ti|AD{Jfjj+wR0mt)`V?}_}r;htRf>$|h`mp>0(
z7a{K-lsCC>?YCR^Tsj$FEt|6auh`N**S`McU)L|gY3jM{cErv6saemNS7dbEmXPZG
z@;OHD>DFV`E=LbIIOi=`=$G|*tziGw*7N&LnTzOK-PKB})INJJ*H|#1<iT1E>#y3~
zTV#~HH|ZY__34ZcG?iw5GC3ysXVoc1jrgkm`AbjzIe4={Y14MKH+RM79_sSA|7!E}
z_>xtI*=B0nQf~fkKXa+#y?l`O%Dwd`bl1QCdD$(+bNBc2cHVpK|8IDp*1302XjP@a
z(kJ#m#X~p#ba*4V=heFG88<dBVScjx(VV`czAbb2ZPeUrx?9e$+gY0LZpp19qN00h
zGbc!;Wvwn<opCuoDXFq1xu>k|;P+)mJN_!Jxv0o$r6$Lm>)+9r?qIO<Te<y;+{;m>
z2f3<p+%E@Q75ZM(85r4^Uw@T%*{n-1FI{_bu(?E5eVJ;N?(6ri71LVh`OUbwXcyB;
z&r~kMt@@95&N2|5)=*>c;zjGMCsNxxKUquM{qQg4PBp{q4s)Ax{*&h@dY{eE(2x4w
zeQvkh32&WmNxPEIyr1amaj}S{?El>prLX*ZXQw1PN2_%E%PiSF*;;!|an~{{nMWtz
zCtvp#njSs*_}Zl7celqs{nByv`HB5?6E{7L`#W#PmGy3~`trAOJ`ZABTkdo8!-OxF
zV%I*K`SDE?!|GJ7gZ#q#PwqD`t;tud-fwg*?`3T2@(UZaS!3R>_4BTnymz}&tzxjT
zqSCvwKks$z*tqvt^R&5Eb(h{VKL6`=OPiH(ZlCz{Z}MFW>hACU-<o;0Wy);fm$wg`
zTcGrOf#1SzZ<+7fo$Ghpd-Z1D4wusXMfFpP&!)ehyGZ1HxY{lKUe)qv46YydY`--5
zL)@FW8Oe2tUiC{E>i19jGr>GJC-wR3#Sfml|Kj<&b$Z;bN@LUg(@xH;R_STpd`9v7
z-g&PCs~<YM-A)M2wLQ}@BjIA)A<J`<ML(t<e0j6=m)Mal(<=mo*DF_Y&n}y{TXl<&
zS5@A&QqBJbR_51M3BI$vX%cxT=9c60Acc-Kdkpuc6fVC#anBZIvD1s+`OXg1j5b~w
zmBaPQOG$Z-!MOmJ{+O0kD`qrZvXJb1f4{u9=Sk?**W$LUI<NdvB&ROY-8=g@?;-b!
zBk`MdRvP<geJW)w)Qb0+HuC~QSGdTVT^rs6eOR&P()qK|9}hoSRFl~*c+G3)8Y9;2
zTf%PI)}2|}AfBDd@Y$_K!7f9>p;+g@ADj8N3RyayX8iqEJ>BEHL0rui`vcQrws&0l
z_*qwTofO}$?k!JR7wTUqJ?`<VLsqjPyl9F>*DMa#viCw4mCLp(e!5fnp!4;buG}NL
z0;b0E9lN??M^R$+#e(+>m!0%@79?pUe%<-RsjtdAuWfVFbGLf=sN^ok(qajri*no?
zw(A#_+3+5@)N`Z$ztGA&!KaTG&$Y4GacZTs>6s^{3zvw$?%-zsR$HcAXyvNItN2JI
zP?Oi7>52^Ve%2@126I~9*R3yk6(s4L^Zr2dM)Sn;M}p>?Rz6*)>#%M+`_{8JV*k&$
zX?A~qN$b`rd*&}^bGg>9P<WXy(v&m6I*vIhaOM5^Wu0Gg1V2tb-}L5S-j_ETyS6wk
z+WgMN-%oIEy~Kf)@y^-n*Lv!m_H<5r;rC~XZ9}oWnLr4e(d7jZ`!ueVF4*?z;L@kx
zt_N3gJzW|s9F{J`_GF#xne#$xci$`DdFF#?@29jsy}!#A+AcBprOz=b`__@7$gOrx
zXBDRDbF5n9y`;^xYWeg+508cBNnH}(e_pH9oBFt<Mkh+->667a9oFjnUs+l&w@$Lr
z?mk|r92M8SL3~!hy&dk_|NFL<FDuvT-+705Rl-xzPO0<rzt*Un3|?SnQvEz&XS;pU
z!=5!A=G*HQhn{(wx=1dlRi`BT>?gCO8@&EhZcYy=TYhq0`b3_3z6Q&cC%l#yo}Ayi
zi6{K)5~~Uk*P8r}7jvyIepng3p<Qd!ugsX7^u;UsvrgVJ5HMwt=wT_5-y3mMb1vtM
z1tz6m4nME;zL!|&yKL`r_hZX9J*#i7jhR|qu~sWA{#?~hkD`NncPzWh^+NgU67{Z!
z{~cagG26V#c7EJ#b)1_u(r@86`z@-uT1s+1Yq;$Ky`3jH3-&2z6{$;EiZawkD6hVM
zzVwK0*DsN-H;Kz;*Ef8tlea8dqxP}J|Doga^UtT<*}CVRoZg$s|6^7Lyh_}k5^?c&
zl~2I7OV-AT33VTGEGCDa2rR!`uq^q&yA{9Q>U`L1Wcc-V)Luou#O3QZ+z+tyvT;81
zr>Em{4a3{bhd%3wtPD9C?zy|GbM9`YC3E!~e7Br$xbbbiu41i{xWd`BlU$}RD_`>S
zBV&ek>c<y_%bsubS5BKJY<v6k5_PkSS?=c^tV`ds(WXf4b=0xhUznRV7CmQM&Br0d
zwENj@pQhf+N3y0I{L-3!^4DdLJLlSLs@z=iWCV^}{6FK`+S2-)p+PwtUta!v<m!_b
zi#yVn<gGoDy4dALwu)ZS5u=lgo{LPYmT{&>r0tQMcRlTJ_MDkVe`idNa@wi?x$4g5
za;3=iA;Ol;+00$ymXp77YRn5YxW=e4FZ6=Ijk&!EyP}GwypWmdwmiW;)AXlfUSjel
z^P4J@VqIj;$qQ%9TDaswQqW1gBtD}OyOpbB8hFeDRbm^S2~Iu3oT)qIY`n*U>m91`
zZyzTpS}7=oA9K27E#K96Emrg02id~&N#|-NpVPA_e|{&yZubJk4c67uUzYq0EPuV*
z=Xk^2z_Yh^PM^Wt-?U)KuO}DORUWb(Sj@g=4*!KGAt$da?oxhMpw_iVaDnFfNuF0K
z_r~|vJTuIU;x7C=z4k)3%A}$rGSgfpE@GU;sWnqY{NSu7OCLzRk8?VE|L<PIIp;&R
zPqJz@Jr`oHT-x^frscooGEKpj&wt1la$MRf+iTeOWckCuuYYDZKXTVsWG`t;$Tg}_
zH2hP1&FJ@Et6ksC)@{^X&b=Z`<YIh>&(5qJFZ3?S3i3B+pP#p3ci7>n{8lq!=15);
zFPVN$RV88Pp=T-K4-cMvea$ZBcw1xp<z2CiyTjF%l>U}`b8lt!%vWuj(l>B6C~ivM
zac}P9^A9g2gmcWXoFcm9^q1O;tK+-Xj*Cw^WZ!<`-szOhf@1v2d*j`I)+NZ_>t%@(
zQ{Z0tP-w4*`zjN$J)T<LafV;lo)f>j*^aUFoU(;E+ZD@xP^e0uEvXV*@i_yOlsm7z
zy)*lanep#U$7b5Cl3RAC^5Fv=p&!o~BA55?GqGkpU9r&jhls|mA8$Mr-?{u;&Xgj5
zt>EQ*8=J3xtu}pUR;l>-&u7=6>n`;=F+Y8-IX#=r_%&tAo`(}xF7x01er2qDN%gY&
z4(sO!KAo6Rbvb*d!T#zOhPuc8vT3b%;V-&<l<Dz|g@*Hg6@E0h^}F=<{o51X*_2Fb
zntQ?VUG2FJ@gqN%mudaWzR6y8x{Q0{?qdOes^m_Z3tiZF`T9G(y+W7w)#`t^r}<2$
zRQsxN#5A3+#;ekItl;@M{}ZQQp!6sEN5V^#t;Ldi9xV6d+>xfKSROiU#)UhQKO*-{
z)hP<K{GxaFua)Y-Gdq4T8{d1hChzN!-KBM_w#*3k4Q4yO;Km2l?27wr{jnF$Xdk*U
z{ff|5xeEW^Grr}TUNyFuw#Izgs`O1WHs4$Fne$kXBwyG^PAR6{n>o~qHzjZVdqlx`
z(a*(<anIlH{`bszzSCa$AeTMCpG{-T^)8+4ZZEvQezvQ^3#O$vSDs?)RCwobay!RV
z{ylH`T5d7Lrv;SoSzkV*>$LcH^2<$M@5Sj|_7(28(Qdv~l3+gF^yn)$wW}fbO*u2m
zt0u@!u0K*fbNZ<dZ3|!R)-rs1?gdxY1Li+*HBB#MPA&*^c)f8}V3Q}~8XMu?)!m)t
zRsa32M}ObHbf@oW8OKHc%=<3+B^mpOeE)E;_V2!i#U($F)ue9y`h|P;{S}9QuFRKB
zNU<p};C_8v-0$F@mSa2q$$q)~J6o22`I8s=>h8>T7s}dwUL^&s-1`1h&`<W%`8U@Z
z{C|7!EMNBjuPckL$KAhrv-1BbnfUcjSIYF?yqHse{-<620dB7@J&UiGtO6pfoNhk3
zvNhnT>Jz8HJI9_*Vcb~R+B<38zb3QH|9h4;HksYh<v!i`bmPtzL#-)65nrpDf3}1^
z5$}8(I4y9G#ND*?RsV%nP1%0EUedlZ>RIS5x3&4}#cZD36_R?%`B|ViG-~bp8@Fz}
zxiKsIZ9r74!jGiSxrq(uuet7DwpHNC^Ubll-X69*_H@6Ymd&Ai=S{cFwMwy%e)oUl
z`>Hj)IfwTt^K<#ljeEH-bLsskTeC$Q0-x+odi_gK^i>$^r<dDq{Ibzudwz4$hWWCd
zSzC_Xm(ef~`*OaxBQMVE&!fG8j|?v4%(Gj|@oqBv_m@ljr<>@{uKCEO=k_+PUUf^r
z$xYX^Hw19z?D%?bQLC3yyrF#j#!Xt=erRpm@^ZrR%PF;|x5`iV6w|sZCc53_R^H~N
z{_Q6E^M5|lEqK3FAYA#q@9PIzW(D&DewCzr{^X^cGO^zA<5%(3wE?n^^lU`4)}@Cy
z{}KHhEB>JGz_bb7*PoTIV~@`$Qj<PgF8ofLpD$Z5V8`jrN_D~h{2TK`Bc=v~PGxv`
z`QppVnQ{MqWLlQp`u%E!`O{ffS8t8eif8^9{_ExCDYM+=b{XYw_G0<GE-16=&FM3V
zvEDl?e04S~?Ja!H@A*+#>ABTw8SSPVr-W`f(}#X-yY&t%et+8Lfl~CTNv0tmX5SWf
zy2xzCZJMat$Jclxbye%Bokvd{G}ZoWx;i>^S^sLE+FvatzglKZzrR)Y`TIMkPpvP#
zuPw3S|97r~N75D7?{|saqsIEqx}dzv>9WX|uMdq&<LY;|d+OKJGSs_2u<gE<zHWt6
zWC!n_HDVH#2FyBoo0e(Y9yl0z^YgqnU0X}z^Dd^B)!b^IGws(STg!L7k!xyp2JF1Q
z-QoQ9gsrQT_pMUS-KCN~Yf|t*HWqF^N8`AMh3V&}tY2!N5Td2JXI`Y$n;F7$&!w;X
z;S`xD{o{F5&BBYEmeM~0m(NUiqQJUmUZ9oFfzESZjKlx+$<9-B_<NmM{vdOSj?Ju#
z#*fT@zuxEJxzew4^183<)_mRda~Id|U8}wnN_{O1^L4kIwe0VsT<d3l^Y%AJT8JNP
zV~-M1p0o8m>pMn%=M^8KPIL<N@TLaIPA-|TK=^L!`51lf)VVnimN&5P+rCrz0iTUU
zXpn4o$&3lYv5nE?k~_FIxUc-yaO~A-`zsA6#NU4`e1GidsY$I~M)Bdc@z<}ey7Xh!
zr7bV_yw7I%a$)MDlrNDlH<Z`PN4$G=U%YD1=Qn8wHnS(^teE^NV(DR*IWIU$|I1WZ
zHypU0{{OFR!K_8o8JoA*825df$*?~0Sel~Y#QdF;s@9elZWP*ZpzzA<+=Z74&sZnk
z+Wq+PrWfMx`?ftzxHZLz?Pin#pT?Ypp2r@w$P`}R`zl27TS{T@-TU3k|Ag%^=WZza
zVzpfJbZG9U^6r08+{;qW2?X5#70AG$de)-7W$T1bSz<OzTfSDV{!;n-hadl%uebWD
zE;Gx1d%0zi|NQM6^ljgHyY%>R3)}CSDLG}9TEOM&UmB-=-!9VFwQt!n<~t|(9aCRB
z-_CxW8^3CsaI03u>WsV2Q_a^OJ)C)GQNqKk*@e+R3%@ss{J7wJr8sYujTOhI+PGb-
zY`ij}y*NdWFl=>^jF+7p%MdTS`EF0v3WciquaXT-^a8@!9VJ&}w`3^P3!Hb~dwx%s
ze$%g=8?>~K7RQE$#aVxLP-oly)j>V*%jw#=H5r+k{N!7VRee|uU3j%0I~zV^`Vnwu
zhvZcaN0XUZ`y{F?1Qs<f;A@dklsf6Yw_<OIplr^LhX=YU><qhh9y!1z@4_zJXL8}V
zdnjj9hnUOc_tAUhUu-^fe0B4K%bWIb?-V}2K<d|wq*8fvL;gkeVTU*Os6~C?UAgd3
za@nsd3vbp=v3I%ob7{?jdUwmFnx{hNHdxGXatdOueZt*pA*iM@%VE=huhW(dipDn<
zKJB=AK4cSH<$Mm=yyW-tY4vv!t(Dv6t$d(<FFIzDZ>GBRDLz||@JYUj)8)2onrxZc
zE~j#jY39wP?7S&LmloD6WnXzXe*3#i&2^ngyH1^Gt@@&@p}JW13*VsyGe0VMF5=Tq
z{PjRv_j$o0zf*d;$IH`KCAPnx%pv;q*2CXZQtju}&FR=WMMyLE_Vzn!H<QJ}|33QY
zVSV-9qs$YnVuwl&^Vz=0ViPJaoi4Y;b;hh8Ui`ay`_JB6%KrCz*`J1_b+b=1-!FeF
zuXy&KZ1whaCyq0io)E6R>D_rXSha4-r5fi4I}fqH-Fvit-k#s)Wp7qSeB0Cdp7Vww
z_lJGWoqu*PU;kFicc45|a8pE$>ZyCj)YIMzrB-rE>hCiu<5#y@_Qt5JwtQ3a8-udR
z3x3A!=DD*}%t@_RmTg@zn^67K0|$Ji_4E#SmmS#6v%b(n&0AXi@#(L7?_YeY`)BnU
zj%rcWWtyuN3ZGk^Ch|sD!j+|mUEA8HRhCQ7z~s!Epn}H66oy^i2SeMhZ+#b(wU{%c
zb^VlE%o0(}v0iI0@vTj4zr9*pbMc1LZ|{14j0_HG&zupk?(Nl>OOGFae|jukE;jr`
z^3CWU&(~&%{WzgEVS?g{J`a1gu%N5I;+;Od{qDN<YZaHi`OU5!TARXjW^Yw<<^TTk
zS)b3O_qB)nBR(z7eDmAShj(t&?a2P`rH%&}ds4X)9UY2iC@kM}qPf>-H@|Yz%rCpl
zYxHgBcV_;`sIQp)`P%8#yw$%vw{5dNU1AUzqv6)uc<PFGrjAFn^_;IRC*N5A>VC5%
zfzOXKW|!9EGZmML_bN;Z2#!fn^X^#nTYEdtPjN4`m#0MUNpGHg_g~lEPd0o0nZIA;
z7GU(HcD6D1XZcm4f5Mj8=j5Jwx1@01wxD$p(fa<X0#{zW+O~bKxP66>cKDC=z1MXw
zi~qQLM{3<`xre?VZ@+ncdqUSdzq?UhTj#|`U*WuMb#|}Wt!<t8Y$2teggOf5&FhY~
zcClE!mV4pyfX%O!wKbgDrl{23Fl~;XziemI`~wAIhcg@2N<2TXfa#uPozuFcKx=&g
zQ$_h%S}P{ri;3R-)LPCXaXtU1q^H?x`*~L`OOC#ryI^8)e#&&eFkOM7O{MQQrUd>@
zSGW5=`{xzYc9&O2jh!XV2|YhMZ-LK`Gve#oPda{6{+qjLo?MC7Nyl}{fB9l!PEHZ@
zJt*~UtE75vl$=Q2Mbq775fSegUY~n;B42uK{aVo@%d*}Uzh0^Oa)qm3@NM?<_vFm`
zu2dX*SP`f7uhaS6O|#8g(p|ldZHqg9uWxSun)*`@GgL(X-%t$Se)i43%}RmE(Vx@v
z=g;lG!k{PNbu``bN!Iq6it|K2ZgIT-Kl69+uS@SY&+_{FIPB2Je(C4-xz{cK6+Zs6
z{Oxy<_50K7*1kR`IU{Fwq}9H=x9_)x{+qGa$<FX%`EG-kCz|J3Jmdd(=Ct4Py$yHg
zTz+}`n^lN;d|EDp_4?_N`JdEYRZFd{G89&f=(+l!cj*Dm>WyzNEcFf!*tR#X`r+~K
zivLc|7rW*6R&UGEy4Z}@zgMMy2z>qP)XB$tSzAPOa@PI6YrmuY@Z2qSQ=k1z@Z0}q
z{U^3dZ>K5;gfZV0_;i75?`7fUgTGEW_=}{r>}(dgk)Yt@@8fK8iP`p}lYv;%Jf4H^
zz8qP+L)CP;?dR%0si|)jgC^}yWBXZb)Zf#cH>I@i&8>Wi2#@<4e=pQ)T3Kh7eP7>;
z?FVz#W>Kbn-Z>x5HP5hr_M589f9}gY)vuEl&fa_Q>YjJ|9(C2lI!4Ug=e}=W{0y!S
z_XFnY{u1u={<q&->fSf*Np0NjM`ItH(+j<@{c~-_%WH?zv~K!3&S-i3f7X<T=fv*s
zeb6F*^0J%4-@-(fMdhCLjRDiI<}ca5H|RjEoLHG>Rp7(hEYqf4p1I>#)Sa|_sj2l!
zoxiVN<oMsV@I^qt_v4JO@1OYeCwY#+bEVUAYwnlkpZj)#bMvM1=VKSh$K}52jo&%(
zdw#&9e`@}{=f3Y``7iqH(|*UUviF7hi~Hm@eR*`KR)(v*M{VnuhqvUUh5R4<oh@Tv
zf28YQu%6@U{R?IPJGp)4U%5{GFz@rvq7?yEM=ch$y8Jx4ZevBR*&=DhsQSqaDevzn
z&9~U^{q>jflEeN>!yK>OJ6Cr(=<`?EWKULq?o}~0)0RGKw+^b^Y8NKlJ}Ia3&D;Ao
zZdQ~{Re8PBiQ#`*<y6%hUlyNUZTddMR`}{ShmV{6#0;6!JLNzA)J|u4TRrpVmyaSr
zo0t|>ec!w0<8)2s$4&d>`uFX7+xzp)$B8fa;^yr;Tj=xt(UYWD&K7g~#9OP@7v+{{
z=;X{TKb^bt*8QyFjEoGHt>>)wKHX^(7C!xN;;iMXW=%*-a9+i{b>AJuXZN@5u!wuG
z`@_Ou?a<83#$x9Ak$cVJH*7UB+hBTsa&r{_=MU@e#l228dLcba>b>>FE9Th--7Dp$
ze$4gQT$i`=?9APJWxErd?bWLD{4PnZR?0mx{YdjZr_6MROE0Ul4>hfi5m@MvsqXx(
z=Fc^kJziH7U1X)L=JKSuDM_z(N<Sigta;nQBQGbN_;s%;<KT4N4hzrD$tumgcdI1U
zPpap*c%rS&h0R#q@z|;ksgJ537U%~*`r<iZ-}Vh+HA|Z~D!t~INap+zGmCq=;kVO?
zogF*}KU_AcTHwzVuklMg+$y_2qb6a^3%yzV*ZQkCii|g`zIWr5!0mTmV~_cl{aF9t
z`LtcDe=T0Tb~}$1Pet|G(mmH$0;kuST{qv}^kRBt^>%gcitXXyZr6h{f8JJpIsM%}
z@sL<=gHs#M*v~QLvHly9Ia%8vvi(f8-HRLPueFwSzwTAuH?Q}|x=qYe{cVnyvbjja
z`180;`gX0PZ1=oU0q%(pUyI70QC^?0u{_nfEb?*f{Qq9*6UAfKAD*3O<$rG3z2iTp
zx|NqUS?zvbwN7~VBCFl@Q)gT&Z?1~Hcj9v8B=fI!r`Oxu^S1F;zwkO#`Tf2Wq0fPL
z3x8c+v(MrE*I7j`E`=Oft-9Jg_0V^1>BF`a=`ljuscE-`vraqiJ(s`da*5vjUoCTG
zyj5PtWcR7RUB~<J%uByL{VKmT7R5XA3AMIN&$9O|o_9uK(xRg2OAF)~!fT4oahLCB
zZM(zj{Nlg_BespN#e33x4_Wu^lYCY!>||TL?{JrEPvr-p&k8+>8_bUM?0k^yE&i3q
z-9s|G;HGPUzR$N4vyDV2tWfh1(Kw*9N90mTUfBQdzq>iwALLJ0d??j@-14*5<;G9x
z(tV#3cOMkUep@ix;*4-wdD}s$g4QVi-Bpr>CsyuGShvA{%c1--xjrkuXNk+dpZnSo
zUg>XpS@O`iR{oEBcZxoeG(0hBHQUa(g`7b`BBy7k>n7H{dZbZ#SKO;UI=#+wSGP#X
z`=v_{vfeJ6m%cp1wy$Q_-#y!Z)bYB91RDJD{BJs6?eUwo&qwnz*PmULa(?%_{7pOL
zR<Cw^tMU1pvYKq!rM-XqCd!H!CL~QOT7CD;(l?dq*VMX&?mYkX+q83{YuN|&`E%u_
zSty*(44Az6zN}x3#u?+Btbn99Ar(gPR?}_fpMJ^7{iH?r#2=6Fqms(!qo4E1OxG(D
z7W%iqNbky_r6;XEFZ*_K#*T}u+;@Ll^a+aXQq=PAW_-EM)L_eIg9~?49RA$AX>@1v
zlHcWz{GacB&EH|q#wRN$JLTN3RmyzP;(T%)XD{+kwknJe7qj%2G?R|e&Y0zseC1P3
z!u<)sYkO06MK>3gz5SW=z-W%qnvMd?&O>3no3@noEsI#`IC1WjGqW#h+|teG`*^6K
zH?QN+<ipQwiaty<tleL+!1{H=kGT!XJ$f@MlMa5|^5=~*Psuis^{l?Gh7T{WTkuu0
zSuq}3D6njTMMTJ&CvUR^q5_r)q!-od9lyx&<=2EBe;sw9?e=oNeeQqPyz=LSd|&N6
zCMhT01SZZKvu<!pJ-D-D&Y7c^^>#$~8AoipS+|k<DR2Ax|9T4X`hjKU|E$)$<1jiC
zmcsQtC*rTwcHSd5&jgB`Tx5JS!0+vcYflU|z2ykfVyt$*z%2FY!I_VfjY_TgXKsI0
zP$s4(_h0MDD#Kr~)f1Ro7_Uu8XLFXE)@9t)^Vlb-@U6g%0Gn?v(Vd-Dj~8Cr>b&&F
z4Q^M9T{AXE^;OzA9&1RHIC#mM_hi+yKGoHZ@Bb-Z`eL!a<^H0*iu@XEoyLN$Qqfjf
z3|r?WGcf!7_Eo>1QqPzkck+(<-J_R-nS~WUo!Ho&=swYS$LZ484Q%PgGyYEI{w}}U
zbza#_`?r@gBC;+|nDZ{l`NE4eS03~n@#I#HXApY$F`o6tE+^mJ^SbVwKP_<a>BW+Y
zXr;LgyrN$!zQ1^&qVx6b!b?}3mmc1Dx7DI{$r6WSPg8X4_@viAHWJaEQs64PLF*(#
znWKm#XT;QsuM7!OKUba+T;jp>ZS8{N!pHa6&v<z6fNh%MmemXUX53)DcI-thpP50l
zaFmhI?cO7Iclh1a`uHj3@RFdzDg`QCZ;Y~h_VG!JeX2}l_$=mH^xEg>t2u9W)tLO;
za{5!|bpEEL+jysKSUu}iZ}Rr)J_XU=ubx!hKjJJT89guhS<y5x$AnKGCq(W)s%H5_
zrd!BSiBVeh#YKTBRy$g)LUz@y5YgDiq5jG=dC5JE_CHz|R5E52P21`E$UZD0W!c^f
z=fA(a5Nl_fdN^!4&&k8>K7YSGdA57qAq|cm8@bu*MV{YvN*67u%6j%iB<jNBq{B~c
zcs?myuy5y7sT~t7tP+<jeV-WId(2r*yWOT><EPdg)~DINaPJD1daKA>ctc|D%2_ww
znN`n-xSs9)aqjG}bp3DVSI=5zCb8Y-`g|dOMk(>_l51*w&E;Qg-!Fc6Qr5xGPZ`aW
zrb}fUc9^|SHDcCh$78D`OgG!}{gyxKuN%=I<~hCVap(3M8A%zd*|oQLGBlq&$&%B&
zU}dq-5yzkG7Joxxgjvc|7$>RMZ94i>>-H<=HyJYD8qbO7nJs^{uB~9ty~LE)f={kj
z*YLaDVXX4o#&{-9L~{A${b~nKDG7a_FRgL=N^iQ7S;=xSKmWbv8>|jQOLBSU2*&!(
znlYh^N1NeP=kX?vv+Cx4_sqlO?oG&H<5u2d(b<-=v@J!&FJ5A$TFb?~VRp*{r*cGF
zZ;3f^IbQ4YnNKVi;$-x$?QJrR$m5ZV-lKCy?b0!^cG<qe|CSk>o=E!;a^{=N!eh_;
z{PzlfDabe2RyTWMq2;za>f2;?urg_HJh^JR^Qp)sk3K0HY|A=tyhvh2W6z7kl*fWg
z0+_bN>9A%bw#-Xfc_pFqNN1N)`K{}U{a2mk4kyNbZ7KBJvG?KjD{QB?7o-QKwD|3F
z`Xy}3Iq|+}O|D(MFGFmpebTI)13D+ZSxhh6<9UJk-UsQOMJMmd#YU`TJmV}fbIIiO
zvV2<#e&-)gU#2zhOG`>YxX1BC^~W1dS_Stf@3ov;>*(M+_4l!9ho!4B-hSStbDqic
z>f$RGnveLp?llnHRP^tP!l#%knxf{cjk?!oJU_C(Pvf@TN8cykxP>P!kXiBb%ha#V
za>}8tu8;gwO@2yFzc1#t&-sPV-d@p7uUzL@2mMNASs@|!WkKD)zq1eaW&E;U-P(Wt
zJe#TInp5J|+qQdrX|@#VicM<^)P8<>$=r8y+$+{LcB(}Mo(q_}V)|dV?Lyss<yXy$
z_e^LL4d1~a^t?OXy#11Oa@4c9m1O}-9^0<>D(PKW`aW*&VY%<$exAPiOjU0p(>e7m
zb9ByqyHNDY(?p?m_31x3+_5iur#@3VboBE?9=<@%MKe!&9d(Mbdi*<n!PTHrB`Lj`
z(|<eIE_!raZEm-?^Q>5>{59r}-`;sR;pBx0oBCVC^emDB+p51Wy75SN^)v5pVhJU>
zhj+RdS-5q|o-uAXyZLAa?-8!AwM=_??dIC&c6P74C$!Y7VU69g<dS_nUweI5?PF7t
znZGbaq_g=;<>rs!{d1Ri9k5H?Bcl6y*W#vMT%PlPoJ>5Y{oX`LgHiI`WW!T$-)NuM
zete4cycCto0x3DW`kWFD%fDx0&fAyW^+jeix3R&h7~ZKx`ByHm?Azg}{_A6E5vTk4
z4y${Sd(8^M7B16$F+=mOtfxiv!t+OC;`E%aP3){L5biy8;~TrG^?j><{POSrJdB=x
zxI|O((A@3CSKe3MH2BRGac{B?pY`U?A8((yJhys=z`w7-;)@>}1#5l0^5RKh_hFBO
z9tOL0+TwB|*JFJzmpkrH3drhcIpD#|bR_Kgn!DR`lP&kpTVSuZ?bkbrhlkljJo`5t
zFq4p;lJX$y>Zc6Z#`QN8UT5WK<+mU4?#!3hJyBcS{c8?S?R0$y+qfC|-qJGrW&|%k
zo3Zfs&u2G1vJ5_SzLnO?+<dYqG`@VTbf4L++mAO+Rn-yv<=_z$uz&K72Y%uHr=|r)
zm;8VFE#Ui?^G7936)qXC3OiM%^4sT<-U{0{n++G<zHa)%;qu}8L0%UZ9JUIr%>MJN
zDSm1ERqv{MYqL*Zy={BjdGYGUpG()t{R@41TUz_@V~r`M{a3$jk*NLp?b?T1k21D?
zx^?W#icO!y)T*)-N~_hXEN9)Ts?wS|-Muoq*rCo^&eU#?S@?mAf#+Ut-?r=B{)@Lx
z?A{wF9=0!T?TgpXH*b3J_Wnufx4ZssirQGUSowa`$^TbRFE#yHlXHJthkf|{u>S#f
zI~xC|C9f{ZGEJ$QXj8Q!$Lz(?-4k8S3b^N%e(YW$ttoZyxsj;9bEKyEcKeXEVxbMY
z+?4aSZ0*ppIFqfl;EbrwUVe9%GG2F&en-#6yY;r{RNk3rrY+YoL9Sh{<DHdtQsJW0
z>z;0mn7%aZ=NsXuiC;WwrmqOwneSbF_;|N?6tn4sUz?VR7q#<zELwD3#cs7@*6yU>
z3%yCN+M9(cyiIo<Uohv6eu|O$@|kmUqnE7h-nv6QKhtuvYKM0zYi7mzlqa$;cctE+
zs&3@IDm>`a<r6a%uZAeGDqo)y^sB|>rP)HxqkJoTZ96B5x9`j<`!4%B>qG=gWsOtu
zX64iK4}HGjdSzK!S-*bCcGr~$=3dmz=BQ+sxf`1!$G_}HFxOLg6%pa{soyxFKOEv&
zqPcGG^f=p6zT4kq9>rWa(%2(x5IQII(yR^6>e>(Y$;BVIx%%ady~;0&kGynGl<&M1
zapku6gD;hd-zMH@oAJrNX;=B<-o%?<PKbw<wr^c_j(KY8$4`CtCbV=mu=6a}XR^8<
zuruS@=?Ux}8Gfk?C#xG9sGi$0ng2-n)H!`0r@g;*DZjEVEsOEV#Xi3@w{xHGA6O8*
zZ|Wvx0r54uJ9@T%4bl!fwDd`wR=4P+os6#WYWgdMO0t}zH)+b8sS>T(`rFTT(H-_&
zO;+Qz-NCiXis#3wu&bZqHtszV_ul@v<*|~8%^znoXkB)(yErHM2-~$^b$m}4H56NK
z+cZAk%OlQtly9}Kt+s0U9u==*+xe=WjnY4)>bwxXHHkZO=9>t9TMq99?$0v(co*G{
zi#7Cgp5>!DOZ(v`%L!MQmflGgSXfgpm69xRE#kmow(mi`1?@W*9M?J&y(ssCkKMOP
z5y2Y`F2tSVJkfDjPeQfBdjHM!&o(tZn9?+nYlfAmYx}WoWq!HOj_ySY`A1708_B!q
zyxes7)u9dkNftLJR(W;y%$#0-_*_GZOu_H1pK4WD8`>X*9LjV{`h8Jn+05-9V+CUz
zO6SjB$0728A<E-sSm094-91}HbTXdL2+&-3pp<cD@P?e7ebx@X_0~F!tHtJ>e9rjJ
z&d7gG|8C)fb)P#{Ub-{qUR^QcOksmxSIw=Snl!N|u1&mgXNF2y%8c_17Nk8ce6nJe
zw{_Hey|CQl7F*=>-?T0}tt#U$UZ0h>X2Ms8IYRHeRKD>>34Xt(kZ;iU%-(!Qj)CyJ
zG)9%j9oB26_QzVX+4u@6#QhA>=bB_*eJSR`mQ~+8o>Ymme=6zuv;32`*uzi1oyzks
z%&@YaEAct>;HFsPfW6s1dtWYoQ}Rr_=(OqbRllS|=9o6B)w14Q+%o%-!!&-A6)^`+
z+8l1($3HV<_Nji&<>3Vxr)v&O;QBIAb%Hzlu6FBNNrI~+IZa*#RjDj!KK}W#@!H9J
zM@>$=Ggz~<p}n!m+4EWRiN_uSchY17-*wF9x_IjKB&!QoWoNQ{`cbt^?Q)9Hi}Z%b
z7AGz4)WqDM>`Qg{+O6b+x{o9!6(z|So!_xwd7++zeb3teyqh$RpXr!0V`XA-O+ifH
zwl|#7R^iu`7cX{O7CF03bB?IAdeEBN+dc_qYlZz&XzTp=;vLWP*GHuzrk>0^?34ab
zuja_5$!8u;{LA-`Q)cpd<0W4-wH7}LwmhtPnccQDb@wu*yqYXYnV5?Of%e8f8{BRw
z`m8w}qpg(G!F#ge*Sp^57jic0J^n8v?kId@*SwT#*U!J&Vidb-{@&@H*|9n6u3FfN
zpO*Yw<Y{iUDcf;zjm)mBOjhBJ)cJcZJxYqL`CEBY^CGj+QSq~%<7dTsl*u^#-xe2l
z=0@%}nZxg7I%}nsOMdi<?h$AEa(}wai4*%09piYG+}I~}@ojV2Kl`3NoEBGae`l|$
z-=F7cG{uO2XIq!dRG-c~za0}7wiE~`N%Hk+e%1|6ooB4-k~Z;W%BdPY!I_pE?5P$`
z&tBfW|Gx0b-v9T1+r9t%WzM$^-)?2E-}~*?o3D3QA2E1yRrl0{w`UyJdGarNo>$AI
z6I`=?*4dj?p52S3igKI9Ts|g<n7MU}r#5X?`~3K-+S0i`OH-Mq&0gKWHu?Bzr{^Yh
z>#de+PgkC~+@e{<HhJS#=Y_9s>)x(dro%MN_|Tnqf`8fE7O_dCzk8T+r_kuK&68;+
zC)WLayQ`|eXxks5SZfZ$SbHXm7U?&i=foY!UB2k@m6KjC(yo;EpF9=kyzJ)IbLx9y
zS8j}b#J0KFzb))-7SA5Lr9GEl3pIJKGVLlllx`M(`uXiUv!at<+nL=JJfYTeX7>NZ
zw-ZuU6el{dS!%0<@A4|JPyf7y-AC|>V9tqCGhbfu@|l+S#kp5wdDtWqkJRng=5}op
z4)$3b&~|gi>c6)0_a?W_-C=1Xcg;cW&r{hsca6VaXKt)HSpNQ#m%+oUw|w?ruSrQL
zE8LN>pCy35=vNy1x&pR)o&39X-@e}b<wl&Ia?S@Px5H5n%~IB=s94$O6r7Lv`)&2n
z%8dt`Zv5jc=D#yX!YzvN?izio3zK!dN**2C!7A8v_>tk>#tFfS*KZ$cne%Y%;*f*a
zEHfG(2o>GkJoVZ6JIr5P{E~8)`!LHesud;}E_xWny~cf`!_Ms$b8|b-uC143ZuQ*#
z*n*LVX}-V-_8O*;*nN7sor1y3V*}4B#|ZImVQ&jH-d|yT#OK`FJMU~J_DL`H$b8vU
z^G=GV`Jl!RhtF@qpPxA!ckp<AzQc?QC+06tmDIG*?wpzMKgwV3eOSfYP?1xHvBo{i
zrmT9R$5Z9hwmHA)*N26d<aW3ip2<AA$2qdX#qSHpJf7J-d(Qp1wx3N(SCiqJ&YC$H
z$I2bAzg(&!vGI&r9iy<b0CQaQ>IaIeE;g-@>X`M}@7#BxoxS~s=BXQpDzr|YeYN<X
z_)hjNorqMSoA2wLClwu9qMvZ@fR2Wf#soiuQ?7!$!nzY{bhyqhn;aS9dYnV>Bm?KM
zXl)(_dC>#R6IR@5>flgW()l^fX@j?$J>Qzv42un?{;pd0BK<(%4yz`f8E3jGnUhw^
zeTzSMR7+H1<uYDd;X5pICr>j<af{fga<oX{N6V@MMK!D&s}uz+S=#E}O?O#ny#IJ0
zV{foX>o%z?sY$wTeyHx6Yj{**8%yzPCW*6)u4r}jZInOSr*tvaB5Fh7ca~pEHlBDO
zC&6vGp}UX&rrZ1v9tR>gw_P-FW0=(DwC~@)ORNgKteW0-L8-#CcdqU$NDgX`<!fpP
zReR_5&e-MthJb_`y99+#Mdu4NcsB2hGOlwye&t4WpvD^s?h7B?S8e+6BQx{Ojgran
zeFgjuhjrD1-!!rHTCmEVEPYY-<-ApY3;VjMyxrR`zMCm_aPij0hZ8;)osq1Se`p{c
zS6k2Ta6H5{DO0DRPQixd!_FFkRg(@H*Dcr=W8U*@rb5_$t#?X1{pZ(x`fxUS+WN-R
zOqIt>-F6<;(&aeiVEZVlLBMRMmQzOx<Iard3Qg|5M;<?GF8i8cFR|><shja~ds{Z_
zYMvj><E7Mmx5E28%ie{_@7~!4a;!eEV8NfQ9jo7MC{~$#LwNI|fD6keS2C0*zT!Nf
zyX?*ymSg8V=PX<JbIZFtUe@Nvm0N8m<+He!FIH@t8a0FAhx}u^*6!FHihOG}#<=}>
z;1Z{kP_bCx&KH4W@xp;Oy7U>3nw$3P^tWsgpYx}lv*6uiW|_Z1FY2fHtvdQJ&Q4ii
z+cdsntHM9$di*MTcl7dJ{l771<)@bSzb7oJ`|6@_{NeL=d)?|Qg&v7cPT6iSt=Mn&
zwvS(4FHt=FaP3t8=D4_b*3r?~-XE_{{<!pxeyXiad3{g+_K^4M)}DQ$zHst7zUp07
z@A_VZ?zp{!*YfnKigmsP)o<6S`mUUsrymu5?s;`@e~Rkb+_hVesO0{*ox`hIxz=pz
zs#cZWkcJz_O3n9c)_Xrvowcvz!^Op$PL*C#E5CZ~NX^#YyZ-+vSbF-|a)Ec#W8$PQ
z^QZ0IcB)Z9IKM4r`%E3rdCP@){#bkNlL~iEdLdgje<5G4-npqWUKd79w~yZ`rV>}W
zTEnC6!rtVkpBy$kig}tBHTlTrN#)O;3aq&tx3Qx?t)o_=e(B~c?xo>Vq~3gadhOZj
zZAq@?jPDmPdR=j5jjaitvBo?|NVZ$4iCxA~XH$Ks#{XFh^L5-WN3D@~vt^#qlZYe#
zRz@fvEc!ERwnNQ@12G4@!r8A+jSJmvb2emVG4o?a)m0Y{{$1oM&NlH$!r~`I`N<J~
zZWJ~?*mtbpwOg?_!;6-6kHx;PJRSaCN@j6`#q%#8za&?Re|yhxDPRku(q`Ke(;Hm6
zo@vIa-{;_Xdwi<iSHJhM0!*AOhEq(QI9~L&S$fwZTWn2n)`YX2mXB+hu1IJ}S6tZ3
z-NBT3BIe1Dr@t%bNQWNSe<3Dgzj)BL8tXMrcW%^q#4bH;a`>XzhW0miJu(v7dn))=
zw~pj~0sFr?ZN4-1W_VmWH0gzi#}4M5{9!(pw%dXj@3sWbH1_uoRoc2-kGblO40l+v
zUY{t-Z1;`M|5%vs1qm<8+NfG{bxDuMmKUvgY#&%YFoaC7`u}P}XSYqn7cP~S;%WOd
zf}{*T+gBR!zgqM`{bjaE=T@zqhio_Wv&6+-x>0@KJ+1qr+!-al-E&X3=SfNO@3Akr
z=5nlk>H0fwiqkWe_=P##S6-DAneR~7EmOqM(DtBK-{s@8tJC@xUpu7kRNz;3>1mql
z`K#yNSD);(xyHRKsv_z^s^|rVvtJv#|Gzx+c9|2)#?>*pP6{2drt`jw9+KLx%4Cq1
zSCD?5UtqiJvQ@8=L?VBDoc%+%?4{I1i(l@mO}AgwQdocI_Vd(i_naRg6W>*FF7R9N
zbn4UZ`e&}^dx<aK6!%K(`-!5K$7u{+*=v#)xmox{CK*VJapdeRWq0!9+Es48%JE-U
z5bO6&>xx^YSN}>#a!$DR)8MIHQNKs~){urP5BWvT{Ilk=H=o<F$=m!Wp9Hh{KOG;b
zhTWxm8HDx<O6j*HvM9z(=n`}IbYbs|cHukuM=XDS`#rbt$!}hnCqF()Upam7#Jt++
zy2}_IskcNh#mQP~x-UF`LHw+Y)BPn!Y`9du78!X>EL73C&FQwXq)Lb5(u3ExzG^r<
zvAJ?!&e=)sB~f8#wQp-Y*vM1BV$OL&DKGlR5&5G`0T&vZzO1>nUtz+{{pWaC_Wi5e
z7;xsbpy=MC`Wtihm0xr)*X~kMlx}OgeSw>&cz>I7z{kULFQ__1aT!lM#dT2c=OU&j
znSaafOFrgrUGiSU!zE@)qR%hGFX!_)oUU?A-J8#GM(R+dOQFKLloQbp)Er!753GLc
zIawp`%%A@1PQwU!i;#fY)sD%#9w%EzF*)umTf@5Nr5EdUfr6(k%|!>8e!WQSy1l+>
zhrG*D<%0dMLq6V0xZ<*S*4=HL(fc$H%09BZ-XmH6`SWeZ!yfl6pBo3v*%N=>va;pm
z+C3NknuuJFfADY7l;cJ3YFj24zu(nh&fqG%NZ7);xqpr3h6=`DzW8L#6`pDg_qt^a
z7cp~I&SASY;pU#N2Y5RZRYVk6bh%zFU7%*2pUGV^=|JjB@usQ=xeE+RcW}o}v^Br*
zF_1BX?YG!Iz75RmGsSODHUHHuaAJO9=Hb<C8cy#F_Eq0#xhH=o!K5NQS20+kTB}&(
z_7nex*J4`xgENy%Rw>;%!0XH7%rD6vfA!F@N+od)wI+_z#GBRAPq5CrsyBznK<`H5
z+whx4FE(|&eCKX+^6^9I`wr)MQumZg{7zz*v3B@sd4Jd54ey!Q^;fe_<leQ)f8W$&
zdR-y0(^RECG)nixrM3iY==)Loy6MH4Tj}3Z=5Na|UjI9`WJ>?y`3J5Y>F8OXeeP_1
znX2RoBbm6F5?kJB>|FD@FRei-`P237rxKQ_l*w!ClfI%Um9&j*;>Nw(Ek)#u61&_M
zdQ@J#$@<?-p7Y?scR!A12xPpL*0JkJ+^A>rK4sqNMyA{qR^|JhuWrzg=Gt<e^K(XF
zL2_5rjOU*g<oP^j?)LwF|E|{e7ZsI}*M)1n4n3}97r%U`Y0te(fi&%KKcTLA&M9~0
z#QqCu9Djb?{)TTtMf%1i!FSfC?w!4U=k8Kpk5diP6oTgoNKKlc{Xt=B#KuZ9`_`~K
z=Vg;a&m5F9{a19x^`gx)`-H3KtNqL~w~Bly-pRj1<I}u3T%wi%j1OxJk0;cwnl{xc
zH}BoCBaKg@jRn<i3fKC?96fd~Qbs^a=(o<2BdoK9L>KahZIt<#b#UiJwUrW0@AA@5
zdmK2P^fcjs<$)+8e<KD@`y)3u&x$L$?z<!N`TH5$XL2mQU3FSFq~0Ya`lvWV#*`Hw
z0t=U<`IxGnGrM=GyW)AxQ77m9Vn;&sTxVM{PrK=tadzix$&g)JRVPF<Trg)nAU8Ev
zcMJQv9hQ7LKMzYv2v~6(FrC3r+y2*7%hY>KmitaY%|J~}n<HypY&*t$M}}4NV?jY-
z>H+5Po7auE{rGy$CicLkhtu-Zyo*W#)}M(B*!=(Ln%S9m@654sI=e=!?Wq0^#e&}f
z3s=qjVz<6Z;$O)$-+%Kw4(^;$Uu)%D*WScjJ##OA`O_mI*J89z&X<4p;Jx&JdHHu0
z2VYKq$9jcv>X}NHtErc6Z+%_6@F?%}hx$A3-u+{~`Fh<?u{CRDi{%bQ8l945IL+(T
z)F9@hyHzQmUSi4<-g9{c{VDnUJlVyC8x^#cww&xgSjyFPErHMW>UVv;8%Kmr{A1j{
z=daNG$DuXJIY)L~*x#cY*(uWbcax?1g5b4!8xOqOu}Ur}Y`xZoMJ8Vs2RSD#3!ik~
zcuDc?nmMs@KelUeuIy}c{(tdUMo8V+M~QBiqeUea_?ddy#D<2iUSaPx`TPO9^9e65
zn4jCS^Je0K=gJ*dXVe5W-1j_bukg^MnmxvK&Z&M&%Z)EQl|v-O-yAzpb6cW$#XRHa
zd#o8t^|Jy~N-xPJDz$Y!xH_+McOm=y9W!?PXDxK-314mAB$P7o;KqPXo_!7T_Ba&P
zv?|&yZ@czQSZn_NX~oBU7W{PU>yB>PF-Kr!*uk&AzPN_{VBXHOQf@iZnzl~|rP($M
z++Yu0b@yNzlYM?TLu_y7ONRwoYui)yte#SNu<5Kyx63Y<q=u&YL$Vy_>isU>(*E!`
zYt7f&{r{~`7@D<xx|Y=xxHRD2t<T4A&Hr5TV@K&EC*SHnR#(!wgX{`qpV;o$SNr+k
z#{K{5?EgGnx`lDxQjdepuWuzy|FG!HIj7(=KJS;`n>amu`!c<Di?FJF`jK<*$Nt&3
z+j@`f<}FvOubLd%TRgM+#^KWB!kz1k?U$5XKVACzI8#UVwr9&-s%*{P$EohD-!A!4
z>8shz3SZq`^S62Y=TGNtQ43w)KYf4Zspa$QPx3ac{A&9CRcHRX8>@8Bp6z`<cmAsF
z*JiD2nm=R7!_}g*gM+iO6tCXC|5YlyoZW2_k1hN3=zA?+zAbP!m~XS<ijdp<ueWmF
zt5oKlTC4W3_148Z%$Bl~*Dy1l_g>Y{d&m6!7k$A6nX|So-u7?BfwN`XH{L!U7_XWC
z>||!X`+xubNBy~azvSNab4upuCvINXz2aw0#F`Vw%{Qd%jaBscQ{CnxUf=grecwKw
zsCSIbS9ZF`##}zm8uY9$YQ0)<7q3m&oZZGtt8?DX+iCwd#;^UAXtz1nGtC>5#6FvU
zxi-~%7oYLbZ~kwe_gTwto2Ad!bN;%|)$$io)8u6=ZPIhz3C86$Uf<tcDV{K&d#Sk2
zJ%!Yd*3u6{-yE5u_f5%$CtZm{@b!M%l_Cf8qCXpMmlZm%*{{a((OcnbcK4*!Nu4iL
zlsv_i1$`~JWHQ=!#GlX9nW^w^?|I|T@xRvInAE)Z{A+{hD-!3lZhUj<#hU!B^Jj8J
z{hVX|b58Z))7%{|3p;o|U-mFqyw51p$i{k?+vbixQE@XZTMmiD#{}<iT$K}L6LjT8
zqAri^g4c3JSB^$?9?HLa)Ww7;`(W3ke9rcH=atv7x-59JW%E=4nbt>^R;My};x>O?
z5WClb<>7JO68{PtE@l04ws=ma9mgHg915h@2gOV{wf4DMf$-&>_a_yoUH!sV)$D&r
z=>D$=!*KI=VaHwfcnMwfk<i{c;o@4AE`D_m=b3H|s-oeBo0I3Aih1+Xmg~*;o>M%(
z?<~K#Oz6H6hoziNM3Pm(;b$Ag<L)PIJ+N9~L;VMrL(ln7?Mu>`a%6tWz7L6({kwm8
znyr1>JNd-qr_1U^Bw3gLZ~yuE$6L85=JO8En`b0*Ykt?Vt*DER+?XtX!E0;XlG?7H
zGim|@Hf_zhxb_O?apoJ0|3sqf3(JeQFL)7mtE6@LzQ<?QY}oih%A;kMZBP8p%8tD|
z&fQ;m{7&i%|DanZ`9k;4Gg`95HIVh!l~>Q7Kl@UreSh*-#aHWdY<vzHKQsJNTq&FU
zK09x7`_w6lzt`+aU{*K3qj_li^2^by4Sx0;>+i1!|8{^SwKjbH)`~0FY>T7o->fWM
zFg3$VuU>NnH@l%srq<bonYO)Wd)0n;)^}X&eJ!7HW*<Ykx~T9`&i^Z4{gU~y$7Rb4
z`O>0oL1qse(`T=?+-&r}y;iT`yRF(%X8-O97uGqx^f(j|^?ZMBSO47!`so*am8QK&
zy0MsZ=c9Uumx+(6OQ$TJap#4U?3a%9Vn61uG>XX4c(fu}yDjcUarm6I#r+%ZwcI<C
zfAM=ghyMF}j&oQVHw(HODs(uChHIbRe&A+Cz|;$+7BkP^luVi~<;!qIxN_qLn+pZ0
zUu^#fba>2n`|RxhPV$dXYCz9V_MfbB>!Oa7-`nl@sIg~-_8eJO*CmGv+v~p_esb}`
z>)lbF8{fKLeY#G)DI%Kp^e#s4KQapKk1W+0HQHAiNu5eeI+Mjct!cB&os=80HpvZ>
zN*h9>Pu8(A8ZVd;zh|wE7KeqEs$h7D;iUxI=|RChhuLipu_yK&ou99DPk}e)%F-|E
z<#+92=#ld3)@r-7NGwxUq4V4E=6}hD1X+Su4mwEMit4%CdiDLS9k-avA^yuYo;Kd<
zk3U>oDmkNdk-;|yp?P6G|37U1u*mV5y;9b``wFQatm_4jsx80lV02Mcu({MQWRu_<
zvG?(e3zytIyma||OGlPj>?@}js9AhgsLtk+{;HB?ar@;oqnC%7d4gJh`dzfN=v+0!
z<GG%~#nO#A`srEueJi96Fj?N&Z0;?Rc&+KH*Tuea-Z}HGit5dElnG;*7Z@S=cs<)*
z%l|t%)AB0I7RJR_+*$N)ld7Fy>&vno#{vZknNQ`PdRTt!!S4PJqfg0|DisH3=3j2T
zwBo?0qJEEwpI3d8x?;`sbB_6sIn_G9J09M=5Oi|=xqwqsE)?#R&X}`LqrmF@BF^(a
zyrVBgJg;i{c%dQa{JZu2?nh#FuH9R4@X|Exwe=|yw{G2EqN4tF9ozBcVVP_9H<Z17
z@q71c72)a43HRfkNjNW>E|nt4{j?}|#nO#$O_bvof6?ChPeW?{uXQR$^D+u{ac)=G
zx+8Pn$$2?d%K44?vi4OM?oM5P$Mib;DS_A<tM*<jnj+H88~HIY;FyKe%em>hk4;%K
zcf<6cuYdooj=8hKx;_49?!0{h95p@_AEqq%{4hyLT=jk{%Qx0J?D-nj0k8DFtjXGc
zZ2PQ(`+up%ocP&uRPg!d3d!#U+t(GCM;A=CQRJV-epSD$|6``Q&6Oj+jh<aPm*O}x
zCh$#ocmLG=3QChYDtAddlL%S2FO_kAS?Js5QoHBxK788l@-gX}kN3AfY!;E-mx`F1
znmRd}mKkO0%zs$6Q*z2Ssl{y<#g4Isoc?Pnyu6srKD@(Xx(nax)|!UZl^e^}rG#$Z
zSlaKl!}M?ryPslnV9=3A3z?>za-Vr*!HduC8_M^tKI&k;@yoV{PX0gS6aL)2YVWn-
z+@TfI_0GMX@%q;{aTylfnF9U|d!|(|WZzAz3HWzMhV^TU_|2aiyQ>bHUEN!!DllnU
zT9d;s@hR5rp^V#|PR!Fdpc$9L+0A<OG5djz_Fsz{PPQ$3kq}v@w|_I|J*f%>^*<ew
zske5|sA!VN?|R95iOXW~da1k#lj0xw+g)H47dG_fn7*Lj;h13c0rpqB+?cE$Iw@yw
z-1H+X)~BUNPVs~3ew}&#hm>vh&Cn2NKD6oH4!$eC2g4p5R_J$FDK`7mx8sYhN!w49
z-gfL9leCx1eUSrBJ5K0tytjbyw((5I&=;{hLgzT|9X?rnW8;QDzs)}#nD~vOd~&i?
z@7HqC3vRj16^A`6J{K4F{yA=C*~IK`_2?^)q}uW=8x5lhjWP}&t`Dyg_~W(f;q&;3
zcb2P)cSZd@`hDU#Ysr1Vz7|#GvU(d_HTkM$XtNnIUPyV(&GUnEa+&k@O>*qE<+~!T
zyxc#Dk@5cAj%M}eS+{Pg@N5=kO5?j}|0Qtx6xSG;84lB;#ilMiUw+@bO6%?ARR-H9
z-8rQ;=XhPPkNaL{21)&Bm1Tzo*KGTfwDL9clx5SbEk8_Kq4n+Cj73vV{{4}BVDm+-
z$-DmWOjw-#R`$W4a_5)ZW5m9@JgsxINDca=Sa`5;+qZa*1paq&b{UMRjF)daa+FIP
zYOwwFLh9(f=?5bH+yu5vxmfxzPjcBd^@rRFCQLIF-)MbLxW;$??w{6c<u+SczwDNj
zpZX->+h6|We@}PcdSfdY;AS@6)p^^d-j~(<^SamAF?`#>CI5N-DsD*!xjX0At!8i9
zm}gY5{-bBagG|92jkDi8Xt>WFr{W>bJg2F8mj9Pq?+fNhNq%klv7TAnVYW+%z_Du|
zvcvCA+x<^CIZ#W|-{LK=f(O&x{-1L{&N=^ZwiIjfSHpjr)e_Q)x@(#O&t!OIH0=E*
z=Vi9)udwQ7c}q8;@CU1-|1sxZ2q=xcJeOzE;?N_q&w8G8DgU=#Vkp>rFE{GKie;1c
zE!NYYUsEqN{YTxmzoM4*VP&i-2c7R9*J4+mA5w8_<G*!Zvwoc2E&FrA@Ag*bbU&Z+
z%-xy#x7XgDx~Ak(adF|>cWc)B*L3gH+PpRD?vtnQcJAHyF?pGu=8^rGT5d-^WL>>>
zt$*s>S6b)SzRm9zemysHqOS1kR$uA+?x%OIb+g&;UlaXa=H|@^|5N5JXU<eUKew@%
z{Z>qD^s6l^za7|>zk9vEPJg&^)#Pi>tklgWZ<`u!Rds*G+jZ+b3-Yh4zOBp8>Z+XV
zFF$F$+NJCrN_%hLF$!$Eb@oe1q;#&=s#|a0n%=*n8D(ew;Lz+ni*G$VlCoFp{JS8Q
zrrvdr&;OiMzCFF&Nv-MZ>lx1rR?gvz)n2zpKem6hBwxp-sM3p)Vx4Ukwfml`S#4N!
zYvC!A@6P*bF3y>`I>PSYrrUEY8LC<eHIw)yJs#>GT6`ysi{tpSuqTiGFIN=%S+B_7
zw%_JVTtrd1aZXXgKQ8U#TQ2zSb^jG-^KkR&$Ewdx#auHLG(8!*_3JYeM%8T1oqDc;
z2bn+Kw07{&RePQKvtp}~U)<aKrn&p(iEQvsn=kd?K+&gle$(o=p5kt@XW{=T9OuL@
zc(_q@s+6m#=4qRy!57$N=50%Qv31g&T>mfKdCxb`Kb?C>!zo`<=7!6?OF6$|S~qRG
z;K+Yxj)+^7;9B0Lx3)UzGk=%=f6ZjIUSe5$g!RXW9qjD3&MPW@*gX+#w_h>g^(o2q
zTjCu~dL;&Ei56MByWOxtuy305;nf}c+`p`DkUJ4Bq4-H~@hk(y+1HlKwk|D?<ndjy
zAid|HMV5tmFH7qy1LvnM-WzW<e{g2^+4z0q7wdi&wqFU%`%4eY=QJ&R@B04iyo{s=
z+h249{kVLve&b<#F^i4N$L0h{Xt9>GZ8<2h@|oNpo`a3H2edC9)!g)bC+ii)--<ti
zkJObK^qH#1c<}ykcar$>=7D>}X%R6imgnyTqxZ<SZ+u@nWtp`7p~W7*8WyYCt2<}%
zN+`uDtaA9f$LfDpKj*aY>C%fOe0GREJ64&&u=M$zz0(;zjug&2Fl|}X`S}ey3X-o}
zTKHTkmGkV8_6x^PupeZZuK&Y+tw>&Q5rgH1_YQ{@k`KKu*shuo;&63Q*OEEzv3{r3
zHSd48daGuC#pi$>n_7RUT72lU+ADUb^zi!Py|oMZT0;&BnVNOwJ>+3#U;SM~PVi{X
zgH`z*bKO$)i|aM|HpX{6D&6NR!`GJ6@kc_j)pD)JC8heWzuQ!5TjYg5C2f?|P<(!E
zcEYoh%o=;1&1OEnVcVm8>3hi?9SKfRp>LN)6*0vdPiYj`T-YLb%h~HMZ%q4qCW{5j
z8-9Ggb>h$Gh->Yy7e1BH&{Pt>Tb1`NNyV~1fa~xYhh4#5erk%rEQ@6pJ~y-IP718c
z<GCK2bZm;JOqu=jn!7?*tvQ!Q?K$1_=2y7b=dOY#-U&?qwRE|*&N){ll-(_DAUEld
zM?+rKONKc0Pu$f8iW)w<ZoDa-pb#c`=eU{k>9-57H*Pjt`^@lU*oWzV%wBl^lfJR*
z;qw-k7lQjD`Rmdahv<H~9?iP2e&xpd@>5K<Nix}+GqfGlxKZ{%z2fE7Tb?pI^vz}*
z-!!E~mQ_geS?1iAr#a8JeOj6)BG$^yyWakA$>r`flB+Y8s21~T{AtwBvP;qbZ+9c{
z$!WLs&FNEm|2(~ZbaS)Pe*bUN+IW_6>=v<=`nmbllimfBwm)N3VlM1rT)o9*?*_f%
zg$<K>MY4D7{_|w}&XxbQ&ao>udtVEF_N}eP+F0S&*;S`cZqUf7pRnDgdg@N4=^r+@
ztmysoHso9}^I}H7$+F3E>_x`Y!rvU;5T$6FTKOx5FEm(^d689EEXQG`mjcTw%VOSV
zxv|gU{MOE>7<BWJ>o1KTf>yu0@^d4;eJI}dVBf8Rhi=8yO2Gz-bMLcHRG!URJM~$(
z%k>8fre3vQ!F#p%IHz}FhRCNot%nx2xAX?s@=Vke*t+g0`(D*P#fv`-_I{p`w0A?I
z|B<6{g_;E{Q=2*c4=jB8_YU7V`Krd{Q#ypx7z@wJpKst+_c!S3PU3I2Q($`&+Gr{(
z$hEA!ZS~dvyhqmV`N8h?{MvD~`xf_tcs5o>*E`t$*VuM@zJUAv`!Z@<Uj13K_`|HY
zfK@w`s+hcXHqS`CoP2bF)>?ypVGd!%#J8PM3VEe}8^s!p^0)3VoOZA!O(QT%qH3=r
z^K{$J)2RoQQY$Vu_Fw*d;Hg()i-^Zt)wHI|SuCk1PBSu!o!0Jn6W<+jdA6p?Rozpe
z{h<r>7bRS}tb1U7Mwa;hMoHh7iJNjbrKcS6VaXAfl}>3i`q(0R@$rtyJ;%;OnO*qx
zCFQ0*s}PGyh5V%_=6+SPRSgmrgjZhpz$28|xx9p_YHN67y!%5Ndp;fA{FjH5f)*=$
zQ!17@vw@HO?vd+dCpsGp80Y=*VY7Yhop?j^g5Z;MqfHDAbqk)WT?#n%_chOw$8yb^
zKD^0GE1mvCY!zGitQv_EN2UwEE3>#b`M%P!1?3f@GcUiqkhwS^@><B>d(QiPChat_
z&^KPP-uz->KHCM(Y2Pj+yB0-%bV%f~bk&=2K}?PJxz>UHFGgK2G-Y(>g!AkVb?{iR
z_WIi=3IV@FPx)?WH{uj9Tg!LBZ{l(Gc3!_Hht|JJIB>d{XWO4!w~H7#isTG=>Yj=|
z&UCE({_Ws<%b+Ft(;67mC47%utkQGY_4@eKCo*l57c$HUwGUdQw13yT#maN6O>=Ud
z$eA$i?R)Z0<~(P5yZleaTZXGsUv>Vn-n-wyF<zkHK;48h3{2-=%2^aQHqCwahSe*e
z;$h$9LQaX$Q@3B#O)BNAJ9ofAv*N(tfH>oVf@86ZrJfoxvpHMn{!llI$}2o$HFMql
zJI;n;Yiu;vHJ>~n&9?t&=PZ7UL<>*H_g6037N}g;+`!IufT{M2s(*!?FvFp(d#CLG
zYqjNYf~DY0pIbBX1jU~j%(}d*%97#ZD@JRLI&0qLkA0n9R(xvaE|h#&^<9vmgQ38V
z`|@kE>ba9T{2JtsB`?(fAEl7gX7Yv2?QB)dyL-1aT3eSzuf8R_=R=ZCPu?xIo;^z=
ze=YiSvocFnyRet{R?503=2Avd@At<yyjqf&v9lrR9(S9L(&b-g_f%&3=->OBuefI2
z@%k?l_nx+y#(4V3n;Y-XEn3_3xnEA|)1SDx4e_&gSI+u*(!!eI@xh0i8`j=1*s9)E
zmcb()$|XNZp|j6r%JXPXpTfKxXX%YMFWlXd^qpJqx>eg{9rNman;yOG=c{QBOI`hE
z=I`qn6XZ8Wa0@%6E4^3}&(hNUV1fI>Ys{a7(+;#69{9jz@33Lnd!G;1|5*5biKpv5
z+0rHPz+%thtp+DfC5tlN+;#EhA={KAlguP4tiEtB@svK2ouuYc?-UmxbbE!xob9%(
zPkZ0S_MgzWC8ehFkaO?(z*RR-t1tZi)xVloEAeT$K<vq1-Ag}k@7kiIDZ5<%gip%^
zxkDa;tCj0AE2jznUZCN>>u&U)tGtij>zQplwE5KX#ofzG_nZ;fwln+a)}#L}?b-FY
zp3VHbzJq6Gr<R$FulmFP=?<&8ZDUuSjeVK$b@vb3qv@R%v#iAKJc?aB<$|+$MXs&w
zxefCFUf-H@|LIje#XoP`(=wdbUiIl!{OvwlJ1s8n=x%Q5yK&lk{|U`LTI?BK{`yyW
z`RVZVJFC`*#4GOcF?r%9k(YmKT~5vYom~g}&a929T6<>N`S`n^<WJ7^pR`kJul3ct
z28OquOnbj&^Oe0e+iiY5n|tETn`<{7J@NW}#3%OWiFM7#S6xc0X*iO0{#4q5ZIzZ&
z&tAIDuUpFdF)n)BY2DLno!`8_dDeFAyGqYG`PBPcx83^Fx7y75!POv@A2)Yxaa~t*
z`H54%rtjm_ZRw|-Lypg{@CcbB-4P}eGF9LI?Tv&f@;XM>FY9-OZ8;L**ZQ-^)>}~c
z+F8CAkw(|u&);2M#+iTL<l(m+r%QFVi*xl&@~+x*yGyz`f5vmRz2@bQH(k3{ynD&(
zsY!9?Qs&A(aCx)fu-Iz9mz&OPU0z^Swk1~5BKp>1<>i9uo~t!%Co}1>oO*GGPe(Z1
zC905<Is4>PM$sMX0{(4aetW~P^L*8*bMroYaEP9mH!sui<kUFElzA<$1t%+H-#v8a
zGqcQ$AB%5n+rRn9zPU4|$n4;G(4uj!%hP4czZINyWgN4;+b=FYyz0lodm7zwm)`oG
z{Ho@nd~vRgVaK(yV#7IGlV2#XrPt1km?3ssxHa~rana5=m(0bx??h)$D&n2H*ZkN{
zSr66N9J}IGB}ye17|owgcz!!k(8|Jh<$Uk3&doNmF;4A)$sf{YZasWb<}~N_KZoYm
zOMacky!erOg=)%!iD$CUxLtXw@=EH3%Lk8|+H*2XRJ*Rel2#CQ2)dNiaOKcHjSF|b
zTYhLe@M>Pjw4ft97T?Gg+SBK!y~w^Z>}+@0y7CB)FMji19er@b!f<BW`i6*UPZ&#X
ze731jn*L?ygypK$%wFzaUH8lHeAavFo7>h8U+x``w0~tQ#o_7LYTLWPq&MJQn5BKy
zu?5<@5~I3){L`3r&F!GYiHTytp6wGqx*z>8Ma(Dptbw>dzeVS|O>a_Dnr2L{TpPkR
z$>zv~ncXfQxx%tq-$aD$Gk+!Qzy9Sto_qGM!}z6tDla*ISm(tC4iziYV+Zze-ZEs?
zdgGlE=l$Zrb(^qlF`M^#R#zr@6rN0oH11ny?4V)w#{KxG-!lZxWgLB7<?v?Dso#R9
z^7&+r^|@xge$t|NG2VHqYDMUrlD>_Cq86Q8B{x!3k~BV4WqtQ8xo&D=IOVU=$Ku!V
z6E+3EG}z<$%%eVp^L6Atj?C#w@zvQ@I{ZfYCc59^E|=yzwV5*>S1y!S4=a3m;QUAN
z&K$PF{zjKKeGI;xb?zJ6?KF8#B*-s+7WCqN^sL$lFRPZluC1&>51n*ntNNXG*K%Jn
zW)_%n^Nq;IN}j2i2f5gn&Eb2kP<7|js_!A0?@hm`&$~49!Zh8d_h!FX)+bjq_g`w)
zrWM~W1k9Jn<GZoKHZSk+@3Ys>H!PiZOD7{@)BfAuW^W#qm%FZGVO(5t<fq+(T-Cmv
ziFYhIpLojk&KH|{^}8B_?dc!FpC)uXG|kEg{duotx<`pV|K~ls_*1SPIJI1XZCQP3
z^W0y0&8*T3r(GzpZ&LgHCBVhu(u%gvf*bO7O`f4?&v}n&)}JTYDhpN`sCdpz|0;M>
zW$x~E6ANt4>2vJbU>m@Zv+-!y%Q=NV+BSW@ynIgQ!b?RN4-+>sD<*tDn5I#+Yfe4C
zTZ9~wn9Gi@C(K1WlMY7cJ=wVF$t2Df8`RG3Ty|>FiSCGFAES)U2gs$n3KUpv<ljF3
z)@(8HsCiL&X>lU$&r5hpjn7>Qapb9g{qB8FRz+CRI(0G6t`J4m#iH|AR5uCU)@u%!
zw&Iq*%Ga5D?WDac%=-OHc&2VUt}6DZ-74yT*Ngabd)!WaRC~kk-FWSKaqpLj$1ANu
zZc3J^S3BzoXV=DM*WG`-QDsWK*}Map0_h^j3j+5EJwKh}G4JK?^dDFDE<S9t;BeND
z#R;FSww~XtdQ0c7C-1|`C)P8j6~5fan&#-%#CZ9_|5q{oB9p$%RA*Z&kbX7t_s=QC
z-%W#z`#+nXcw&9#sM4v%Fm<QXvIUtR6Q8-}9NBYP;fSh|g`r!K!^@9pQF9bF96R!+
z#xlBaWnYWi+u)q*88%fMt79i~X+QDza8^2D##fx87sl59<kD_$LB`J&wfFBO&3Mi<
zchCH_o4%hHJbbdf(RSjdh6|a2S{-xE+MFYjFN-D5Y<;_{;>JIn;%gbNYkAl2?0@;R
zyEy6B+?MBeKC!Oyn_kC%B7ASs%J(<7Hx?OW8l{;@yneIrq25=S#owZy+~o|L6KKt7
z!^Hc2(PxQoKNgw)PdW9HJLiB#jq@Vaw<=0^mRgISx%%Tr66e0MllT8#lDxZ5C{*T+
z^`#{KXT~vyIE6*#WOMF#>A9(N(xt2I!uw=WQfJoiR^C+*J7^$R-_)hwSoL*DsYP7<
zYuhI$ezrUc?C*0ASt*|yUsJ#Rv)}e#hmv0IUMBPO9RJEaa<{eHteVcniC#?&`#klq
z^X_-wQbjLKZj-ZC{&KbS>DBK0nVhrNIxD|lZFVi!)-3(k%)Q&pCWrB?zBZ>UIcHVs
z(sK9Z%b&aLR{wSV`83x*%U_hcnfvnGneKJ9Y}+q?=_*Uh%3HfDZ>;q{_w9H`%-wl;
z6BKHzERXKp6E*9>N7vrln_o}vO<(%k?2+-_>+ZkiT0VD~esj6uoztJMM#t|h3)j`!
zwEp%P>9w<ed^NqO-?AZT)qT(SI_u|G?x+iBq)l;7Zc35-qU*59<F}Z`<|)Cm*KA+T
zK2PM$_dp?kzVMSf&i}~%_-3w^{L&pqzZd<{l3c%E{92m)POnhuPd|1aTdCW2IGq1V
z+n-}oD@&4Q-+Z%gqRizboflKpjD;6>`%FIO)->6Xhv}GGjL%CqH=jp`PZZAd{%!B_
zKTrJEk*j6#o&9<7+JA1>{XE#ZqUO1kN&c6)r{C}H<KFxI)lc^+^8>V+xc7e9b8*U<
zoYwvSE~l@~pT1*G+v{6*e#N|qow6r9cYpCk-s@L)c-k%c&TngP|I4*)@gaGh&#w;c
z_S|)0{ycfrQz{`p+}GTaTlgt{YwVLCS+{o;M(6wcPA<^;!yJ|?zC++}DQ{k?-Q%-)
zsr@gmGhYz>_vZ8B-n>-qnEhf;e>gsmpMLxFt!|<H>88KppQRO>&vyzcjE~FD|KPrR
z{=-+_J=c9G_kSHF-5nQUXH)m%Vc4}3v-0mW)qXp)Jz!ySPm`r+(`TDA;!;nU-}cR1
z@GA0K*B+TDj>iWW#C`|fQq?=N-gZI7jeq4{t;b`tB{+lo{$Gsv_J!%tjrNJ})St$t
zJvNkl6vwY1HTT<JPtI3winfaW6S=o7-Tt39moTsA$-V#nXZ_#It~bqr>(EK|f8XBT
zw|$bd$Lgy=klCDbjEQpu4j4J*UfzE1AFJls+RC-E@B1f|O1SGiVCnO75K5YV>!3@p
zeRrt(vw*LuSAHgNrOiF^he7jjTJ+T=+m4&QPST%lrN3^IV&1Zoxo(V?8I^9xOS@n7
zHj2+^?%E^sMkMXTR+$XeDRK@aKVDsre>LG}%fC6-p5I+Ke@cVLwd2QI=P6xyQ8>Sz
zgQF`yCs%&r@7lDIe|s4MdN#T`KJKrr{PkXL$BT0s5s%w~|NPnE_HWr+L${FHy|1GD
zdiPIWbNKrcj;h-4>wEttCu-?Tm~`@Q!eh-P3tsru?t7zYy4X=9;!wAKJx2rAp&uvX
z3QO4h)m%d)c$HVD+`OC5v7Yl^YPR?7V`0ip{k|49Qk_2{qP^w!tYAL*<Mf5(|8raZ
zRc)*K`}ke{vsCp*|H?0v+<S7-A@z{@?8!eS?_2%a$<k`0^UceZv(-K}YOeqBB+oT#
z-h{%R6$*{5d*c;yjO<;W#=X5Od^@_+#8Uo>*XgTnR;KsQ*h?I%*Ph?0-@pEJpM<B6
zfdenE`YZLK;<r00riF&^^u9PR&8MDY|6x;oNal?Yjz=n;m#;?adEK8ib*0M@o@Ls4
zwpBYSq`wNVEbN!_TPpZR+V)JgrFyFV#0ift@Gp|~p1<Sfzt6|ZFHQUXDQlDM_eVUs
zE8lg$xfowBVK&P)g5zKG`j2w@9RL3RvaJ4oGHu1T=eL>;ww~`jbxG-0zftaE{=Z5t
z`_JbF#V&S_m$d!8>f(+yq6h6WKChMCZo%nUb?e#1=fC+5zjax~tg5s3%c_f0-sl{(
zFErkAr7%P+)a|^@FBb3fKC3?8-J*JZVbY6LH(PYLyx04#x}1~$?SSUA>eapSkLpTG
zmitzn{dD5x;uFg+7Ul5xY+JlhD&ttF+$!#S_w=*Y)&42+TIe%#@js2Z9~HeW{|vOM
z&f{rb@gz8`@7G~P8GFyOIS<2*sQy12utM|0+U0-c?yl$IQ-3b}=U~9%{r@fdoQ_rp
z+?-Y&=y`q4;(4vFoE~p=ux1nP`(<}^(=NBCM`k!HX|bJeeRTDp(zmKQMW&-`SHzuM
z&f3wUp}>6l$4a-8%f(;xFn{k_?A`O>KI^B{?;a=SzfBRk=@95O`I@Ps2j~6|rTSa`
zq-v$i<T`ji_QEOKFO9#uK2A$sbFyBN_f5?^j(>i+Cdbb#wPk$WVe{$a+<O0%`8uqb
z3}3F^y8icm=pEZPhKk-cOAD^MzY2f&y?gupfBQ~N{(o!x+o%P$hb`Rqs$69)3bcw`
z<$KfG&0&e6*HfM&ivnM*l$~4HWPbX}`A2@&G~E9xKQoJH{4aAp@PBP6w_5Ct;0yNc
zr~d6b?>l?Vf_Rq#|CxVUMb|8t|8>{0^8ZuJ?p>4j-v2NC|F4VRzS`~gm;TkiYi3(>
z?LU!S=Mzj$e7K~@dre@$+Sp@%yxjtxOfo)l$=lZU+sDiQW0^Ge)f|d<dw)ou=grH`
z@7?;#Sybi+1$X%swe8P(aeduhCGP7?E9%!?ZCv)ley(*WkIdKo!Lb?^XZ{Fgd|0N-
ztQ@nxv8%Lg)4l2|=N}Y*%l?0H$!`&>3nAwn?9!+F`rfqrf5K4>2ZN?EhIx12UykLv
zU)vb)tYo!~=ckZ6ce?v+`92r*bG^3LvWWXJ?cjy>;E#IoDWYr<3i(b?_8&iZ)c)JK
z8{B<WcB{C5WSblE2<{hIn%(z!>RTVlsS2WZ78vt=uVW1Py7@)=WNwbRZp90Hf0;Kg
zo-a4)HRCqZ|J(nc>c2dt>YMh93I7hwOnn~kM*mB-naj%Srn0}6FDRVA8`yS=PwQ{A
zANwam<|FT375<;bEb{l(R!@(c$2@zl3UeuLb*;Bul_+=Y_Xdv7h0=Si?;XBVFy}%1
z#)z=hx*rd;&HL?Pu>4@&w2jkGn{{qEY}+r8`8#C)3&W0ZllgYv?tRU#oXH;<^WA90
zIsO0Bj+d@_%`kD|xof$JkJ<jV|CsrsVacDGAnByiRpoZ`UDOZWeN(ghMrX?f5yQB3
zm*4YNS{vzme3$$qxJY}?;X?axq3Mc0zvxWmt7pi4b|AZZhEB>fowj|yj8+{gURhje
zT=(JZ&ew{OIgyto#jcyQZn|MK>+0g5%@wHzvnMCtEo~1oKNgm?BiDszmDai)(QDt9
z{ILFh?)0wYRnJ0SmKl|^SzZrp+BD;h_(dUBjhSB8WnKC^K5k3kuRU0>SNk)Mk-P5o
z1E;zx^8)HFXI3)*DG7Nge$(JQ=hM&~x@&BT!&Vnau1?wF8T0$lUauQ>w`?uHXDgSW
z5L8=!A)Vo3>x9|DZx(sK`*<;Rn?ssP*xY-+#4e?@6?^?^blY)OEi^JhUBJ43?Z$1L
zZ^Xi@tG*Vo#?JhH>&AxCHEFkFm+#*-`|ID>t=GNs)64D({EL45;>(*m8QU^yS++m_
z)&KL(+mC(b;p;uTbNzMAOZxqeC``Ma%y(Dh;~gE@omGEl?~e*SS1$Ou>R@Km*Ivcl
zNzGG4cb6?|UV6yX(d1zLl_#ri_if=%;*3%Y?lRw^bZTwEul>ozq8{7NTky9|lRp?y
z_Vj0z$xq%ZCF?hcE?@OLD!t>WW$L|6U$%a5cy>!Xn(bxRoy7F*AI?1!+3XRz-7S5^
zldlmazG4v-s=v>rexG(cK4VG$@yNds(z7pa@x3)m_tOV0xsMw%Zu~3Rnz82d<d%0o
zwfwCYe$Zo|$}OwT_Bh0SM_akfsU_FMuYFwd&slx-x_x4`#}@zj7`eB);_oS$_+OU0
zMWXlWEBdRimN(T8{QtvHq`08E&TFH|@z=3miXK_+KL6fkefczn3$2^xy?5Qq*T!*o
z(iO)YU+2XLew(Xp-z;QtXpMc;jBnSvZ<as%l`&0V)fbi|m%1-UCHuZ_-9G7Rd->vz
zK38Q}dFLzrDs#;deWK!#t7-BvY_sn18|Kr)p4EJ4e*L|)#P5YhBG0>+**oj6UWlCc
z@?Vqpl+R7ci4Jvo?>c{VxA5EkIC*O7l!U0p+4B}#Eq_^ke|~{Y)e_^C4C_N@s-M@?
zN)UZ2-Likr?gEGS@+Asc>CgA`l`XpV$<OZBo7U%#i?4fcI{fF<Su?KOdzO7K+&=c3
z-Oj1mRvB*dXqDwu#g`l7ZtYK8yvFit>$`hwm-m(%$yaT<m3K3@<9_w@Z;^~9()Knp
z=3a|h&n#GOw&uRig|Zy)X>W2fc69D~Eb(WK+x&uaq3+L*Pc&Yo$TqiYmHzgkEqXTF
z>hzx;42dp0w>*vgbm(h7@t^*CKHATJQXSWF?1dlG&cF-HOONx;*VBBwx$fL?*Utra
zZ}7LBEa#a#%f>P(J>+F?3VT1Jl(O~p!`@cy(>F;RF?Th2e7tW>!oykdht22p^H<oV
zo!<DNaGlY9u8#T}oiz*pKEEN%wyd1TXO>N)wvxTZ(e8zBPwbX|`tIIG+j_o&eGbVb
z-7CMHzHOYs6g=<1^SK4}OWs$WEOt++kvjMD#A_Kp+2&u*1<n@f$oPCR-6Zs31COWI
z{&JTT>rLx9I3zyQ9C3NEVWLRyM84p+d+*-<QtfJR)X4dZb=!-*nzx?3%lf%*>F4R^
zztqou@Z0Qp$HmwMJUqd<3m5b+eqyOAIlr^mZdppG!{G+4R|n>7{`OvLeM76!-i17m
zL-z8jUEEM+d*{=g54%nzD!auWm9LCrRGxNGsGs{$ym@=U{hdjF4Ml=_madqamX^5B
zYSrdM%WXQZ&uwONuRY}I_BO+DmGIK|<5fwgx!&K&u&8|3)9G-}(s!G%5MSA&fPA$*
zk2U7%v>WI4m5D!Cw&`0N=ZcpvBwLgXjF0aB@~b?b_wGaf4FXrs*gg*tj`<V6yS(=9
z*<-hg%GPZzl>68FY#A%#d28P-kEK6t@tfveJg?&N6m_`;^R-{Fl$Bj$5=fW)URS58
z`8Z^aarVMU2jLHsXRLb|-T3s>ov9&b*^XFV75H2JS$Ivtf)78#<9Q1gz0B)Bq_=jj
z&(@!x^y(*V4Z5&Z`TcE<xGQHiL|zFkZt?td<jcV$6+dg5Cr`~+O^u0OJ<t9};E$^t
z<NjuEJ;MA__`k86k>R8&lL@mUKF92Tx#Z84U!UFXwcGaHyv!MywZr%hPm$U~zgNo*
z7T(_d)#iNKf06nq$;BFa;fJ=^#8?_Xf4OJhTlvGWPxtNK6*Ws`_ud@Yh^SR*n<Y=z
zmbRN1CP(buXSvDr`Txv+SzCYKdUUSp%!z5&&z+pPbLqjEV(HH7FA7Xvo4>a$d&x(!
z|F7O|&G(+&HqTr6^?m0ZtG91cV|YGsg2XSCn|I~CS9|V`w*DDseOlRC`1aM^`}jR}
zzxFu)HcbEPtE)4Y6#r{>zYxdHVZ2m+(F*Ukr}J)~Qdhf>;k~fs)TPkRA*XJ+HP81b
zf4Fhm^1rA1C*;j9+ZQPoUmo^HyHTOhN-M8o!~R>ZKQ5Lo%s;~W_VlFvGEsc%1r#2*
z?bb2e?mFehOSzXT<feG^T{tc7@KiD*<=eh@Ss$}sZWD>=>n=HKx@ztWvF@VKz*Sqc
zoI{u0KevD4&DT|vgL)SBo_x30ShuV!a{J}wOQS1i{JOIAoVa(he4fAf{K#V~BK>{D
z-FI<mhklEZIjZwoE7WMIWJh!46m9pJmw46Ai~rp_tHAocxp5oY2gc-c`tf^ysqu$O
z{$1}TU9I@`&lWxJ#V<Rfj84y<D!=``zt87xm+i?LeSPj-%Vc{arQ{v!SIJg2b8l~~
zu1wv6j>>Bj>ZZ#s)ZMhsdF#(_v8yjW+-h{Mrg2|q)qds<W3Q=?GTE996eTA6#cjLr
z$zjRrt+uBbu8Dr=*{{pg_WXHSWR{Qk_PqP^XFWS_e^y|6?XN?3H=l0un#$6f6S6dD
z**Dz_*;5)L#e9q>v~OL+yQ{IMI`_%ZjC8HpQoge<De^CBSsdfPOj@Bq>(Z`G2bpI6
zowa+j^8cG<2E3bP@|3?$ZO}?N*;yp&Z7y(O_N;edt5PltY)qf&7WyhJYxV&y#@xc~
zpY?yKZ!b+}cG}ceyn9n4Ps$<1RXe^P|CM9^<loGdYYo?0R_^0|9aUpJe^1IMf#6Fo
zkGzp6_q8mZ#Pw*xmLS#GS&W*-f}xT%*77q~%0^%Bdq2-|bIs28vgRs_lG5^dm!yPx
zUEI$4uI}M3|DT6Mv%5>5mh?<$lm73#iQVTUw+g@FipGoG@o_i1%eZ58&%K*vIM1xs
z^1>}!<sE<Icor6Ii?3TB(y73I@!Xr-2b*?1m@hN`?rO`o5fkM9$Q4}oH}2RuSKlww
zc6SWN8y=}CX$ijVQ!bo4uU6Yx`QAF?w<GJ+o7`V+?ed*7=h^#o&0lE>D?VQP`s7Q|
zq=%Z#A0D20>#B8U#r?@w*1eI);`EuBbarj#Wh+U|L$bHU*Iny*;Tio@>cp=i%L`7E
z%(Z9NvMmircVrB`X0wXBY8Puj@U|`kPbGFwfiEn<!5eMN%`&t1PTnv2KlF{u|Mqp$
zw2%J(Sx`3fZR=_~<qfNz=$)?q@UPQ#*Cnk`w)O6tlVdY4^Xxh4#$MX~;bM>muer>@
zTQ{a?@h_G1iM^rk6y1B~P3=|F&q>1l%<dcXcJ4m9c-_Oe6K`w&-&R^3s~<QurZ4PE
zCY!HJT}*t(#C1j!*Zp-UHS(GoGof|f$@l#yU!`BG3g@c0Cw6<y)(FNa-Gy>93+3FB
z@-Do*Sff5E`PtX~o}5_?BK9W&pGaBDni|zD-})*yX6fu!?`+YSJ8afriD@%Z(<-)?
z-gw{OxFtw6L*(~X!5_WvB4@p+JFNQn#=Xd*N&yaz^ZPSB+n0P;#qzw%rvB@abPEO9
zWp!8YDg_@Z5|#`8r#8#z&V_kPZx*SZO**>0`kIu5_9l&lYt5p;6W9HZ2z~uOD)jyT
z5Qo=h@(OErXit}&oVh`+^!NYcYa|PAzx&<2_p;R+{@rtX*2)LGo@)Pf^RxH&eqMUW
zA5viSY3HSxVQ*DGN*wi2-Kczi{``pMk`K?eGi+b{mSyYymH&)4{@Fdp_TG;_zw-Y_
z{{3tC?}F%)m9IqV`H%k0<J-SKci+RE^Jm1^a;#O13EASZ#cEyO!?#Pts(kKeYG!ci
z&HP#!_;d2}_5UALH~1Vd_LQ9~aGT||Sj!s8X}>rM48lK7)0RFI()sbg^}Q!vvClj9
zW$C(u)(g67ZtR})Re9U_7k;bNWmErty1QBK_v_~~Y~(q!H569Y3zs>bne~3sD-D^v
z&YgvmP3NSRUH$(?a(Wo^`QY_DO6sefR{u0n<eMs2ea&Tik^SkT(}L!GUEX-M@lE@Q
zGZx>cJFp+*uDmDtNs+n8l4<>``85p;IS=MfnPBR>AW!AkZdaBo4Q3CD)r?O@HQEHu
zwW@r`xIw!8naXaZPuq<0{n97QUf9|iaAjuFMy1{BrEb=1F<L0k5S#5HQXIX0ckz-^
z?MY{MTda<E`aE}Y)VrWL?qze@+ipDjW$9*e(Tt^HshGXFPvo=dpHDM0we&B(=>MwW
z_|?fu8I13@l&dz}$qk7$d~s6kK8J!(re>4ci-##otm_v&6o`mVQ`lmsl~KE8|H`y)
zbuVUhD$h6lRok0#EI0nvQmc74tXE&RmFDO4QJcYA%^S<!pp<&AE64erx$v}43w82X
z-gK#%Y?>r@jU~eUQi@M|NnL$rtNo>#PmYhSEwt$to%-&kWv|%jsWCf#q}e8&`TU-v
z_vQR=hj?u!%`%xO`F)>5Kz75*gVxe#%Rh%mDV^D{*Fp8h1BT6S|LU@-Hl#EhY%#r?
z+8G*^mani_N@BnH+B2*tShUW#Z93y7!KhvETQG(#I+Z`)XvP7x(>eS2(pXjI+*;mx
zPVdc%J3TLzHMV>{Z}Ww{_F>wBW&YK|>i^W#rBp(FXESOZ&)u9oantOG8_m-W-HKHG
zEyXM$_1~Ij!Nks@DQ+1DT#wyrvSI%DjKO4A)a|Q57rA`Ax#d_LwIgP7l<+@l-cl2u
zmo>@zea5b%UaAp`W*OLA)Oxyti81Hf)kuNux_*)3Z>B2F|MD%q_rt0in}6qOSn=H|
z&${sADu;vC4#S%7$0y`_zpBYQRWsp_hvtUoeW7dnt{$6GSt#<0=gxb<{gut94?kPd
zes(8s$=#OtQ|p(oFPH8wcU%(5U0dE+_VLbFZ+YKM^Y5D_K23M`nDfFeyKhJF`nNCU
zN7kPTdYsZX<NCk$e-5AjG;}7OJn`iC{X6YEHjgvS^^adZw!!{>(asG;34b0nJI9Mi
z8z%BHSs5_1&Yq*te6oMxQrW7bvTvrXWQdq#d?eO)O?^zwgK$BUIie;t(`!$xZw-@s
z*Edl}HR}I`MR6H6c5M!OQ#N!aZLmDfY-ka?{&@WPb$>+C9(+F0QpISn<B+k=3FDgZ
zJ_f^v-HL2ixKr3Ra4mS()xXgu%inwIJTDhx2KL@PtmU(}$(BxBeW4~I?nC9$Eorki
zzTV$${NK94P`KVCz;a2_<OL_c{1%`2YD(L)e@{<^I-RiI-1eM(YVEn1rfhbWidBWR
z)8F!IUbQ#!xtAh))^4h7<BDyOUw6ccU6|w_9~&6A&(`jF>f-RYmk-1CZ85y5CHVQ6
zcUkdI$5Pg9x6X3E4hq}1J485m?_rben>Ih%weM5!v#gJ&E=dc1KkIqs(t|x`|NJ=@
zR6pr&&{vM{A3_gpd;P0V``Xdm?U|P+Y<a!W%BrYzw#)l7dtV1{kSM77dtCfo+2keD
zH^x-1+;*GYon7Z|TFhy=>zB&hc(dYFwp^FBIW$La$qRpF=N)#l>@Mq+O}r4QUc=OT
z_HlxHy|k!((5m%w#SNnlxmKm#eqwPkeYJ;tG22(W{(@C{y}d1`zCTjay}-h4`ZG^2
zuwFLR+NgBT%y&wwHlO?GBK^Pf^on~{w}f+<CYt}b5qtWd%+^W9t3E$<T7IKTeZKPf
z%W4Hqb3W`mC#4khQMyF;^SZa+SALybrn{SO#nN})ujVgY>i7El#Lt^+)}Jejc`0jZ
zf3Ix)6Yt+`YQ5?|gL<l>uLOF1)ZTf0Ue%*ZM_F8!%s-z#C8p@E`OfzGxtUM<-`tVT
z@qg>>F6p>jxytiDk9uj8<&27Fdw)HB>~-#n@WP}Mare(BzIs3PyoJZ1yUlh*w(ehN
zP8E5&uTy=$Y^g`tgKigNxr>6OH(sy%`ntt^#hjh@Z@t@b{p`A3=1gf7h9P(POQx${
zo-xnMPHKH$xA8Bn<G-%Yv|7NX@HTmVx5iHC)-;{>SNUc0x3#vma3o(jTpaQD+pmRJ
z9h`qC|J3<=qUXfvw)PK?6{lUPnV4Xr`?>n-|Dex@b@*S;uY6N1Gw}`|%m2SSg5<Z%
zNRj#%@HOhwmHJbG&W}F4`RbHy`R}@<az?FP_1@ZT2G-h^a^CyP?sq4?dU+wpDAVV^
z$39N|)F{1g>y788)Sa)<TXn)#_>$n>mGx~CZ<(B1er><7l<~_2`k@)$9_`(A;A2|I
zynxB_JFmV>e?9eY*yit*G6u|2S;4inZyzjP%6Ibl52f9Kw+>pax!B<mKmX8o<Fl-b
z3s!5Yyt14jwrPRi{X+p?GcvUA1?@T*W^(%EONZ#d@0IIsdllug1hg9!Z*GdQe<7#q
z=)(3>B+8ll>{7$0zT1@a<Nj1^7A}pN5fR&;pE#q6^QO^_mA<EI?V7KiKk@Z{X_|mU
zEt5@D{5!=Nw+(N0=@-5GA?O!6!&2#I(89?3)up>0pDjzMpCdcT@`uv#e(jG@zm{I|
znd9|L;l0WWy#?RSCi1$uRQ*m^5d7KK@6~!^UnX^~vnrZ*5BypvIN_Q5{&%I$v)bK1
zIPm;De8rx7&W;%YrPtQl)_!AismxUgIu?`s)U@*Hf)KU5SyGD6eAPB?&WmHYRkQSx
zy`6yMl3T?k%C+C3Y7&D#-W1zV?SI7AS;zE<{_BpqUi~GT@}9l&wLiZ0R85LPkedI}
z|F8dE3pYE<#5_@J!A#kWYcAE>?)xB}aJY28&fWTFK1>1Ok@M_-&t#cuEa<LUcf+pD
z-|9{InkOX<ia%dF)`q2K{%a0U{Fm`^lkQagl5=zGZ`d(kk&wCITfJ+lMMURYw_TxK
zUi+t37|CnvIIou6bJ#tBaSc=JiM109QY0USvD#Ni&i&2Jr26d&$ER7c-@^I5dY9|)
zS7vmSFNxkOZvJ<h`R)C;ub=#6@isGT>5H5{0(&^sPb_uXT*evf_Bw5IP{nS(N#2%g
z>=T}Ti9Re{&8zn@;KrrDF*58as}iywoi#o3SJPT;oqT-7oAB8GG56koIA`<w`>%D5
zzjvtrJI|{1W-}X)tjhQ6M|SU@Vk0UW`6(#D+#yZZYnz*b$!q;f6aQZSuYbw>fzP|M
z%YJp=JCVoyC}7d0`evoViKU;@Hyv59#49j;*H$+Z*)@IvMO@1ZwzXYjT+J~>Nh7Mx
zLLxKjd+(ZQlQv(fIMt&1pWiy4Q8e}Yy57S9m%Pe7JUK4Ob}e!A@akOR(Oj}zNl01o
z(t@Uj28FpzT+YgO6`VcFc|X>tv8}m&-}3qIbC&<#ulZV=ZJT|5>-yij9`F2KH{);5
z>)QO6U4OT$%~`)DQR0mE(#k`+SEp{xV$7bKJ>^@}^S!r~kCyz2tJ#0_riW9=)9z_A
z#m)SMm+vg~s_;Giplp%b=>;lFoz_Gh&Y7%j`S{DMOpA8T*2E>Brkh??IjhfqN5xWO
z^NnT2KXyoqUsZT2G-cgJzHU}u)}I=sd3~A>BX#qlnP(cl&Z~L0anEgrS8ZyADL#(p
zo8RRfStNDz#`QjKtJzO4rc^GM{XA>J=gJE_1tzv0XGP8K3Z1;(^WjZi6ib%n(Ma#&
z<vZL@{d&@R*wX7{NBhRh@>cFEC-#|sp1J$-l0VC5ROEjav0w22$?dY2Uem05%>w^M
z&E!m$>JDT)%doF}l5$<>WM|)}k2kJUQ#}>U{9g8J>vowdPnDP3rk%=tzWjcPrN#V*
z;SWoEZ|m}LEKNC*^rj@W>Pc}$+_`1$TwhWhmp^-__AR(L?TPFGoim2*%M*?yc{!Vl
z-&4AEV{Pu?88=mCy}XgQf9Xmc`Ijp8lTA$LOAFVfvF)+eNS^Ct#C>Gl-`Q!UcKs@K
zE+1}We>y+6NN)Ls_k!~EvxP*@Yg`f+d^|^pJF_U{^3!FWeXQlPLsE(-x|e7;KfC`r
z`-4e*;kBqI2BIq#KF;Cw(`Wl!SupYVIg^r?Oyy$Vw;a7#VaLDYc9`X6E{BTb^-5*F
z?Xw@x_d3U^dopDA=a}MmZYMVy=U;mK{)vD7%NtooRof(PC3&lV@;;`jRI$J}=FptA
z+fyoUoO!@xy>Z^Uum$&5FXGr7=luN5*%Ok*o4eRQ8-F<RR=1Dip#9&|O+mauFQSs)
zx&-s&zZY~{`bI7D)vchaj&mJ_?&mUQhR#q_-jiLJ<LY*ydv53H9(B8IS`#gf9#~Z{
z^(n{q^JmT<Y1x1CE%TDQYv0%}74$WJ?_Rv)R7TdM2ffUXx~n=irI=Zs+fw#@%O>Nv
zWhJJoBj(NLtg=Z8N?eew{Z7#;RWS6{lZa50SDT)4=)CITc4Al)^}4A0F^ADFk2gM=
z0(aRzH>!t6<}L2DuzB%)Y0f&Ms1&6XjS8id1#RanU!RyMw)gGd1)T3EAGVe#t30$?
z`>}hscCd7E%g64{k1v)QpVzzPX>s!4k7^n9&wI;d6eh8_+jMK*`{nb6d4k#>-uBf&
z+xjMUUWhgR;Id~@zu@bA<%ce<i!6D<QL?LY!R(tJ4_Au6eab5z`yw#V_>4}~_OFk!
z_S~^Je>qFp=)2WA-ua3L7#e5V-p@^q?OlG?&%Vn?R!dBC-s9~Boxk4i*`ynjAU4-~
zmZ$U1pre;26(7Gn_xJtS@7JYM{W&x_|GxeA&rGF)_2d82&88W<3Tn&cmp5L{`tI9z
zG>3im%j}&Nm6C!vv%Tv+*TwtK;K<NWIyZgO@`kOBH+Sq5y4U0zDcpTL`uD=f(n~>?
zj_2*ZJiFz~moGv0s_!rVc73x`<;xdKR1UdMJHBek@xC&v{#P|Mef+`de2-Trv}mn7
zKG}JN=90X>lbsiwyPfU5!0+}})gBQ~>v-|~UaPj$oOu&-g>|*P;;*Jp_u2QfPTS9K
zv3J(LsWPi>NpM>lu`Ri|bAkJ;<xfs;sk!zh<_+uWhI*$SR_*_%c4z)f`QLIl^QY6z
zoj<t48vnQK*jp>X&Aq_A|BtqIDi6=4Pdh`mo;;ti-)rNRnx;1~TkIFcGOE8~`Tm5X
zZF)te%U)h9^U1b5>)-9X_<FLq<L>9DonPrME;hcE{IhtT^wgOwjb)stYhO*jxZ~y9
z^1nqJ-Bi`~FML-vfxl$UFPTuuUT^PTSHgb&s*pYNW^(kQW&5Q4E8cr~AFes{y05$|
z{P^)>erC@(LY4f#)zt1zm^kr^x^;Ej?&Gqn+j^}h>(=Bg{d)9>Q0UjA7k1h8i0}w*
zd6+e!cj5kkMxRAxQP%0#byhx2izs~{dF1DVzK`NZ|8rDr;(r{xbiUrsS4t<YIjG5(
ze-O;#{r-f3t!nCg!=0~$G!8i|;|M>_x^-@K5y#`IsrQ+SuBHa;YxL24w}AVVMEUhK
z3!bW7WiS8pFzd{%jlYau*@|!BynRxzX1!Lu^~0@C|7V|&vbUahQt-}R*$e-Dc63-5
z-r0XDHAHNds8v&T$@dEDFDrE3xg7ei;Ny=2=XgJD{PyI*q0-%a6Hk4}3_7J;^RHv}
zr+$s?hYy*rJZl<Zy!ue>lYK9iuG@TOu8h9ciYpznY!=l-iC3OH(zCv~T58v+D@up_
zSIWNMu&?@{kG<laRa<3pOQl*bO)cGZevQ<YQ!ER2yuY5T_He&+?yYNSdS2Gkmzclo
z^;;?QsARY26{gylgZ6VaF)zq8I3{{vPw%40%bu6N8o1gOPqcilqTXk!X>e(t%S*TZ
z`cqlHRmQ=e)Ga$pJG%ah6#Q#BlJ{lDvWMRUxBVz?-@P#VUfjI+3K6?2U4G|3Csqk=
z>G%-%ZRW$b7mut^ZCU>z*5_`{qw<*_Y`uP|*i1iu_L;`Cc_Q3`YrP(o`yFgmQ&oAo
zEi7hsaM79lHx12<XYI2*WpJHw?K+=J^QH&9dQh|PrOqlN{e!|s?`urXob;?=_L*xs
zr`(kl+#HTRnbX9YtNmuy^^JR;%sG@JD5P~?<@6WNG6wlu+pd|uPm_F7miLV3Y_Q=U
zftX*7k5a8ZaLjjYIc3im`nPch^JI;eS7xUaUOVr6?~&lXxoJFQv)Oy&?Ukd2mPyEa
z?om>7*?QVxM*MDDHY2yri!0k(e{rsEZTYs&eWiCyxk-8O64jLdI??khRtA<G^qn~O
z-6D<sM^<-Sn33Nzd3(|_iCEPIDlPv!WwQexmGl+Fw@<im@#_j}j{9j*P8a<*MdTe3
zTP_f1mN0c{ft~OvFP6XTEtZ#Geu%!fbjj&Xj;9UU^S7^AX*cOY!jj!=R~9>Zui!k-
zduLVJ<eWF=ZU*&>bMEhYmA$<<-6`ea|Go{`C(nNBy=|#+VbAm}EpI=3`|#15qvP^k
zmtBVim1U<I9L_C1bNpGv^`95l7e}_)n1%0n_vM+H=eN%-t0kR#?*7W}j9mBWzKB42
zt>!-sXSJog{Y&O5<d}u|^m;git+Oyb96Ogco%>SR`s#%jig*q%{;YWNUTNMpZMAr-
z`c7eAJ8|95rSF9cq*U+6Mx81bnX=-bYt1tGBR}4K`V_n8RDG9&OIq65Y5hL-cg*+7
zCDe6YV2z4ev`c)JuD!XvTfV*PbGhRa;?6utTm0N5bGO-TbFba|eKx+AxA59&*wm7d
zoNi#n+v)M`<K;s!PJFQ<HAkM-=ta&`-14R;<=8GmW<M6|_aFD()LVU%&-F92{T82^
z<d$0JZ?7a5O;e0Lc3ZzQmv^rW?_+lH0O4oFr+zv}{`e{V>(+VRBXMh-uU;?=*ImEI
zDs&|uFKgaHg^4=zgYUVk-SDwfnLbOXZ)W3}siMJCzb}kvxUqIm5m%q6KoZ-M8wz(n
zGY8+D8TMV;X?5DXXKdc@q&!ZoT6)J^Sz}S%ME^4?x$;v#eEWT9wt4sbS)7?VXXk2b
z@7~}2G0%X}lz+==9u3#!zaDORv@(-B-aNtiUg^?HO%lJ^Hm)jcI(+ER^zGg2XK@<d
zTHMp}{s5~2pI)78^Tz7_NuJ*>UzT`()_ncGtgwlSywlEW3!Cl=;8`;5_KF2*F;}^*
z*X-9iw%)+b?XlfLzOziRp$TW#`81bni&Zz?Hu^K+>zzYq*R?k2pPl&PeO?)FqD}Wa
zhS;qMbKQg3I5R_cg!q*HVAM9>UBsy3yX9(=UgibYjrj)>ep>7?da0YZqTZ+E%8hB^
zDn~cg^ZQmwz5Qt1m3-?nQ{%qm_5z`Zn}=P`R2Di)#&^ByVR_Rm8kSIGD!RD#lE;r*
zM{aYo_h!5((6RnF?Nh^Pq0dVueSBb6XKs6Q=XCkkIft{}-?@3|?IG*Bluc88rfT*+
zUz#QtxH^5si(IeoS~eM)e9lW+xK(f7i8;Ui6x-Kryn3w5ew{6O^we~oP@a87pV7Qu
z8#I5d_|186g?7pet3B-w*T1vneBgTjK5_3QL-Wt8wJhqtPx-pfzVFJal8}Rc;wG4R
z2{V3ARJhB%A-m}1)@k$3-}Bg*wCZ%ccJGUawl1d^{h3v=GuGxvxSGz5_21^kU5-+*
zs#}x0;{ENn#WTOEzf80Cd8#~d@?N_aDIe-s7Nk!!Y;UgFKbzZddcTYBw>E{J248e^
z8^WJ!rTwxHYn=D=Pz*<Yl+0!i&dr7=XT=;!eX}_A!@*fCN~+&3>}IIe4l3FB&Fo$A
zx=<d^!xDQey58UZac<`}BbB>H|41b43o~f4Y~8^d#LxQi@SV%5H+Dw#?MXhiVAX@q
zKbNn$V9H{>Vy^fW^%#HCt-r5-=Lrt{Q6DvVP15rFB@ZvZvh$p9DqOnTJXDOm@<spO
zK&1?c6Vjz@=2PC!?`J!isOeQ{{JLp-IP1&ewbPg-nZuX;%D?vJ*Ndw?Aze~3xwXY{
z_h;Q**RAuwZ|T<EcO^genHlXnS#k00#Fl;6)mkoGFWQ-TsC@n2kDAv!Q**c8xaf8*
z_>eHCd3nCNzdC<^>1-|Y>hEt`=dOv_rt@&};z^zBuLZ?btKJU_|FpMX&ug#ktoKXo
zqyFFcUi<v^@n{{UIWI*weLglZw&;1D?dzbl<Xb-fN`42O*{OT;%T}W*zID=`>tC?0
zd%3Zu;`CIBD_@@-GDzQbaB<Ivb$_^%WL|DMC%MvjwcT~6r@HbJt%cO%lP(rKyB~1w
z+}^(r51BHnG4_2aF*L38KD~hNX=U#d@7E%?^Y!oe`k#H<rE+SgR_ukVD=qh1PucUb
z*ta0A`p?U5-;C{D!i5s&E^bYjZ?;t6%;KKx(p4{o#pZrBc(cz$xwo>YZ|xa{)p~M$
zx-qAi1KoNZ<hPbAezxuew`KV2O}VEfQeR6n9SYO(<Zt@er*UcJws}kooodzvPndhK
zAoLIO&IEb4$d}!7EPk+W{P>xL(>?BUq^OhFLA!FN8avIq$LuqXTUIrffBDh1HFWyi
zYkM}_thu~%-<Hljdb{W7&68jAY!B17`8nxtZf9RQb@TJ<S1*O7Ub;P3-hDrA?d8_w
zDzOFE9%Yy2ufJ+2lFIPrI#=Q4hkx}%Co7))!uhqNO8rBx!~8qhrRy0TogcnlUFsqA
zj%|aE!`_Ojkm#x<?9(;*D;mqD7UsPCm}@xK^We)bJ_Re=<;|ZSWPR+Y<jLx{;{eNx
zX>*^|%zU}0X{F2rNAsw)OMia+IX$FCH}T=CPoJ)DO**G=LCVY6^5=GO<EcJR?-`{%
zGmXDwvbXQ9_|j#mQil(I|I{AhXZLKmi0u3POA-cx@e|*K{tTU;BWU{fr|YLL+=pde
zHn{$q!y8(~8+guDE%kI`@s^FNrc7DXb8ebrd8Ek$(Jymuhn?f&=eOAJFm+v<taNRo
zr^AC;tarZs*)!vTX>QA^*I!$w7aTu*eUtN{_oq(YpERA_Of7j?*p^$LGfWp{H!a&M
zQxjHG^Syb^tj(sr)uul70xXQ8(_32i^KkE<;&(LN)l1XE>!;s8nSjE);%~Q~9e1(h
z_-}pjE_eOpxP2@BG<4-RPWdFLsrr8FstE=BmX*wwKbQAk)jn^qNBzLY-9|QlJ}$k^
zKYR05-|DkI_6LncOwzZT+5b~?E&FwU>Z#KwPan$HnQIuVyUSYZ*P+(y6+fn&KXl0X
z(Ba0lXWd=BI{m#)HYJ!c`>)wN(LC|$Hy+REeUg`N*5!JiJvg25{b9y)pM&>_CC#cd
zG?tBM%t;ZmtX%r~&8AJCqAp%^P}hqH{3zPmdf#P3zQoL;*|SXrvZdE%W}P}-ZrHr!
zxyYg4x6d3r?(SLXsQc!iCd;>FD>XG!nf~0f<DQYVWjQzh#fk&LPdoB9s-0RE*MHJu
z!q(T-4?q36$=t25T3`MP%l)32vv}<5*XSh}{pnkEd|OAk<UJqX$q%EO^0QvZ$4`1(
zZ#?br?mum-mNV}=&ak)bq`{g0?aT?@zozqT49wy?n5549ck+tF3tsE~O!Hjx=w<#H
z=I^W@-&$C4=&0P%T(!2~nCYY!lA&{%?6zC;uL-sE_}RQ#hjn`{`>rEPgHsifkLt(H
z`mox@KkLia%M09>8OMeiPhz*$W)|ghY-#gsYmb{MnirisfB7;FFN=HA<KE?;i;ds2
z?Ns2Kht)Pa_w3vACgJw}yZayKyxbY|GUcz&Zma3lp>J;XId$zRUA1TL&l?%9u8L0G
zbvIdGR%7pvzXc|TA9{Wb@Vhy4LDy4-*pDmk`kb;nIsM<qkha8?+Y3(H3+%tAe1o?y
z{i=BM?nG-zd#m@y@_wJ3e=9L)-ZGoO2D`Vq+N**W+;5(?uV6||*pyH$kxo9dtLv|C
zj`R;(!6*K_L*I76Wrc5RKdg_=b(+bt@ebFPU#FC9<o)YjGR|b%*suLhPIp0N&>ZHK
zYY(-^zFDl@T~lFszia=~TA><u``oaQ=ab)QG*3*FDt704eUIzv5(|NOCm!7`n)&IG
z*UU|?1C93GYn_ns=A1}k@rN`<wXd&Ew|k2{+V}SQ^0k*LTCKnLwY+}ww{usKq2}B<
zhwch?Bz;{fxW{XP`~4^8%*&U%$0<xR$*oy;J6wI=x~tD-uD0e#>rSY+Kf&Mm{wc@5
zXYAG=Zx`6W#Pp#x=eypgEk7D=T@MyM`c(bQ{by_~kNsC=Y4`8*H`&O0MY3<{4L6ya
zDeu+m9)7f)VjF4S6|?n4t@+2s4+|#*PWu#P=BCn96|STZlBOGdH22>&Y0m?BZ(JrN
zM$F-mRg4H;@Zc-2uGfZVXBTadj=B-eEn=xGe^cpRQFEvt7vH;g`D)^Gwn)qLiF#bL
z-DjGiALpKDoS?BGSL4IYfP}jRrw?r2eSY)oE5>`n{(0B&9(Ce%jo>}HeU=lm|KrwK
z+VgqicYLhim6%rj;l+OS=W_K{5l-{${)Lo!o-6uZH+j#Z)&E&e+*kj8vg5P*>^R#!
z*5S!)OguN(ZrVQ+zBt9FEKykFwsz8s*s|b8zW3K0CWp`MpR1*vwa4s=%n2T&Nvq>_
z$G((UwmVsHrAf-9&0p@lddzEPzO|tu_4hx9<!d}y<7b?Ac)aOv;?wQ3-lkWsUgn<J
zo%%(4ca_@g)7JMs^2f~zou$6yb)8^_uDSn=p2vS*)$Eq{4wouYxNdJ=H{rH%u#I|d
z$)k-sA1Kecz0+Z-@rR8<TjvL*znj;1U-{9ViBDbL`pvuYG3p!F`nURjQkiXp|6b}@
zyH5D!p(oR#7aW$J?6xWLmPoY4C)UZ)7UA6MYSdL%?+iO~^QqDQhiiA7b#l+UwRR(W
zc}#ot<W{-VySq}4#|ZCK{j$~T;=4uLPcB$~@!rv+MMc{0CwXn%_e933S7__L+p{8O
zP0AO4V)8bi|CrX48X=**oB9)X@B1y^$IaDeyM3X%*ham-?S4lU&x(CIIk&=#XQLF)
zMoX>V0j$e51eKUnoidd9V|1ZF)88RE+jT<0uKkm=+cw2r{pgo=VAYJqL&uF?{&;%P
zNG|5j>2vbyN=$7OAO9<O`q=d4mV`g2y{GkXn{b`HeACaQU&+W?rpi_M$c>Qa7sMx}
zOzPY`*P%aed1=D;u3z&f`5)0SvJ%=fH{w5M>E^j7#3nTz)w%SabG^F#`7))d66?jz
zE5pBZO3c0`W8CCZd~3t>gG={W-?;n0->tO#-X#m&89z4J1q26mM1)lxZ_ZI)Re$LH
zO}`{=Pr;<fng3h<C5dIqPPyqf<A2MZy^9o+d{-4`PU(~UzjXTA$l@oH&%N`Veecqp
z^usU8|Lo2y`C}daa&w7v)=j~M6N02|W(#&b47$f}rZ!DE{+~7vpM1_TM(6UEn|-9S
zS_Kz&1W8NH7M%Fsv^jj;*=OChRuhF6ehTH!(&M_CdOFtQ`>D@o49!}#rgBUVnU$(}
zF){x*zrl<8>5g@;_J3QY$~!G>ZtccA@f%a(zXg{0c09T!_O||k)9>@~?&41`U%B(|
z@%5mJ!<-M97Rr2%zkk!`46ES#oQYewmT>KkOU#Or)lR-tJInOhCa12hYpYZyO%dyw
zk!#KxlX7j}{^R>!ZJ6@l-CafJLvo4=dw1`+l>8y%>)LIX%fxi<ZFgI>E~&otm}8dG
z%A6hV3Y?>VKgoD!yzuq9yIBW2KW|%B=UdtO{n@!QMeiQHJJ}huu#2Jf05_v-qa&lB
z_wO_PUXA{SH(i+z{+a&IDqwR&iqqQUN%I=JugbJev3{cHy!?sp)4uR}*&S1?js98b
z992<m?tX8vOQZZw8_&k>uFVlC2R)+<_H#*#%3bx<($mV;l4|_)?qs<9Q>NremlnLx
z$(io5-f3Qf>HB`u&^7DU-H_eA`%qb$n0fK)7jM;g)PusgUmaopcD$z6C1~kVKC6EI
z?xoqMvukX&@0XQ-)3b4>?i#&3z4zAn_47W*M%c{QI^o_wne}Ij-#mTwq;gBn#mY@v
z-^IngEH1h5u8yPmQh2l*+w@r~6-&EzsdlRFtllN}Ugo?{iPh2=@m+c<*XO5vFM0Xr
zg6`!tY42VbmW#jnv%_=se%>d)PBZRg`=+|%r=I-p9jDt3x2#rMyF~iewC%TJ)%~u8
z&q_E{-10ti#mD^Mm)uj#{n&2tY+{Y$4dJ>oX_6Gr$7jMDdwyMf-!emG>3+UlhMq<B
zvUQnn-~UZJx9e~Icgg6V+g2qr%#iSG{pNm3*DTa3xGbup_d@qV!C%MSr|nsO{H1aB
zsoA@mimtR(`rj9M+Rc0`YPFo?x8ENWWPhfd)}9tFClV!QXAysU>c`m2$DBVOR9ZdZ
zdMSU^gUg@x|EpqF+gvNOvLpJNR>b@4!%x|R3*|RWefO;_(5meJTcy;KyHvE;6T{Ui
z*tsq{z3uj_Virv}nIyiKwQ@nt?>^<JA1>dQXS~U1IqCFI|C4M_=IN!?)Lr?z_R^(;
z51cK($JT!OZ!vfO*;Iz;eB%b)^e6IfE%;^lf4tM3A}K4oe0@>4w{xxg?54MS(>p)j
z|NQY>cE{g6cASd(tE4mJzT7{b_J7Z&uN-2Jm_Fyb{&?M*<5{bFai3K}N8$s|S9{jn
zEH&$7Rcmm~JTT#Deet5gGcww1O%^ZO_y5oRR{3}Lcm1CCb?&+1wR?h{{(Xy8+g5(@
z{O{RQ<(%R<t?HHv)qc=<*;6)a%j>}MCvubH#kX0PHRzsTTX*IW=YB8o?Z2yzoHth6
zdb0kChsDFd?bjYXU3g@%c{xkvg!`{NB9zW8&aa5s#-S~&_o49oeI29OzF%h@eIEGn
z?yikH_8vF#Gx1hW)0n$R{D0)B?~bb^3r}sTeq^`vv)ihL*FE3&tuemst{T2*$^Sp?
zbF?S^`+tf3<$M3RyObsV*r>e}oiekvH#UTGQOlBdM^sWBJkNc5lWTh1pka}v#6}hC
zO@b9wI$w&Sr&dJ^e*KVkKkMr0xre8A#>~6*_~wf%dz;sO`_6iD-{#fqt+$U&zRkaU
z-`m$7=goKco_!UzBlPXgD`BVKX`7z2TJHKj$1UQL8`qjQUw#VjvcB!`ev5ey|F>8@
z<v&6?n%>h-#jbv@dUvauA<wz=%~u!SobAv1ic^Y{>*%)RsXLyk-94&rdLu6VuIj`&
zuR`R{wO!rTW+wgityJXhwL<ZCyFH&fxX-@caex0i@0r{0-#yZQz24&fXUl&twZe{H
zRM?tvoA=;lZY$Bh-?H}xZ@MzIF=Mi**m5oRYn!$$on*M;EBDei?U|>a8&5wgbyh{}
zt#Am(qq}cUufBNy>l*X3jxU4e@8&n(r)+b4{zO;acL&>R>Wg#j&kN7*6Eoy&v^s6&
zHFM4HDDM>?mZt=k<ptT*PK~_tB;wAKOE0E$%)0&V`ggacV`gtoeUwqJczpYK@7s-c
ztZL)W9c@z<d2E$^QqB0`PoJ8%u5)h|7kzQJXx+rQ(#}}-{`Hdnq(jwHnxq@kw*1R+
zKQt@%uxl3I>q?tQZmx~T^iECa(>|y8@=wLdW1pEGuD|s2_o9!J<<D>@aGG)ovF+Zx
z%}{droZC|>4WF-Huu`?ry!!O94dr2%j%`T)wyF2w^~fmc!+8S#(i$$TI=ZZV!d;op
zN79mZJO<TQeCvZ{o}E8E{jcxFnbCXCJd`(=C~*`}I%+XfspNzCCZU;1JRhEFWG{~r
zXz|(jrR3TFReOA=If}^kNJxFP{JZeEDCdO3tBZPFB;Au-qXnLbIkTE=-VkiW_G3z5
z(TY8X6}lMzS$^p;`swT`lK*@Et?YdV0~-1M<z`qbg+BRKue#}otZ5hHx=Dp9;W3Pk
zJQ7+3Gh_cQ+}8YD^swIDy5Jwz=B|Gk_T_2D?2KF8q5ji6+9JAE%`(@Ce{yn#we<a;
z7gp_4UEw$B*f~L;qUDp09MRMHcU9^<bM;!aAlErppYv`A&klNXRV%%qjJ?rE*lOn@
zk7GBR%BL>dbM<bJ;DqaZ|8tBcg(wueDT{phBXYxjv7p6XXZHU*xklQbqUBap)_vqJ
zzh^CGAFQxh_3WiYV`Vk-rxEqnz8#*MA3nQruhpd5*V{kUIb1&`Ao=w*pR42UH^KL~
zmj(Ixuc^1pJ8@LgWL6Tx)uU@XH-@ZESby&)=ghc1#`)`AKQ~^xdH;vOnmwhlGnX`|
zs?S;RT=`<j%D<|cKOTxSy&4j$@b~>ZwQU#EALdv+xcWD#ujD>Q+w?_mrg@pn6AfC(
z8e}z_<?W|Mt$)MZJr61E`5f8t=(frezf}7>_d_%k?!Nrl?@_;5VL>QUk8nZ$r3-&v
z^WIx&G%YpZyntj@Lv6(5T`_AX-P#s^%SXVr?5=7~XU2&GS7IM56WddD=juG;lagmN
z<Z>D;_s==TIPKZNDc@tahiv+|CfMVCY)1Ej9p=IY2P8L4?1^TUTp4eCE$7N5`#k~2
z6#r!1Q_V@===rfsc;DN)&fG(>e~eFGo67h!e)IV{^}iFD=J9?snfuEvXcEic!1m+b
z{%IBO8+@O({C96Hkcbate;+H|d2PPflHD7=TBcbFZM$Hq8M!^=*sF7&AB%bBs)p>h
z4}EIN`?4Z<mEyPQDXvKpnTK@dGZ_DzemU`w;PQ~ul}ww97uGm#n!@|CKfhlgUH8EK
z>EZQUdJp>l&EP#OubKWh;B>{GcZ^*;BDZ+Hes237*P*5!Q>hs9wC`TH(~^kSRWDhN
z9QgO;!Fzq@Wgn(5ToTRtt5*EUvu~cEho+YVAAMoUs(UVZ)x;TrOD6AC5U4aXw=ea)
zdwlkjkN+&+?b<m@EV<<8g{d3YOnvIUJ4|+Mci!>#b?Yv<-}YY3`sK0E;^T6=ou|&T
zmOgHfxcK6(Pm6bkEDk(8tNC&B&9`sw1k6kRzHwqx<B!(FDeXrpYd>o4uzK{h{G!~Z
zmmyd0-xXM@ufpBF$Y|$vZMG@GC+h#M&~RJWa`ekzIpf?PtDjF&P)RDwcAffsb@-7b
z4GRnc?<Ko&n}6*-roF0JIc>XQ$+DK}Ge;gSW4vg-zG36jpLSZCf*fXa2R`lGk+d~9
z%J5Uh`@Ns_70(&(D6h2d3`qYtuQ#5v?EfKNo!FR4_x%~Os?S7-2waI*46Nv=-*Uk1
zscqVy58({En2t^PAGO}Ybz#e~me^NP0&`3HXP(_FETN%r<5S-WOBtca^5z*@uS9pQ
zZ<z07=qH$GA>zp9vWwlV|6a1#vW5qzt?k|);Y{D=qju()(wuUIg4su={1RJw;7UM;
z-jx`(RUcl>J3Lvv$lG=GI~Q60zb%G#?VDab*{&VMzj)>swTQ`CO&5N;FFqW3^G`Pi
z+v|`Ttv$P(<<9L<W1V~ScdN~3wk_v<TN}FK@->os&40eP@~OJK<D&8dt9Jsz*POTP
ziEfQ@OFCP=zTtJEQg#r_)rlFaFWW0h&DDCKz>|M5|DMMVvt1n%yc}%|XKwgk602Z2
zxym*C|HJ+&%b(&K@3!gv(W^faYAg18t;qbeis>xBIE$Wa$T)xd@g2XH?K39$Nj6$6
zxN`6p`?QH)yA*bqtm1j!uf*^|th9wu#680C$@lZt3=ck=`EV_D;&nb%CGl&PNY+-a
zQ(7M-ujJbuyW+X$`?_nEt61vTZ%n?Yusvi-q1k?Ufz_|&9w_8@M6})C8`$veqvF>!
zA7Z~Oudn@h*!XL;tMd=8KS2%ijXs}O$#ME!`Jvi|+c(4VPqnO4fcg)vIlG!#>I+>D
zupP16RQPqb_mgMm8yuhfo2q-sL_<<qD)smi3nk{mtY(UT-7dyQF*v9eKlpk1p~eo&
zm%KTlUkc6s$1a^O&@}r4&)<jNULUZjm>ue{`uyue2kpAX$vY1<um>~RoV33axc<1Z
z%c2vuUv}F|$)4;tDUxQqxZg6_^T(!tXP8rcRhRxS&FlR0erZcp>4E7CiVNR&CI4LO
z!qCNQcyKzyj3umhf1RGoruQ*Gqx2}tEidg<&8-`1RlBl;awVMmv&5FInc*qzlz6Cb
z_0}0DWgo0u`|;kibEcoZ*;o~P)V1WAYY}-R?`!1!wYQ}=-p~~;yQ|x6{^{<V$S+eb
zu>YG7R`G5M?}s(FB(LUNbJ=l?N5)q}p1moHcaHvqnS0IGZ{895_U61-!OUlaezHa9
z#KgtSv3$`RBb^mvSGOxGy4<58<NW8kj~TZM#V@_Ambh<~UcTvjP2N|H^QHUi@0k8e
zkXq*3sc+zzt$FlDsX*wq%i7L8bsO@s;|ou$dRMw9^7*kl*1^r&uAVqqdMP!_-0`C3
zlw{jp+b^yQeNXsmZ1>o{-0X+z?&y{4A|L7AkpA5M^l{Wiv!>HkiOwl=m+rh4%CA<n
zR#^9lsdshP+XV5mM^%Nkwy)AvTX0SGXwk;$@$YWf$Z>7RczQuN%CDyK=H4mIUNhI|
z{qPOTaCYj>dNz5|CHA{XuCpqe^h@(fYA)8UV07o7Z`9dkQzI6p<o`#&sHAOL<eBB4
zSuB68%zL^qLSdp~+{yIUON4%&FE^e)?aMz0LH&86-_u{)l+?+8{}j3LTHXD?2`~F*
zoePfV-h00MxBdOS`?Dv7Ofs)Y{rE4{aqqFHvo^-fHe%v0^>?k6`RjV;@2jJHe_az*
zEB(Fg)|zzMTygyo!C89eYL&eDkA450ME{n|lYHqMn^M^Dv-@1q<bSRbUuDAAd1yyO
z+?u%CdHIj%FLs=<Ot!ZfrgZmD)?xI$Zt!kpaPhp3mv@ilimf~oEz5KC`(l@spDS5T
zK36KeKKsi@_DAt{x_PIhO(HoX_gwt9^N>sSlyFwPeG4x+2G`g>vUb||Q}z5=mwko5
zzrNX?6?g8VxbwGr`PwV)7#+4tUn#e;-t)q*HM@RX-S>G<#glX|ZQngYKixcUmZ_YX
z?d3WDZ(I1J&tDR&JJL^_zO%AfhHG`X!?va7DPlKg?b&;{L*&n1hPgc&Ob^3tOBcL*
zB2Y9v<Xfyu`=3jdMJ;8C_jZNbYwk^LDg6G=^=9e$l{H-2*LAPvx0%VV3jMzM_^#CZ
zVI3#*+L!J=A$0D}_qBqq58NJYP*HH)ryCktrE$ZvAY_JeibT8-n`2M}Xa33}`QJM%
zT6rd)(rdq<S<WDu@px)Lb&L?}CaDkKZk=21EEs7RyngTcd3SmDAHMxN!7lc{L1i)5
zdqMY(8<}gii*PeVuR7qhBJJ+^cP}TkGHg|8UwWS{>*q2jmyC(E={){R3w^dOJ|AcG
zK0f>Xbc;EO({|=p%xY`9y3l1xqFYtl9z%z<+--}*rgLdTP563n;*R60?0ZuFN#yR4
zj5;Z?V0yj#p?9l4znrgj>8{k??Dz3Z279Jnt#{Pjb1gjSUA$M)a}Lh#1;KVpLbvgA
za(kaY!PBaLa=)9)zJ)HHFD1Wkem=3V&XHaEgU8$2Z1-7vq|P1ay2;7<Av@#2M5XS_
zD-0a&JYKa-EKbK^YPPe6rG<0U1H1Wx8ZCXy8gp+dS@$<RE=m2Tddlv-G?$;3{;v7C
zxt&b$I~Os3<@<5y+uExyK4)<#{?40ry~JH;+a_bNc(JIZO0l7;534i!H|zQ>J>aV1
zy8YVXg13$PRb%s7l5X<eEZg+Z?{&d1kK6mcR!(QX=^Rj7Gh=32-HFrDvS~uhm3>Wn
zul4;DSY9r3tKo}ZbZ7LwgFT`#(=626rq~ue)^j+q<GGem)US|kdtuAOl4DA}2Y*kH
znan25$XlwNH~;n1S;Y?zPrJFk;Z$q!GW%N=*(+Xett~q*GWoBHTY=)OlZiIJcbuCr
z%S`OWon?Q%o&4~i=G*GH9Tki6BpL+uACxGad^AsL!+Z0m>GLA24=a}kWbc}llGb!}
zF3a^Np5n}wHxj39RJk<ku%+$Sw@ET4r=Q5ghd8A6t*)HOo8{N!ee0mq->l%9qDrr3
z<<xcdo4Bmmp=|PNpZ|=@lkU~^B-GY#$bXn~<n(f%S^fK_zSLq#KUWug#pm9}g=atU
z-PO(vZk(!Dvmsg}^4jYkGINV79w=U%zH^t+G&?>ypQ8fj($2kppx=EX=e75|dEOVQ
zrv!b}IN4PF?sn<f6z=F-J6kMwZaeQ^bi~U2sMU|hb7hQ*CcKjTyX4KBv)jrq3;8X2
zl4Hp&<kzh<S7@RBJfZA=$}ZWh9kbK~cAu=hW+-25^LwB3vC}8GYpYA2o63hWMctl~
zKlf4f{q(Jqa&t2`3qAa_IP$q~yh*3a?WX<v-pq&-Z2N!uysrAJW!%@p`#sOAUhm(L
zvFb-(mA~VL7n#Z*roJ!Bw()bWzWTy?;;|Ka3@`U?lfU+#<@v6S6I>@gZ((b^`eWnS
zix(d<^`^AHj_J={zIN^U^Un^QI##l3?Ujw%Yl17vOIzKmd=?oOy4=2+w`iG++1A3E
zO{ZcLDr|U#?N)yIYBGo0RBM);mfj2NSvPZ+c3VuUn^MJ5{m$Ah&%n;iDsM|tMC@zR
z#k{Sqzw-KSdcI#;xNMp3yOZHf;^I@b)-r#p_TZJ%(RsnY#QO58lCM|Yp0n!(Y4XQh
zGCXzu|Bn~fUbm-I+T3EkaB9bTRg1s34)yCjw|`=?V%PK7Kuwbmx2`z+zF5}3-SPdW
zq}PwM&ac~$e^$7g_hF#&;bi{RhuSjJ(pDx<5pBB}@$vSrTjg5s>r{ShOIW(T_N2pF
zg9*=gzvuQ|=(hCPq4#`i<J8Gp6GI*_Zc@6LS;6R$;WQ~cVb6!RzZQE-COwnqmyx$j
z>6p0U;<H290)dNpPxl#Uths7_V1=JKtIkhxlm1@0=-5*WPH`<T{d!^B*7FOvPEUI-
zvu9QQgekH`hVE;vh2@z1PPuOTQd`G#eUjI+8H}1N)xTXWY)l?>rs{u5J-_;(@q^Qu
zDQOyK4xClVI)CWJua>&fa`T50^^RWN#pw)DXT7toi@6C*<gN+L3i<q~t5e7NGpn`F
zz5{}W`@3$t{CnETd3?LL#3U0p5iY^}#XcukWcKt-cDaA2U*PGB>ks+MX4E$-o6TOH
zJgNSv*<0DIYPFZzbC<;5n_Zx@cg3#%YZf^knfluJ?V@A9^>Y{Uq(n?y|Jv8<5KDUD
zvW4$G?|j}Qm{(%HXm(WHq_zgJ?uk9RpGr4#>MBVcX{mKq7ZyA_*SqiC!Z5k@W~_()
z>G@oU=~^t{nXUY?{H)qanZNos*3LC!JFF5@G|}?QqS-t4@7~{WWUJlbrw%6Bmb+Gk
z7YBbhv*exkgDC;$K0No0y71lH=0)1f3qt2VoM+f?W$Lu}=DJH)Wq&{Y{3)a4o6W)6
z6>An>x#@8$K5oUW1w0j^er79@ix15`u%o+Rwx?6G#Lm;I$zq;PZx8k#{Gn6%!Q#rV
zW+@L_l^;fPs_IYw(0s>XbVJ-j?RT5%g97(-saw8}{xL=_IV785-p+n^lP2FJUT@C5
zf0}h!Pwf?5JHh(B9mf=H1^4fI$?JZr{@$Nyer#@A9LMAn3{$Rta}S>UOJC0|O_|lP
z-tlJmMGa3?<;V9J7K(-S|L|M9%-r}d_ZPj7=KsURl|Mgw*ju^oz{ZO;QML^SG<}a9
z&~<J16j8kXG+z1M>|>rnuP$;GMhpG=&lDQhWAbNL<<i34%#%*;G~~~>-r)FYw(;YM
z-|oFxsH)<r@gv}b@C);M2kIV9a9dpYapt4L{;j%BjB7e_s*|jh-YIKVFWgtP#EJdt
z-$@4>W}3HtK6hu%DevbuFRiLQv;CRu=B#-3ipm!+jtZ@Pw)SPjp3JS9n=Dx6ISco_
z)Y$8E-XJrn@OflW^^M<;ZfS4dx;AXh)?1UdH+!yqdFYd+fi=IB3(q~LuF}f8-p$_w
z174~Muidt0>!ILn&9YioyLG$Xzkl+v<@=E!Pt{3gQ5DkaPo0nd-E5V9wZi(f+Wz|@
zUj!fhxfEHYYP-w$>$C;y1)l5JEch3Fg@4zcy1!4NzCSV8;@PRYw>R@s^?Basfibd~
zA13j?@Y$^@p>ZVhUh4%Trdtc@KIsRa+J5Qw;T!f>;uJ4zJGA*Cm;ZLdX-U5<u77>D
zG)HNruoB0Vj9YONoaNl&<bQ8cnYiUf>d(aB7oVh81ud`=cbUeY9K*Tv%4Rj5qhAv(
zexAyo*8b2^F68B>n>-T^7WZsvmJgYFsF>&WvAZ${??18CPgTCt{-L_VI`{vtvzsiR
zG&jn*YAj#S`=K|UnPa=@$v3qUmtPcWn#rDH{}%k>^d*;Ra^liQjOMX57|oi*S7y7v
zuTl1Cqw@cqZ)z^ErcR05dPrp5YLSytS_Vr`pPuV<d!_al!EbgOT4#1mn#@=DX!_5;
zHv+G2zEL~Ty?yUKJE7ZodsWTv?O`bmJNo@q_?Pf<aTyt-hXwB)R<U2V4=IZBsZS4m
zl_;nGY~qh4UO%7yJo=$M$4&mpr@fQobtYe^OzmG(yKu31d7A01IaB5?+`TFI{swos
zI6aT~mh#X3J@i&cT$8?I-RI}Ck5xbZRq*-VTJhaXH7!9>+AGvrVk(3?cj{Qb*yUce
z>P=gfjIsVEy+>6NXT8p>+GHMidLk!_bp3^d<A;Q&9@@F_SKP#Nn$~Q(EpF{j^K7qe
ze4Es>>Fz6){1uy4{A7LF)uw$aKiTKRB~u%#pV#A0>|3U3_dV+Vj7MADxZekd_RZ1}
z-oCBmE5}`huYa^3zS8wq*%v!8<Vb~eh96hOl#Sj~R_@JzzT?<B-WE04laD)YZrJ-c
zZFZ%7pmD@s-Uk~Fd{PmAzSZU2oVk<O`X}vsrfNLrnD=_#gHIDzS<aK1EBqjbB~S5h
z(Djyef8HgXVT!$<CRyxfQ~d9!#PNj35~ZI$tWmUjs(J4EWA>;1jLT2$ZU0hR^<~}i
zrPDpvGWb0<v)Fffrd;>sJ12s+{hrO!bme$n!TbN3Y+62@vvodP+wfV=b}bvnoYl83
z{rVK*y=u;d0>7Kpi#?}(cr<-Y{$q9TtjU+>9&g@w;N#M%$JcH4{AO+WQ2fN_-Y@3&
zob?a3#H;>VZ9BK`dcXbk(zK6DimL9K3;XYjZGX1<@03|{9c*8Q{`{QZsM7LE$m_MZ
zz4{~;zV;Sd!R2Ke5~4Q#oAPJPo*zxCFKp-gd2!+KNB$yAr+)5UG{1v=n*H)hN8$6W
z!AEZKq&?tyU={9CzG7XYcbnN4F{S$K=Z+WGK4;TkyMLD3rdOOINqkEk`|_tniF785
z&RTTmKns7oS=e3&E0<r7Ulf|ZcbpNdS^DEoWQTuy{~gY+4?GvSe^+3MasH?C=<be=
zey89yJC;@%tmO;5q%-Rro7bEcdG3d{9tlFvZBLxvIjNQ}O;JR(Z<$=rvd0}o%?AvR
z&S!l+Wn!dbzxOJ3;nx;Td3W})AABa%%F#4|XQH@B^XfzWt$PHxx-PG0fBec~(Y*&{
zU%s(Rq)k*kv*Tiw!PY+ITfDcc1!~rD)r&oJ(s*s*CG#s=+}NJ+;Oz@VA=;1P#6$h}
zCx&Qm%V{>5eqeX0{G#{wf?shRd;jRzTPch5emB#~*@92CkKN;{TNrde@$};-dF=Jm
zR%;*O*?l9>;7eM*K*%d6+Zl<|4d=;dwZ59MYRAM6%Vn=l-1lPPeT~^Xb83sKxl5EE
zlr&8-IUa9ub?Ksz6&D=72IhO5^{Rbuef4Be!rYq^9GNETaMiaY%sKx1XbZ>B&dLXK
z_ym>KFJ^i+KTP4_-xsbidN~JXdHxXB4mkN+{ZO4w_<boAgEv~P2N(G7NxSHsQT_5#
z%%8T6Yfo%Ge(6_bPTa(U#R=BS7KN{1I2sdM*(YFZUVU1mn|bqUK?lB#MQ?VS-H;RC
zn9JMges;!d`$hge1}C&cO`GC>dwvvWdUQYeL{YrO;%VzX|4HOO``PJ;dyvps(FGPI
z_pi!l&OTXK$KS4ci!)ww$=8@^_w!wuoR0W8xy^g>^XS*~&nLCB1hZeS`q_PZ{q{L8
z+Lk$s7|VEbPi0!S_=Mz2;VTpWU7WmspWIz@!6NB8+iw@AE$cL?IAyJx;9L3QZ{z2d
zd+%CbiJ6py9Gm#z%rBk^pF*88n~sY_@l4RucRum6rg);S=<?<z%`sN@|IR#iY+0;R
z=E+@u@49`za^Zr}p=C!I?|a=Sn)|Ku`pJjC{_UFk`p6xx`yOJ7e_M`Rym#-&$&<gT
z&I@d`Jl}m<KPRpyR&f6#&e`nzi6Y5c8xQOV{8bkqU~K8k%EjU==+b)h!95#ZCT4k!
z{k%8I$}|K-3ckq9Q%Vz&V_wQLf4=6N2<7Gv72zFQ&1}CJPPyrj9`@7FXXe#K4h4%>
z2{LbCIdVpMbD@>b-e(guof;cv6fWM8(zT%9U?PKS=J`geQ&Gz}M4L|bFc?o#U{Ub8
zc_2kBZG}VY1MOR<EEYAcT<m7v=Xk(9Kx1u>G8fBKN$sv@>;`V#T(A66v>Ph-8SHoz
znP2OF+Ccoe%=J09Vs`W{$c<TbsU+Q<xAg??++*?g-Z$}Cb^c%OvQ5+H*3@$=WoJ$8
zTNycP>bI43v!-rasc)jawoE-M_@~J>-C#|VZ4*{<NZn48I5s&@@#EG{n>=JLH6&CY
ztA4J*Y>+MTp?l@oz^Qkl7X4!JVf|Q|e=_Uq97pT&_>iS(+AoTy+<#%LakJrM!(7cZ
zNw;%UQ?xu{zX-)|w^cbG6SjJWVR=UR;~U>t-*!vor*nuie{)WMxaZwQr^@SYs|^3d
ztFcu(Jw0|lY{>$?6<$^|Lm7Ak*DQHAfBRp<jrITJIFFc5`nT+%+}dB7ZAX_(a%au_
z6>xm!jTao^(!b5yHU!1?9P^*Y^)pR<cjUA>1EKh}PmljOIK65i-~S9HmJ+#`E`KXY
zrv&}(*m-@1tGc!^$t~#NO4>SIRoDOiSNFoHvATZuWnVQ#p0DQ4^$e_D(wBQ-VbkK6
zH*%YoOy(CdbeNRweo!F1yVfB1u5rf2tD$miZ)SOL>a~dNUuK+ghe@(&x!X}Q!RE+B
zf!3Q9*<z3E^CoWdwtV0Fa^f%UH45T~OtvpJe{eW-&$d(dSr6Zy<h1(bl%I^8{NfqU
z_ogqNWiaFN|A)T&)%ANW2<|TbVfg>0Wz|df4Qmd+vVZeUebIgu=Pxgo$Ex*z-|m&?
zB=)gxO8AO5AD`FmX|!kP_$1Mk@qdpq!?dvLKMjq~yXd;l+NUxpC@4*%_|}Sxt5X|p
zd<=CsAN<>}{kMrz^)mM$|32x&eUtX(<<BqcsW~bCb5ldMk@*8Ft)T1uMSluCrGFa~
zXe4N)aO}Uqxy{62uTJf+J8xKC`sporBCNpPSLM4uMkM!2%>+9)%RXDpNeX)6pY3I9
zID%jO-tcv@KRZut^X5ba@$Gvqz0Y|t<IKm?tWO{RVKn%>^3+<j;y?B5hria&`04ab
z_214Pzsx3wW!$>r!L@l#)}>SW;$Oo*6fIGDe%yJ#ut~|c3(Z>!PrtwRz46n@H{O3N
zX1f=dmocr%mUvU~Z~H@~?%3tNg|?a{l85ga|NQd#)sDmv$)EofEaXDfcw&#0f1mRA
zKdacaYX-M&n)V0eziA2izg$75F!_1PfvtXDS6oXj&sW`&xz4MqY1@Ll{s5<6KMItM
z{9FHBxca@K=blH<rRBGZe;4Fum*vd*V_{~Zy+v%>rC|1K+qf;C@5*Ywn6of`?u1D;
z_oNoxw<~+}@@82Juhh<+-_J+7+?ZP*y*$Nv!ri_orCDk%SFK;0`z)T-k@i&O^Zyh7
z-T%#3n=DkF&h1upI?OpctjFA5qV?G0i=QtBc+Gs<xcoqHVeoY6nNsURB43?(9Y0%H
zsQdjYj#mkV&-}^{`Rz0GSMr~*Z{{)wHMbdc?SAck(OoIZidse|UMq?88k&~4JY<|H
zGVk$gi#tMp*GTR=eT!d9B%1YoQTgxI7t7De6da8X=`g+LccfJEn*N)aydGjw1r46x
z80H%%W^|sr)4YDplb|1x8zz2G&Nf<iq5QAY(wz;_Nybm6c&`@yUSn;TFz;u1gRtVo
zbL;97@5UUMsA73&!KU}k>%>pkdOZEJ(Vlm^$Yq9)teL&>mG2Y9*$yoTe0^!g{KnT#
zSphSw<r5U#_Z<mbnUkUDTvGjaUF{8r19P)h=rS^P&9gm~zz}6C$?Nq}#Y>TG+Wp--
z?iL3+a<okqH0|70QnXUwu+o=J9Xq}rS4_Uc$7EYQ{b1scg%|HVZC%;*_fkTGr?6yi
z#mgrTs(Y4ooL17iCVzkS1GfvW3?mmetgpy%3d|LgsZgr_t!8=f))&`K{onIGe80SR
z!_M0UCnKuu?mp*U`1;<@`*O$mu71hzlA1E3p6_t&oP#Q*zwfjj%xIr6@mzq|7lTvV
zoV9c-S?`~_w^r`o#<y!<OkTTI?tatbuQ#8rGLnmb&)gZ}{QhpUTKM8ykDF)inmqaK
zwY!~@_a2`5%{OuPuEUMK+t;4;y?*Yc+*ILoHUCWhqq6%|?Xx8n-3r~#XX}M~OBOVK
zu3^~ESSivgFVZU6?I>a)r!B;=JmtgGtPocpHm~D;4+Qw#98@wa&L5iaAf1b8bAI!I
z8(nKoaBfR#_Uw`unLD%BQAG66y-wk&B}%8aC$(`M4BMmY>~g(*Eys-WZM{oYo_?ob
zAkA#BV%?vLtq-OZy0G5=QN;IipP^{G&BytBnHM-5crC%elO>uY@yXbg`LXsthNZ15
zx~^}F`SF5d_RB>{ww$vfeQ)}N&e}Qqq|eIkGGk}oz^T`k1RTHlD)B|$N{!V=Cf{3-
zCAvShzBqjUUG*O313&d9>^jX={*QClR>fJ|s#&ky_lGJ@oT2e>&uodQ2aYv$Z>)D&
zuzXKN*Lv;iKMem%8Co@dNS|h{xx~TzoRN8Q;vcDJ!qw`jB373SjozP=pK6<E=orq^
z(A~{vShs2S;~WS7#*VC-tqw`Q6n+24zxws?|HCb^@`(<GFIJ!DywCMN;^V{>QdOR5
zF$xv}Y}Iq#`WV0T4e@>9;})~lDd6DQ5ABYs3D@nP2p(eHr#xeBZD;%3jr~bY^KP07
zY>?QTeA)1P%BLHVDkri({CUUyl=sg>4yokEkKXs5srJZUWIVU`DCgv#S0;AKJ??)b
zqbRZ>VTXm0|Av3*sdmC1yy8m*H+W5qIaVLiduA#79yWujj_$gayD^iM*1dCyf4cns
ziR8bz?Q66aX!*r$a9{2;b$_{|=-d;1XDuc$JTsYbX@`@tNw|j0FTawNXK%DHY-6mx
zJHcPsB>03_Rb<J^n-SZ}=Da+VC-~2S*H)9=>fM#CzdqNz_z^Nw!kO{F?g+`av)(=R
zF|$5Ay}ijOb*iSp{$JZyv+Qhg&Ae5<tLWzQ-yYl66xgsZo@Y25J!x6o4%Z*f8}e={
z**{zI?zGa(s&AiCCqLXKuX&v5hqj^4oI>ko(rdUF+2r?4>9D-{-l^F!SV-mYj_&0*
zK6EPwb-cFx(0s8e|I-p)zlj{a)wj(j*KPXe@AOFI@6Vm*ekYv~J0bH`aoX38&8Nj5
z?{Hvy8g<QDGQ?<NmB6-hwjnW%)h9oMpVa;*A=CQRKyu5bDv8c$t&FedmYDl(?fU;N
z`iI;8u&}9RlD7@sPPN~><GX-_(Hd{HU5XrX2Wr^v`*Pjc@i=n-&RqGQetXuoXFgzB
zuYdjRjTNgynabtYcs}e;uWjMnu{3GjH=inbgD7r>ZZ8f-#@lVL<hiz7DRfYq&0jC@
zuVk;fc|!rGEbG2Qb*2HK!cmjw9Q6uo7yrKc_Q?z9eI^<2`tY~P?Cq=Ve{?;ku{>JO
zbtr9p%+GADhCh8ZzaG{(n$?T2b38iSc;;l^=hLatOzXeT<aKbCo#16_bd-16^II(M
zA4(sedUM6O?DEP**OE7H_SseDaBi-9#@Ax@#K{jY-f~-P)*qX-HhM<ad$WG&oMg!`
z_PfT_+Pt^l*xZZ0k+=5LrESJWU+(7T9JT5!p0Mik$B7^AW%$~zvbgu+<&<0R*I3$Q
zmFpU;y1W0oSy7<vCe1GoFK#!AnYGj6Lc^T@O2U0d3N14f__oXltp6ZkB6~72!pq2`
zZ{uPIrJ^9dPaz-T@84$go%7(VuT{v^-I1HuPmPa~-qSQSF2Cq-z18A>dkqho*4t`6
z;(j6#@8ohmv8I#xqle&r@vPqwc_xzAD`W3>n>w#}`%3k9Sa<iGpd)v$Z01pUYW(No
zla>B^*2J^Cd$cXquQq6JxiA;YEL*0jl^gSF9`~DNF5$E~oGvMJ!Z7WjWZGkg;-Y_k
zy(XT!cX(D=Ty4(YEXvUtu=RJf!4j6ntv?=ANW?u)J=c;Y+p+R)_W?_Vx_K|(q^A~Z
zF0hkuV^3g8;q?8mVcV_=+m>(4HR)hF8}XxZ%a0$7R~K7VFzn!(>C&D5*^c}F9ep{W
zLmjt+&CFSn+?U*udHmDzpf&f?B@3E5jiXq^SU<$Lc-<CUA<Xex<m-ozRymo)Ni)AM
zF+P(1y!gDy<jqgB`X=O`{jl(R)}o7tzAxJsYVCaO#Im=c(~{TjU9nZ8+Eq}DQ%pbA
zd}-0Mz(o_5u0Hm1_VY&Phw_JIxlUylXU^Gia?@wS9c%l~T$w$2(GAI;>o>A(Z9Q})
zO3G((i}$L{=_>l-HU;}HRy}@K@!d^g8H32<PpevYr@Zd4=91v8JQg4^`^NFfid=$j
zjSCD;uM2Q{c=E$R#VC<^w|RZoa&AgIseI(C|L*)@R&C}om4YyZKCh<vhMQC@Qf2DT
zEW0wPexA;s1s~Rja)xji8fe58x&D27-TOy!t3}F<?MWJw3}5`3xv0sXF@>My0NdvK
z^)W5YoAvvDnF}ubwa&<ir!(+m;G~{Vo~l5zvyUg1Bs}=ipgk{5VM^G6YJT50ao46+
zdmWmr{AjYyjpJ$0t>*AHu<sR4ow{GHZM#})*j-P?|BsfLxI8Ep{b0|$KPoV6=9>@x
zg8P3lv3=;RNMkTL>-)TJm2Xs+<C)@j8&3MC`&<;i60iL6e%bqoZfARAtK@%aYua0t
z|DOr)tD3Eq&=>vVpy0A-tyh{;+P|}GNEP~bne9N{5B^Dal?9G0d@TJ~&-cpOXSdI4
z2C@ZCpWRyb=c((Q=sN2~3%0Ij(EG4|&-D#0mw%nu)v=L%wc+$14uy>?gw4K9mv~zu
zR4~W7-aedB@W;CObDNTE>g>-Me!Tqu%qO-RG9Qu;&g`AX;<$dt(qD^gcT3&;@jcmc
zedp~DuP>HG?=m_yLG%5I?6bO$@AU>7TrXz3t&w>BO(%<d><+;_Qzn{zRD1AJyE@qX
z9n<CFiDF%k18lzUdZ{B(`|8NWM}@baef)TFB708zg|95zEWOuXnmNm<{>{eQCPveC
zNPFtXKCZMd6^$?7v~Al>&;44uFYhMa?#c9uX)zSpq_X+($Ae~GlNNGWOj0&iVJ*r}
zn%?`*;?2Ahxgp0B4{TQ}xTEP5suj;9r|rtb7HFSg%WiNWU9e+v^Ww)WMpDl|MTpoH
z$h6Iu_%nUmbIH<Fvw#_#|7ALMmHb)o;HZ85o9AqDS7ML!e>I#pWBLA@-ZM<=3UgHq
zvd^@(88kU(f42HDFZKEl$NHaN=dK9)@@3+*ht;7Um=|fBeZIh0*!^zH`^qy{A6c`1
z5H9%WKI7}GD{We*G#9zuusbyEz#fIPCA#uA${Kec*uHu~^ec&)<OluHQx5KDIWEf6
zTVMQ(@!|eIMpYd_|L)lHozE_;%RO>ok))v(qj#;<E)O0rjf+cOEm112{iXgP$ywso
zdXo+}NsCW5`mR3v4*ve|kze}Oi<?J&G4{Ls6zRN>VtIXu-DO*oRfnuKY@VCvZT|Q`
zRCMd4J*!y%)<3N+4GBryvfkNh2~*dU20qOurAwE*Jh~c8G&Juj+|{z((!k87xU{3B
z%ga+k)pkll3Ex>;W9Qeg-|uaI_xt(Yt$n}W{CZUL`Dp(8;usmtKWd*Nl=G)CZfVS$
zp>wZ#eclFB<5m4a8b7#S%-ifc-+(c6hQdYtub++jl5hR(YQAXPwAqUFkaVjkM~JhN
zuJH%9GJ}uP4l^#Wy1`Q@+%aeVL|Z9|3;%W<e8O{ilk2-g_KYh2q-3u+_r+CW436`*
z817ulzuSEFO6Aj$v*vYhJ}9{7%xLMjq*C@trT(c;*S!93`o=r?)v`6>C$x;$2aEYW
zjc{}_D2eyE>90^-dd~X9Nro0~dH?@c_nug-?2#k>aq6RdVFAA%f?X5#boCywYt;G8
z+w_qyoQqRv;ifa(vY#(~-pgKIAM?K9PP3ng`n<BE?u}7TBcGgc3*Q;eoVUU#?r2;p
z>(3|0&t5aiYCp7de%6WOx7JUtdj7}ofXvfIX31Yci-fE8v0CoaUpK|7Wc9WDXVaEm
zpLowtl*_W)W$A&y!mHocuiI~2;{0va<S#57?!WIk>d#bGD3h-UyRyDe`oXi(x2%`c
zKd#U}w0nb;z`K9azV81VDi2O*e0<TV;c@ly+KSyWo2z72JZ|%ndD-@ZP0k>R{j95)
z%xbll>Z@Kcyij6zQ`qhIP2WsHV)B-{Ls1$s(ajon6ndQ}Up}g-HtkmHCOdx-TVE;v
zvN_2KGCQxod#hY?U}E?+CYjm;FD(;z<}5l8Xi?tm{$FtJ>$U1Z&l~sM{c*baTII|W
zlFRy{Y}C7xqHZp3dH>>Q@g29P{I^!0li6Crcgy*hgnN}!*F25tb4RYE{f^UUuXy6J
z^4{v8r_U$mEtXj{p}FZw=tckeHF`U$wThN~*u+wIr*DVi@#k!zZpS3nwWgMQow&*H
z3cumuziJf<TedLoKHXZpVeZ$pt+Kv~E9c&g7k%D*#x6(o@2#EFe=jXOaXI$#ia*b-
z<!*I5<?a5Q*Rkc&y?X~g$v<v1ko9)&U%Fwf{o`G?v-gX)-TEbbj5&L5hJIL1>sL<x
zE8na`^U4pHStiWW{yT5l;$p7iEnDQG`SsgNBR{|7u`5h25G@pm{W_b^;n}7M7HcYx
z$}DMHTY4^Psn=79;>)`hd$9)hez3S)=yg_4Q@Gk~cPWE{J^Pi34Sn;t91NcG?lJD3
zZ5`v~8oqZ~?XrV+Tkgg^OFFTI^V>h`b^AN#ZQi$c-`0IQ_jT@T+!vR3BgD0JTBQtI
zXjUl0>FU}&FIS!soO^BAZp)=5>yr8&-8mrG@3ip8!#d~f0Rg3NR6TB49=l_C?#|EF
zoyCiGe)cY&{$!Q$SJR+X$3B+dD*bjZz1nfd3DXbGf4J@Zr*p04&rh(OAC+_}utAh_
zCtvb%-OPf+-Usg5J$v;0u~*BPBXdu___Ney;ek_@4L9m6B@(xMVD)k2Umx}8=T?p@
zyKY*wUXgs%(dg>Z7aN!JZMl_9&{w0HMIX;?w)0TF_?vI8RfA5>d(N;K2mkNW_;;H7
z_X$^v<isS^ce5^R{x2Nxw6s^d^Zq2u!k=u>t@;8*VI6gkcW!9<T;;HSuGWvv^^>k{
zwQnguwfgeKQ%}~1{LEHbx5JicEwkY2B#}_1|GV}`Wv;z$c++<Ev5wbi4k0yF(+khK
z?{!*n-#y{fjgAchhV`s_pZcmD7Jc(AYwv+<uhYLPwkL+G&A*$wc1_amv^j?(G73_j
zUFNRedg9j1sjH=9=QICJ`YUoE?16**)AKyehM$APKNbA_JNu|Q%U?f%%39`vpa8zv
zw$)um*3scxO!m%=SatvV<&WtWnZ+uRACz4~<ySA4Ry!(@wPMz){f0c9i3@Ugk6(LX
z5>}u2VnK1kIjdcBTKdi^gq+#z9@4m5zV^JFR`u`ut?6rob%f6dXD*Pq!!G{yTC#lI
zw<C9K%s;&Uzva(_+2TJJdL?K4-(LLBSx-Nn*Og;dY1oQ8pC*=kTx7hmcunY~-%o@e
z2R}Qg*XFo1;{p5RCtqGm)bIbcF;DtqR`s<jwZ&=g9-T|`c3Rs0`P-*sVNnla(tVqR
z*%_wXz21JUw7fiPT2zbeU9)NJYT3KFY;C=twB}Epu6E%{<h589rS*(I=R}>{)mHe;
zS>Ub4KCMl!Hu9t{E@pH**+2RFr8i2CuC3I)as1L*k3HO;G7h{4t3IEMG4Jl-P+uX(
zy-3E3Z$X$ychh>qqwJZP&2NIgO4|fT^O@weZ&)dF(kFhdlEz);_YWKxO&r`0eht2G
z`tkC>`HMZ)n<|8VyLkWjw!*!0#AcuC^4zcP5Wm3df%cZ8_P5y2aE1%D9p8MgK6p?5
zk!yz-OFQS~{i|90?yIJ_(Jq6YKvjLmJq4Z@ls2DmeZzfnxxvXVdki>4_BvEtcX6;d
z6T1AusT64*y~_3Z55nRER41S4VDZR}Pp*|caxUVl=xU93B9@we6x*h)2^WacoYYrp
z^(%yn^KLDVy`;YD2g$Gr63kJRtuF7)+@?*rzB65S)r3gr_uB9E8$%RVgnyh7Wpd!&
ziniz@|Kp~!^&OwZ+x70tBtzlK-J6^hjXzE+{3-Wxa!={ATPz`aI%d01ZLd%}Epu9;
zbo!Qux)pDh&tJPT!Z6%aQso@Gw`B}}zf0JacGp)@W)@T5)%)*s{B^&;Nvm5+dPDH!
z)hA9osxg=%diYbw_vf<%SQebWDWh@m_u+SczA4-6y6N64AD=!cchR>ypE&Nd@qR0t
z*xx>FMS-8u+NkUsQ~%GjTE}8vdQE+?$cjJzd)BVl<G$ie$mM(WXB*wO$tSc*|ET=t
zcH3rM%PHrIg1Tbcgbl6frx!1p)uVlm-F;^$e}7QemFY*HNSP&OefsaRGiOZ=+r;ov
zzSP<2SJruMP~Y;aa<k8_)uuUJI_Y6Xu8X}5Z|m&+{Os>ap@gR%i!Dx-^R>wSQFAo^
zEUsx9!?F1^Q%I@Q@pnr5t9MSCEp+$lErH0#`pdNEEn47JIB!w$*^Ap=X*&ka@xC%A
zp`!lB+7CsyiaJ)WSgi3W;p<Pgi>JChGj)ud5}f{a^G#ncH8<9~rDefYZkI}57UAPd
zUWq(xO%YJL_Lg@7w|K0?;}r~QQ}lS!zqC(RNZq0GY*FWlqpy6qd=6z?kP)}(EIcFW
zu;<eZ=4GKwE$1>9SgyH~%k)Hc!^0_CT~p^WJ6vfzt0h$GetXZHxFZ$}9B*EhC$kB;
z%oA_1axd!&-dd*_Zm_rSnb~gZ{Y%83>|@i*{+3k2wa_7(q1Tf)aGzb?`D&l;_<MIQ
zKdYG<H=*XQq2zjRV<o}9lR->f`580ng(l8?WOx4fp1X(J&+Yg4f9YcRr~D!glLKd*
z<^P2)fA(oL>#EalYvxux@Vs*_({o$u0mJ!j774qSCl<ARS)`L8+AO(k%K3Tv4;g+u
zH98;oe9ON*X(5GET@G{vmmg_Ln`k|A{>tpL%sO-1d#fg#=y+h=eL3ey%{H3=?)zyf
z4O7Le;;*$eznOY;kBw+o?#+9uaSZPgUx>?ghc0d2!F=24^Jb3x<zA1TPH0~J^lP=W
zRro{oOV1Dg)e_tDzcBWFb<>w-ChO|1snMSvx-M8?wZ8rCBBTEY7J4<L#mQ}7!)uZr
zuR4L{kDlSx4BnSvXJ$<owzug#VaZ#ZAavC1`bWk>t4npfuOB6DY?k5@YAl$2@t{=v
zrH-qqx>j#Dbt{zo+0@MuqHu4{d+tx+H}~$@x5IR{+MAu$DO0_*ZNBDZ9n9FKVyCfi
zhmM``!W~L>iVG{M*XT1|Gzx$D^5MR1v73!H?`A!hD_C|vQ8HXuPWAhppI+7xYA=2s
zsmx5yE-bCqytaAW+Q7&gxtXhq7N?~jDSqzXwA|fg>1^Yq!t9ng6_w4O=Dyo@$n^Vc
zz3n!?l*>Y{FZdjgk{8~6L`ddY_zUr8?(bK9Nmz7d{m*xvPG#!LwpJ)z+CTH)KE~~Z
zdmftAPM<H<`Sz_<&aZW|a?=hkY=7t}b*F##Wgg+AEv7qscgIV!JuHy?aV%%GxJ0?S
ztlV<r*%NgoCM-<7!Wi|uWplgs1ct+c3eG9N*Y{=jKQEKs%4f8(a#g!$B**QYI!0!j
z)|dtGe5^KKZ|JkEQ;Vza_49?D)4yuOiMl<SecrA!>!RJH`&=`=&AT@*f#J}jD_U<h
zX#SZ#^Z9STiQgMf{5Cl8+u-Ecg$3s&cIfcjP@7}&!1MXs%Ny8z_Rcl=(D;LQl3U!h
zHpA)qVU1@j6t=eW1?2inS?i@>oy8(OLn{3F(~sXxzWOfzZs9Pq(}lUdO1t~YcP{V!
zTNc_(3Jf#<ceKGz$NTd)+5ZYt{e^h>?N;;3urtpHIQwj8?z3P$rUzzuO>q|(ynCdp
z#b)MMXyh<oNn&`E`SDU(d+|IyC85oB|AW3LeY@Y0@c+Yqb|nr)+cu6#t$YH9{`1-t
zu(00o`RBPZ!)wO8{^Iy#zG;t^e~##RoaXhW+u*j*6|-j^;a=~#Ry!|l5LqkU^`<DJ
z;KO{mi~qGxi9GE1e~WYJoikT19X>o+^lMt;zP7!Ga+Wc*EOcSBVJlwps;Z*i>)ED>
z?gmm^Zyq-N|Fy$@-MuoAc}wSBc=`ID`oFp(C!7CFaXH8Jci|59GOv<Ir&cpvCG|<V
z{g>N$qvyZNtLr_X-Sm8swcLc))(^k99IKzgp%)|Ec=lOF)XEhH-$eYf?Xr<IGdD{!
z&obV<YYm%i1-n+Oc2{h8LO<K|Ij4W@v*gY5|M&7!&CcChjdx$(wmZ_|bNb%Qv?cC~
zS2J90SuT2<<)MM|+&NR;?4MV%R%p+Z4eq;Kt6V>Bl~}WQb%?<~$!YU9|C{0}+p+G@
z%Bj0U)i|%WoNO_v_fnd&Va0Ln&+$(}_}m2L=6q$j{CKWs@uY+$FDo_Uch7k7Sg(Ek
z)3r5gyPh&7UQAZ_bAI8LcOOorTsgH*JK>#!u-)6#25!yV<IcuRC3h7vpY=7LPmmJ3
z|0((1{%x1^o%ZE5-C17YR}kzTTK7+J@#n9qyTlwXwmezA*0Q{^E<#Os+c`Dn*@w4R
z>=nHE-K=MSh38pDmP1Fhi;}k-;y$G9<TaN^E$iqZ(~k$AtYX{SFn?0_<(*>3B-As-
zvw0q{92Bn-e;Q!)^857%_wD~g)O0L5D4HA5yzGw10nM&QHP3denj3e3^~sF<H76(U
zTDov+pXBqto1&4=Crk9-=rn4u)!lOL?cO7=&aN(bFzZE3`&XS8L3&^RUYy`vp18m9
zv-L9J8q?~H>!t=Mw4Ms=NxyaellYGRwwaxFlWTp8uUHjq30k=-so?Jv^UBFrv{WYu
zJ=7?xJoes?-$G^nluK76e4esS?CPzH|5qude=z4;=>80S16CH74`25@Tl9eG>QeJn
zb5ov8_PBHDjAf}ygY-3p)AQOo#ZPTe<6jr>&9O@ASXRS=g2q~2vCH2Us&5KNuy(a5
z@U}c2^7e^yO!=LNw^t4%wX1&JdHUn#k2g()#BN!4w+Y;kjXo~%@xms7=6fj*ELY84
zuy@6@J`<~F*3;)_bFET;Xs0TBu8#FSb0Amwsyq90TV!H_OP-~u#pVn9I9w98dGmi4
z)0H(Y+PQyzpE&&2#;t~Lz5AY5SFcu_)w&jWAiw$fv^K*TflpaB^e>&Xhxfb5gX+V-
zU)A=PpLqUp=5BtTg-hm{f2zy#mD}x9IluQAi^HPmw)};U7Rfj-(3AK5+Z3{S$y$yn
z>HJ-PLh>He-d5)qZr-{meTC~MA0yrkNl&8;uXuFFg_I^dHHf<Z<s3`)$%FEXLl4Yi
zeXoB{nP)@A@+}*hE5Dp_P;L<kyRoA6^$o8pTKpkjSMEIAeEqcG<wK_huQOd{Dt~r`
zXIks|pY=MAZ66uGy#F@-ef*pG59Qzg_mRF98nE!}SB7Q-w<FURhVswZf9XG?byxlt
zrYp{q{b%@3-Y-9^`L>tgLZ*_Vz2*iBKfhV_dCs!Wcb0wrv+T3evhJjNIu~wqynMDN
zVX~3JpSFODrSm84J0Mph$Nqm}y?f%(TgTXr&0o24OAKFx>(R-)K0nWjvzoqpF?ac@
z+Q)a#Jiop?LgmAP@9R6BBszWn);{I;LuJ2GZl=ZACflN2YUH+j?{W1Ah*8+OPAm4G
zX0`AI7j3s}uCh%Q`#c`}48AM8{GR2KSE;{L9u{u?=XH4HBj2~$U-UkdekuC$Q0!>B
zuz22wPaRCT#>sga4|Vpd&6uzxgZHt|GE<R{f1Yg>=DD@(z?~h9n{#{&E*32mEwhdM
zaWRnj@urP$T)brxJOuZxiD#3WxI5wN<(a2k4y5F>&rR}Mm}*gYkzIR^oZ{a-&&qu}
z;yfK!&7G2R)c?`7_&0}H_p_%~rQT2u*N?bry<9*|{r~Gl*-utPA31;fQ{w6+ual?m
z>iNI<T)TF^UJGx6e(l$ZzZ}Z@-)c%u@;$RCJ9XQ;gXg23&i`q${Ps4f4AD12|9`Xn
zI}^F{Y<1bXciy>&=AGMJy!6PCd6)n8@!!&I^13sX>!-HXrIk%yU6-Ycm&b)ot5V1c
z`(-VV#r=2v)h@4cwf2bcefPdO@Py@U>k%(gyb|@SXidp!?{c%ecCl-_*7%rTns)tY
zSe|dm^+)T<bJf21eSEN7>zT;4{Ii7*GPWo$*IwK?^V_m{EXN-^J(WvcwT^v%*NxLr
zHg<p1zCC$S-8$#+s{0piPiNS$H{CiyMyEOJ`|iy@nx1@)3N2e8*8co)vx~*-_`=#!
zOR>Y37OgMexAk(J;n(7)hjSJjPGPL>IGn<|+f3);-MeL_OYfCvZCX27Q#aZ%PI%gl
zn?J9`?q0HJ@AUX{N}5|8;v&s;mA9|md-}_Hk?UQDyLsy@zc0DcWos&a@z#Xhucs9|
z#IK0z_PZI@+|*~;-n9JhyNJb4uGM%my`59gHZ@@Wy9K)!Ji2!6m+h?$;#)Q|m+M_x
zx8C3L)jA{2e3RSKp<B3qmGEdCu@U^hk)%82%$wx%F>`!wpHbsdDJ{tRE|8FMVA^Rl
z?c)9~lJ&i754_S@W1O?IH2>bA>U)0gp1UvRIj{M(t^e5M$BEmuySGn&Zq_4~UT>}{
zQYLy(NM9>x`xl#~sjf$UKdt+vyF$)l^6~wqF8(pq<;&N#u3V6~Hn{jq^SqD+kAr$%
zZP=F~@{gPMYir!hKHqxL)xWjh{R^EmQ}outf=tV@$upX~r2on@JwLU6TK~$IAH>zu
ze^{H^Px3f(Q$XkM;@9so&z1c2<y~1-F8=mb+U?zoQnxMLx?tzTDfhXvn4`b39`z5q
zY`%}LSMz_OlI9A{XEPdJetCT;Pb|GF-`ePoRC@G|_3;wc>vZEjZVNtkZs~kykKo-b
zhlQ)J_^FBoK32*oi(Q_TBwPH~F<{A|a}}!9LVr?sv_{@*J|Vez57RNt&3pKJIMXVd
zPOTQp+Wb0e*X|tuHvO=ygXPb!>zr5H`J-$pS8T|dGZmh%Sr<ni)41w6?}*;Ti?a{-
zU0oP`@Pm4|ioj&GqzA=zy2+<w<}=MKs&U_H{B>9G$Gl%V%X*IA{x)5{#v}TxxK^#f
zM?;NWO1qxTyjm1}xX1J^)8h{_S-&M7OZ=AjD{)$)(d=~bPb=sBG(YY8<>y?5Prn5A
zKTBc!qf+&|ZNkPbn>6N~RvAAmt7<l$n>A_0pYP&4my(=J!hClJ^Cl-hF$weC72n9Q
zdor(Wa@rNy<KH(Qcp=U@`R{_NSs(Uothn-)V`5)*tMXA^7lVME`9Ct+4DQJ6yuGaE
z{%u1>g|A_y@_|={Jv&n$$o$+8@rR#r7Gsp;B>PW_{KB&H6JM;{%is3%Tk+c8p4Tg4
z8>Z@QviOnoyy50yIfcu|ue2X$O|#3i+rgf^?8rP32b(v~;%+^Em==*2XOX89ab>au
z^V0<^Cp6e?^JiOo>^diVSnS!=<1XzC?>A0b`9!04akt=x>+?5Q3cVAr5af~hd_2dh
z{E+!Zw^oOvTuPgl-0I)B^hlcLcay|Uv-UoRndx8nECi~yv`y=e|8qbv(xm3Iw}?F3
zEgLD9f<@dXE_wL4^>-+g{onoF!<ZrU)%1IBlI%^HO#fKd>^R5T!oT-wqDlPuM~7m|
zKQt+IpH^4@zel)h$+Nw4D=hDqNzI;pStq`|<>=+Vx8`29^IQG2V|M4k%eFSM+MIgZ
zx9u)oo1v>6D`pm!UL|OgSUionDOFmxXz9_TOYb`Ej+T*;yIq`oq*z>^EB|V-!U@Y~
zY3!}qUN+n3o95j9{YAh|uKM8=yTu+$H71;y8#LjKhS}y%W(#9^4t*{WQD4;0=cStX
zT06CJ*}M-y8#P+yP5Kb@eTA&8$c~G$$`2-HEL&q|^Lv@`SCi>oM-~12-8+?jy;#U3
zq*8wC<wBF`yIwB5CH*g`&!3m+J7>#TKbEG-&PP6br-wLPv*h%%($Hvnu(FZE#h%&y
zP#8<oZueI|j()G4B)4-pTav&f?~m!P{w|SFwcvffKvL*il9fgo%k5z1K#qT^UyZ-o
z1sUEy$>>(~R@_4Aqt9Q?LzmvFs+!#t^u0X&+3w7I-p>tH99tf55ng(FX}qt0P)X_h
zls_eIx1`)mZil@+7r&&TdqxZQ!a1v7$ul+05%{V4;l5cu`;-TA*<uP`D=%q%2;{tI
z!QI<8+aa>+ufC*w{O_;xH)hKJez&FAW5S!QJy{18{%u$m&33co!d|YoYcsoJ`%RtJ
z<oyxZq^`HmwsaA9=6(OgyHh7{|5{ys`a-E8N5Y}w|4*}gaqeh*)nigz$(cUY+D%@#
z>%{YwO?HWPYPCK8XRM5M-|q4&%fOP+d8!iItb}$ihCAHmWsb9%@7gu)-`Vv@rn`5Z
zlirDnr3tbVv?e^2Qx|;g$iMa2;!W4A^5+(p$u$?*eHHmVbI%qJr}%^KHh#{x`|0uX
zjd;zuvUeG#EGH6gZ2nUD+OV0)gOBqxZ^^;uP5W2*m%W+lcvSLbcFJ8D_uX6kQ(hOU
zc%&2+ulPE{kdtR`5XU;#Mkb4=pJ(;+HnE&Mccxt5zfn5$orSCJasiP7#WQxv>(6R6
zsyaBF@7bT@bwBWs@{=<kZmMi(Yvilh<Ej0vwR8T7PR1Yatq(jr*M4ZO6>s2)fZg6#
zneLp{6FhQ}-Cld0aFRofYGXmH=^pcKlauy8-B9yKIJTmBmSjWT6xqiM!r4Wy@4m<!
zoOe;`xxR3KtIU)SnkruI)^d6*^LlMmd_H<5i7hg&vkfk<H2TxHoax!qLZ_H%JOy`N
zN1f2DzSNT7QndI@EQ??SN5V>3fvC8jwS`iqaz0nzRkMWGeF}KuqCaKty9Bl{xz}?o
zicDr$9h~HtkSu6xBer^_D{q_c-FIKC<~^^Kj+uTjc2)8R+nc$}UlMK@RnFbQ;8K_0
zwjuJcfq;%XXZ_yW6D2QA4}02IKIg?pn+EPuh3)=31ir2L6llaB>QuNi%G^(^^?UuT
z$M^28b$RE(u6yazB$+Y=Wtp83W+%Hu_AollnNiPob|I%?)n$j7D;_@YpS8#Qy*bNe
z$F<d4*4{kurfXZA!0KLCNw@IF?=J2-#Nn7HoUk+E@;dt;uPYnPzMBVLvP+)t8<{O(
zuz%HE$?m8P0tZ4On@Wz$zFU0UN8`WNR!fH)(Yorn3#YB%>Xy#$a`wB`WcQxCZ?Zbq
z`S%}Wxy&zIPF`QUWzXC~cBW0%jgcod-rF4OYmv6P%-o5OE2!Oj$@!8km0J$qz5he7
zZsN8*dkt=fOmkq3mfRJyd(Tb@rxnpDf1e((323?Rf4}Y2-L9W=uim`3bMDuhw;Wjb
z-@I&^dc3SD&oy%C?%RhRU3lfkzGy=7fy<m1O)iBP2fr|J&vtzs_{d#*%T*zd-M>=T
ztvPh%=()@9PCAv}%A2}j&$<n_+`e11pF0;)|Nom$lat?Nl}D>CIQh2z?)v;p<?ylj
zYkCEhKCM>fN^Imv()N|wUKY3Zy>YO&TqySi+4iZ=qg>}c&M`e2UgmbVV*BYsr#}=W
zs(Oq5k>9>gWu|{-$ZL@V^O@%R4E}!oTOPbG@$wh_o2rXL535xdE4N&9n<waY=t+al
z?3okq^)6hNa9EAwdxzd~wcU}ge*Z{aeEgNUhj7H2o+7#BYJ2<V=OoQObWLW``;*$!
z*J@6W)tY|wiQ@8USNDkJzmSrDAoc&Y>AnMV7N4E9_-yZD-Lzv9imrV)I_II_`=*G?
ziz2@MJYTE-Tl#KSX2~o8zcA+1r|<UftUG?#?$1Nn_Ad1$m35WoKiQ3)9BQY2_m1i^
zaD1cthjl4u>-n}LNqH{P{cCnlJ%8cX8-a|qpV*^rzV*povx)uLt#<}ue)qSnQGEWH
z=W<T{u19O%Zc7XKXqRNL*H)nH>?TY7)8{yk-}qI%RP^mp%ekl2*Lh3-P2`B`kDqj9
zc2IbExp2n*Kb^8V)vLA``}<dy>3Fk;g_K_2`(SH;KC9cpb;sLp%(iv4PxF~nZC@R|
zO7Ld(y$n~bqce;*?z-HjD6O>D-i0Cfpy-`>eoZw}hyLVv3CF*0nmyxhqJ^r=6=At#
zC5|l<ye%DDZr*pD;O{--ykl-q=Il53R5tx|PWe1{`(sw&*n8LBev!X@u-r|o`PAk*
zmB7|La(};7eu*xrJ#n^5<v-ugSBr!X33Dwy<x;oti^8V!YOCHK-uh@_+r<mBznV<?
zYBKZ0o3qN6w^b)UNqU_!-B571nxM*m3(20FCBnwPx0XLoe}69HOpeL_bH8mI|5Ykg
zKRo_zYP8Jt#EGIlUoUrl(v`8!ZtdOByTEhySNG4A3nY!_d^4C+wzcSW^!$AnAKWP4
zwC>IsmC0vR4(m_gI-~MACiUpKzmc;Iw)|<`ta-gSI(l2~>x)I*PrcU64EsIh@%oia
zKl-mO{kY=kq}BCd_sV;?bNHhp58H-{OYA>oTb?v?uY0Zk2j2I$7nz@9E;W8%A3e*%
zsx!N8N{#lF-%cDKqc|2`3i9x{dH?8DVK>WWd2u(>WH-rAzx=$vhks6KoA$Z*yU_d0
zyTUxhTjoU0xzfqGPd6Y#STtvI+`h~4QW3kN17B(Gcw#TNXU6^++kf0j`m=aPz|E=R
zwa=fV%{R8X+ox08QGI*!f*0$0uN(?UO^$f1SHE^))A{!MmmW^yvWxnBbLy>YK2~g1
zy3d(Ub{zaRkw5Li+QJV?UDKDxeJ#7IcZPS<71jHB9~C5P52|<-bN|`lY&*sKaF3Cv
z(Gp4P3!&>CHBC7COwaK9W6?kL$GLvZSrN|bu%>{I{oT8dUo%U7P6>!Q%FUjqsj2!d
zZ`aB;iw(TjIyx;+-dON4-)sJaitCqFzFw}_|K|5E>ot?^J(X~d%kwwQou2nCjBnS<
zh`l=N3+~R5eSQAT+OKz9&*V%md;024gni`CxnZwDIy^o<&=)_I*Z9`&-@UJUuCCVo
zaqQ+)-I58(%Ri=`Kl55HssC*jUqpSq>&ouM%e9j>ZVOK|&)Zz?cTh7or&8{si(SOC
zJrOT|Zp_K8*!yyEdTaOY%W41TT=?>c>EXLGJ<sR(U2-^j?wr8)OPg80${ZAouH8Q8
z^Va<K@RXbsv1`_wq&%&~rd2M!E13}WUic=bm_4uk$p@}Af@xAKuk194S~>aQzuGfp
zhjSgxzjr@h%e`Bd^9=8te0fh@i?k1a;|e&{C8Lk{ZobK9#=9@cE8){wMgC>Rzb!Vu
zVf}aYb<g^_Is1OrzVDb7a#edK<Lh8y-qMc(+F!$J)UV%NdPd^?sXae(AC(8>rT!HS
z+<tZ9%nb({8xOAidWL2D+}5{u-_BJ``gdyM(oDP7Q(S#4%MaZ-b@!H&cE8Yh-+s`5
zZ@ALiwl>z1Lls<M*WPSRoZ{PY`slPWKEa86ZI#zoUj5n<^J?AdiRMxnr^{AlbR5~G
z?(35F%;l1g{hd8Rv&-bu-j(%veP1+H`s4Af{QoZA4nKRh+%3C>J?q*u<>jxFKgDR5
zocXeT_2-;ZD~&SwrakE^J0rk7{jOH|*4s1gN`9WA@4rcFLhRaK6Re|uyhvVn*^kls
zLQv4On~Tirx69_t`danz_r+F!8K1rDKCyPVeBSx>qOWgRdDn~RrHT6m>`!sG?rxbY
zpJ|YH<E~TBW8P2PDm_b<)J=KnXLM$EO81n@-5f7>xg<|8@ijNC>faOQ@T&UqcZ;>b
z{JD;$x|Mfr|32nr+i=hS=)U!ff7Ww+6WRUbx0&rGE8$@O<6@e>9&A7V+vkoxw{O&@
zv(bN!{+hhwQ`zd!M^W`<(tDflZ0*{A^l8hNC9`h2`hJ_z5qac!lth(E)vF~-Y}b{V
zc$A#dy|G5Zbln>5BPMlmQn$00#jd)a>bWYGOS_b3&noHrdp#ci^^LiCc=pmwT3+Gn
z8z0}2sJx@J?~PU2t7$Vf1qZG7m?ryAczgc8?)^G1@7<A$PI!=BG^udIk@Mc(k(FWx
z?)qdbOii1l`BgprN0nkt>XB1*Q{QZ3pZ3q9^0IfumnHvKYZ<EU6?yi(D}{f?#~UX$
zoVP!IVE@~<|GmClKDJiHIr8_6nRcb`;@8|P^NHFT|F!)O@A9)91(Ij&q)!}8ZB)*G
z_1y4O*{`encP#TeWg)s(H%|Pw_NPf|hV1>>p1sKv9Tq*A&l=NcuPXN{HqXpjaeC`R
zZn?-UyjxW7U*CPm=C=JyovD}j*7V%g{qWRo#wvw3cTK#Nj=eA1ptJjg)uY*BLG#^C
zs82FBzW*(E=Uel2PEJdlnARPiZfWhvUAb_k*jqvA%FdkFg=?2SyuSNd-n3}j;$Ru&
z?B3$-C2bE?Q`0PSmYg?{WUmUhb265axpH~#;`YPsi`(>TLo4SwJUdeKF>dCUuixhU
z&Y2v=<2f_`&7!x(3(jiUcc=ciy5xAI`r@X)_wLNdXfSKPZT_QwYQZLn6W3i^gD*_(
z`n#?$`Q?us0lj)tmt<x8`z4>ApEu7}eb2@^!{g_%Hyh5bm@eb?-{QoL`hT2StNM$0
z9gcnd5>U{hV|9i7`Lb?@52~MB6dapmPDppT3;x}qEmWB#Aaat&uKYm!L<P<Yb*_bz
zPTW@JJZR4MLs6Qs)28r*qNeWdJ<}(?i2gE3smiLVXGh4qmFyp49@hyysYqiHl{&fl
z;S8I!&2PWI`uO_bkCJzWp}Ui<dXrYZ=((+;-B4Hgm$UNb$I9J)>*p%P>@NRx-T%#+
zq6so5#h91ejFav>z1M7G--HcDve`E@j~GAk_#w0XhOm-uLbk-`BuSyr#T6<GL;3A^
zZr^8AcHDG4e5Z6v;<-tOS{<rg-bovIxO|*$+S?z}m@}!CPf~^H*wNb-?%WGIelQq`
zO%{n-XP5DELc!uki?(PlIdR~O*`ZLozpECdc{Q$ZP2hha99mtRbI$gUaN@nVHRihc
zyviDB(-kIeSL1!Fm^n>B@RH)%2P&E$Sp5s*Crsq*IVa%wM^tIooqOG1uDHieV7!|6
z;`q)I0bL`-=SLFvWGHZ11$o*&KH<1l@Lh#^(t!wdohcKyRX<<9qs&C6v1VFF%(cn>
z&1=H=TK}m_8>CHLJ>e>o?2-I%-|Yc!d<#Wp9{joGi`iz`nQe?d3M||L-@Y!b%$>ye
z@rsUGuz<pkOvPrYlAF%$TKVi#4j6o!B$u^!A!q;Tl|>Ujs>vO>ka|q7;n2&x`Q`I-
zp7G3d=slJ=cX~Dl-|L{CZRJZ|b>#h7e0I;;=dQ=1GA`#{aOBOHe)4EQicQO1^_^ao
zTk5t<O}fZ@GiRRtw~y*h(YqB-oCyl@6N`Bf6F=+xv)b$k&%4Z1_qV59`FyuItNfZ0
zYmUpYdHGjMD;*ZRcUmUiP*kz`R6F0bKN<NulR`?WBVO1UtbJ4bt>v4y?um$87XM3c
zV?H~Fnd@!cDin}hC0A&&aQ)uoJ^a(Pid5f*%D5H0%W!@B!m>$7wf9BecE;C|iv?R3
zcokaCdm;6p>5j#O&+Ug_ukT~N_P4kBwp5$(?XahQkxLpn4S2b>eSWL>tL5hmb=ywo
z-)}2Z^z!esi7UPF{(SGrre(3ln_9SIWpy3D{q{&)!kqWQdCP&OD5LgP26rE|?X`}g
zdo%iO6lC+anq6U2n(yN3d**C(wpF}u#mD0rv#-4Rz2{wl=*5sefsjc|S4G9w3df$v
z6LEN^$PoPJ?PA^qu`4coev+Q_^<=x#t~c)$nbeIYi<UCgi?B|Tt*yRRzsklfY(u`~
znR8Xb?u<<pd_{?Jnq5T=)5R9GOy8zkzqDknaQ!>(?eX(GUPhfRk>z^I%Rj;XTVw9p
z?-5*6+nHuGck<7vFkQB`a6?e^@iSXmjd;t}2h0r)H~Mk8O-dxi==z8EQ;ZC&79DB+
z|21YZC)<qa2l8((@>hH6r>N0%imm_M+WPc&9m@*~x}*G*&9CqGs%~dp99+-4eZuK~
z6`M8{WW_5KUu3*?Eil^U?m~exzc{4te)9P7Ozh+H8{#GI%G2F{UH|^?27|i(r+(fH
z?O@NKU*~h<cdifpYr4E-L%@x^Ki6lUf5Ry5_HO^>Q;D3%&L&On;_`pA_DjNtZ~W^j
zF4<Ie+K6ax-25i&bkU-KGMo9Kd3~ve4*f3G`*+qSQ&Dc`DgJfqbp5B5$*yIJ(_b>(
z|H2X8h^i=VjzCqhZHmVZf9l?$*D<qk^Z5*eC+*vc@`C4YYj|RxtvXRja(8o}zN<T9
zTg*4Jh8Ff@N9A3rj7o<%ZwLwbJA3Tq)oVSS<T$_6;pd8V*B{h;VqvyAaj3mQ&@V_+
zxu+^}SB1fow(X3`KgxA7_Uv2FVe+TA+0yZpy8YG5H&vu2scjG9_`Ac?gvlwzH^+$Q
z^Tq{+O7@;hObnL1zcjN+F?{cRgBE5l9WO(xY40Da1XbM2KPRI+fq&garSAtOyvS4L
z$!z=Z+x?_-ksVK_=dP2N1*B5>-kVQ9{C4Alg-Z74y*?&;?DyL7^lzJHjU@N4peN6H
zzuzvIoWSA5{IaC}*8`)MQv&`lyZoIl#CpuH{2c%0i8FF_BQ7up{^@;K_}Q3!M$WZo
z8F#;=F1T5zwqVDRmn>T@G3d(wx@{Np^h2AA%fzy3iNaHcyKMKgCmxC4o%XZ$-7drB
zfw8CbcNLtFUbW(u&;IMHE4lqdjz*WvI`{PRHovtC*DYNyyH@shc<UsmsFY&v=`CgV
zFGxjNuCvqps&eZ~Z0_Yw{ht$~Dh`*rx<?qqzWuW%{l1@f?<Kt)kMn0YDo@-o>A`KE
zs_((aIktam{M{cv^OW1_e}??K@~f<k>wm=lD@e0DS-dEty+rJ{e$2G9smdSyk|#y~
zF_&jKkko$7k~NfRhLoRvVbY6xjrTq4^gW)KJk;N$Gp$2Fx^(GwUHi!kKZi6u?&W4*
z(lloY_y3#n^_{63r*mw)zwS%f(}x>_h1dQtxAXn_VDkQXYgDe*nk@dXJ1uJVpNqUf
zdW+|uw_A1A^yrD*cmGe@veoQe$jPf_KTD^m8y9c5V-&vQ!Pm5J%R`rD)My_Qw|^F#
z>7*6j;lv&v_Q5VvQZ96(lLgm5#k6u!jx}paith)N8k{@3J;@|*-tSd$M{dtPv|Hrs
z)_pzKtu=}YD*|^Km#%ErabI`w&AO&4C#UQA75&q?k1}=NycE>GAdJcVU8tiocde|i
zzVV-p{;xA5!)KpuTBB<>rBHd1-QLoT49{bF-+6@wT(7w)YJ4U6b<)NkZJB)6-z@CC
zdic@~k7cXFu6CxU-T!f~Xy@}IH{&NAEG{;vE}FHnzIxN1T{-V!%k#JWIknRLw(pv|
zG3PqJ%~|Zrxz{@R>7flTAAa2+wEVo#&(Pk}#(i#97gwFi_bFnkaP!f(D&gRcm*d`<
z*)N~0_={Wp)S_3+3yT}eMZeF?lbIAJI9IxVj*)3sOS84;H1^F?_Qr?n-n+kb$&WYR
z65RE|JpXUIZ#v6+<GfV9mwEH^)Q%jD2r4SI|FNN>YU%YkTR%;m@GM&Kk6!X-zGY`t
zdR!CTAhzc3+@`4TS*PZ2W8l5TqdH@mna*dqGdg$eCl#gcO-`L#nriFdtm*9ey>VOK
zFWcD3U;G13O<gam9UmFKk1ZpCnT<F1Zu$2T`Q__d0@n4EuIbshu7@>4#6N@AtX47p
zSm-l_<SR30TvfZj)w{d6lJm&&%(|7Azu!OqXnx$(nDe?aM<n+r{_)=aWwU61+Um}I
z`#S#LEbjUK*d<u+j(MP$r`Ybd{w43OzQ~+;A;lzd8fWcS|3lKQvK+F3Tb54a6kWeP
z@tJbc3g4T-S~s~)wRm0AH~1WzvZB`Zm5i%wTiB`YE6p>1I`H_MTpSVD85a=b8r@_2
zG?Hyu_+p{JPXC2VytYkGd7}DizTxMa7e3gQYnQ#<U+#W4%J~B0w^GK1IajkkFQ0S0
zbMKkTD{8vGrv*99J5g&pJ$`L+V)8!Ld9Pn9f9@4tJxxSt>9HUGE(94j-@LPd-_HNA
z=!aiB>ux>w;+zrs!cL{ycfS1PuIWiXjvrh<d5imzCq-Rz<iC6Lx0HT)DKjZ+s<*3A
zMArESYtpw$)EEVNoy!tEwunt<YlVgO%4rMzm#rx^u{b`>M!hX6G2?ZjeP<@u<>v1>
ze&JW{tvmlD_VeFA#is-Bx^(Vq=hxi6tfTc|;wHA`^Ajh8{JP%ow)fF}=56*}|0Op3
z4YV;!IPNyl#Vu}4+^m`WXAVp;;_CGJ((I<SXzJH9H6lDd>)m-52{kXA=(TN*(WmkN
zua1-rEB^m1u2}Q^y}!mXk6+E_?(URd?x8PSwfi5l@%_rSTj7iO7p-Vs&_5;T=C>(!
z7f#m;PG;ElUwr+V|M}~;i*(2K#cVdv=Jzx8l-{c!T=h^fOP?+8>eu75jy)?7RNHK?
z^Ycs4CWYMsJNnb3Kg8>XX4EV>8>RK`p6<E8sN;)^J*$147A*CD9nIyR<C5U?I8)lX
z>!fRQBDY-Y>km`kH3Th;J9_pcf9l@lypPYB{n*2IZkwu~)Hm4=2X&V_|M_Ehx;X4>
z;-*=pQ?*_dy}8_1`nsbcfB&{q4bmAB3k2L)Ztr;ZZAaOQ<U5f*=h`3o%uoLH<!qkK
zk(X=xAKp!fKGM{_F0Pw*qIpi_%pFUX1#aYv+iU)9{vT<^^-1ZU+wSG8Jf6bkEwUx@
ziqPDr;T?a^Y0SI1Kep)P;`@vX9jDB9-SS6hhmN%Is<YM0oSv^wTAjwGnVmP|J6~<j
zU!kgNU-`Crs_jgA_T%W9>HV8Z_pJMNZ|B1E)z0^Sw*A{}vEftrO^dL_=fAI?yv(kD
z|FTba^&cxLH-6l&m%e9q!L*uZ^N#-8sn?Xh>4A%m_d&C>_n)PGl;6xdn>(qZI$0z4
zI7dv-sVd>E>$%TQ;o<r=_bkVOEsJ@NJln{%UbXLK>aDBIJ3aHZMfZF^BNoOxN#$`c
zw+H*ab7!yoiCFeCaOr#3Zw1SL?sQswX3^|f@tb!?>dp?yJ(Kk2-!40j-#Y?cOlOV1
zytBCRaZ$mWoB6%ZUNJ8DXRxZ@exE^q|1N7z{^r*9_2s=`>)EgTTegYIU%BIL@9gW<
zDr%lrr+u6l@T%8tVm0qqxA)V}`!7Dvb!X->hG#)XB9~crGUXL9N>6E4nOkt*PybI=
zQ)|hbr$_m_&ULRj|AXs}Ra8$(p{<;t{fD11nFn2p<909kDZ8~`+1jp&UVhGD&0c%F
z3}3s4nL1zHSbqP0rt50=eu<SWYAZfOuIdw&<>0N^s26|gm;U*CmtsCoy;oy<#UyKg
zTH=;Zi>%7Da*m}qXQo^&EBtZ%tVxZ3f6aOIbDvlL)O#Il-Md@#UB@J+@WyWK9UGr|
zip%ZWE+7?>eoJoVe2(9?{N^iT<eQ`4^Ic8(`)#>U?yZM6&E~zH7d!vH#zM|A(S%t)
zf2DcPDvPw+uxIVjq`Wf<m$#Y)z2r=HOni8v;or06pXUAOTXeQ($pg9jjT=)xzfM)N
zlzw6yIr;B0$=pv-(M4e$<-5(k-I2a?JH9l#?#(%|z8CdZmhL?fY{{;@`>6I8i;@L3
z$5YEqj=r$^?)TnTJo)A9@Gx#=_p+Tuo#&FbatJ^F)>pnVSAEU8<!k+PSyfHyOm=Pg
z_)%=_hP?Q5?|sbX_1;@>V%vcx*@YYKa$i4wHR)!z?QZW@_E>I#%ez%pK3nsjF~FOd
zMTCKYfrCN2w##?v`2v#=PG$y2J~0MC1_p-o#N5>Q{IvL-%(PU!ij>r}H+y~iOHY@|
zmFcSnO%GRb4cek}dD9)Ygd=WFkKf$-U8Bh{;ho*{+Wg<&j-S4K_xmR+4k?qP9^wm^
zHUx>>-hbdS&u4L_3K12_iWxmj>C<|6q)(_Y8VMMA+HgL&61DYp_S*EdwMV>fPP!;`
zv*!EfE5}!bt`1wfDz@|+zuo_n&-Z`-9?xh0ulaoa^Y3we_5a=X|2};G_gwk?dw%|%
z|8KASzeEo4`VWui*Zn&@zvdr*{g3wf|Np)JdoBO(hvoLa?w<eu;<)(XUrD8gaXgQY
zmhJiR<g@*+hxz~i9hGmry5Vp1<)im+_}u@!d;b52_y2tOc)5G^|3{za|M}(`@zzX{
zM|}VPYVrKKUq6pNJZ`^;>Cmg~*DmMxuI}D_d^z{2-w%%#Y`lH6N&lMo?fM;DtGmx0
z?XUY}eL1h|hF0Lu|A(_S-}L?cwyJdF-W_|YYj)bixL54>ac{%@o<#E&-!#7IM_RhS
zN`xiu?z6lm9^QX_`u966g%ZmYxhf2EgnoV6K40(tzB{&e<ehyCVr%qU{??i2U01Y=
zvE5%;?Z{e_f8*`3yLB<ShmQ6=e7*egTh`7!w{zRi=Eb~E`s=diPF(B+o!6QB@A*F7
z(wnLD@8Z{qQlj3sS~@5B>)iM}dv<%r``J%o&fM+1%>U7T_E&+2wKvoo{aAtzmv6jw
zb<15J!{c9{?q2>}_&JC8{oC!DIpwztoo&lxU3XPh?A)`@&dx5V>7!E5;~nzbF6(JM
zDX4XRAY$CNdqv75!=&YpAAjuR$og%t=GyG5c}up*ztfMuXFF%c?IU$Fm#vucwoYLt
z-|}*O-{(2W*WRk}T-MvR@M*9(Z=mGFn6>4*zs{2?tf(=6+s7POy~<STVpZ4Kd+{>&
zZS3XZ=gF^pd(m&(?;AS`d&D;Ft|<QeEYV}<qLaDH|8BV1V(aO3W{0Ow$f+Io`hKsN
z?yK|u?8{H@eiZFV^Ol^g*K?|}y7u?S)0aPfe5tB6`^Sn|cP)H>3tScZyl-#qj$M0d
z_b49b*ch1@;rlzI!N%sEU2O@E{&o4-d-vr}+8k2bR=EFu-nPSe{<X&H6+61c-2a$m
zO}w%_^1YMRgV=b6$TNCo75h88{8S6Nf}C{9Zmc{0woZ2IG1Wf{v=yIqEfakjz2526
z`I>X~lQeVNzSi;F)-Ansd-;ChpY5-gd!Lgv-5#BL^30<hJzspUoO<~2>09Cc=_@9_
zKl)JQxBkC&o-b-qN)JsYEIPTSO*1NT%cI$cKYshPx$;8K#T7}*HgxaZ-L0IF7ThT<
zb$Pe0spW==DW9I6J^Od!f8Udj7;fh+ex<(c#_Zee+s&`9WH4GC`sP(4&*ObX%7xXN
zn$KDt5qrP*=-oT}Crouw>pQmM`PU7L-l@13es(xqA$7v-`|7K9F2!-eRukf9Eh^`@
ztQPgItA^old5e4Po3_Hu_ueI4o4Fv++_$jBJ$`Gv`_CP7CbG=B^x@W;We&*=#y!f@
zw{*sCzU|^}a*nlES=?oDma*H?e#O3Bof3ZgyIXs2U)9U9SvaRkes_j&w5wkKs@n3(
zjt{e<q&qXM*KD6tbL{4NpOklnE-uOYD{bcST@;;=bUkIkYr|JfEBpKB?~S=Hr=RJw
z?s&w1wObeJwl2T7Z};wf-LhqsKUz*?aKC<AwY!sfTFt$?m#<3e_21eT@9OKTxNGL!
zqt08KOd}7fo#T9*Cu^O%A|;*mb;ia-UpJGylYMflBrhbeiD=gP8m&t{IQy!DUVYf9
zwm+}(ZUtRl*~ssE+uV7}j=Oq(4jWHJ-1r=9HpfDdXZ6_)v2VBM<i1~*->3hub<erB
zm_ueR^)9(HR(@=Kwwd>(iqG$}Y5P^#zrS02I^y<e-rf^0wD)i4zFf8Wp`dkGQCP;5
zb6y|bDF>ZaTb0_wCcV7<s>feL^^PQAZ{{NNHQO#e<$3Z`d$zU$tE6TSZ*<Z7i}#Z5
zyEd;eozwTkXuIL%yuFf-OWcYt2;9uj=Kt=$oL%bQZGqUYS^N9=bfXG#{=CgKoF)-}
zgwtx3W!n8?i9g=;U3^isXmU@n%Fmmf0k5XM-PKyov)`u9srSw#MqU-yInIyvINS;S
z^2_C@pvdyeFFuuB^e{WJ<lw&Eu1>#nadu_@-uH6bTPB_FxTlp>?R(iv=SkMQf-;lY
zd5fpDUG<3TSW$WG=0%S#$!!~zzom5fm<0>%{C#x;U!e`J%F)NUTl_Aa)7vHRY0mFL
z@!Bgs6Ye~z55GL?&e6U_EytIizI@rZ@^<VM=Mv6~(idem?5x;jX}4!#U&lGqs9zRt
z$({4m%7W)OWvgsZ4&O9?S>v-8z9FyqFCE$Y>s$E$<NE(^Re!0!|EquB#D5=`^55J2
z^H=rzzmMxbzWx7zUB)?X&+n7`^<N&(kCUnU(Qf}|xBZ`H`TxJgukZgq|9|uRx_{RD
zf47U=^%b9P|MMiP{l9}p{p)2OpR)h;G5`PC{hyXbTsc}D|M!*o&I{k~{azg}f4u&W
z{=TpF^}4oo-w)pq*0-0i>*o6V`u*~($MyR@9sK{L|KINa&tJ#?deE$2`@zosfBt{p
znt!L*{~xzcwOIC3sI8vy@cxd6`pG}ECH|@M)Hgi5(pUfU_@6(${B}QHncx5aRX=vm
z_k-;6&+7ja{XMR~q`pR1IsWvC>&BnH_S7gV@Bef*{>=X$MZb=l8-F_6Q=_d4GW^B<
zU++Ep-mBHBeO1j>eX0_>WZCj*%i3yRHn0D5pa183{m=K;f4qO?RQ`wi@elXkf3pAn
zqy6`v?caZ@e*fY6|4je?n*RGO{`39%&-eEqsb8EBc<TSJ{i&Mw|6k>=|H=RF^!fiE
z-1q<f^u6x?_IerV&;O2A@1Lpjy#D909LxB*y6SKKSxH8kO}SZ6chY#y_w*ml{B?$}
zp4VPFf95|^_JhCcg+AW>p09WB^sWUl#=jg^B&OG&V*6TWxMICh^RKdh$Jc+dzxV%U
z&J*hsZZfYc=IgGIIPrT<MD&sPbDGhMfAId(KD$ur&~^JipVaF=@YlU}pUe4Y{bplL
zHG?`q;UAk)nc~~j4!l^+DWbWVvu3GzWBexH2io;U!auIH$N%-O|8;o(_s9P$B>qi4
z$Mf&z_N9_J`x_){jv6(ucQ8))a+RlR%1s`-7iS-=-X>Y|Ve_nmtIV7K|Fu5Ev+Sz4
zL38}3GY_UOkTm#}#pZe{hfV%l+K1EIBx`=C8#m|I{ctpDuFYrtpWYQEoxb5eQ`m>b
zR91Nh?SwB^xw@v@<l1*F`r!3k?H{+!XRz+R$MtW0ym*+vz7ta%X0?QU2+d&a*%#$F
z(J1<$d6o7L(c4`6KB{kSl8~ue(scO$@7<RKec}(WcvN3tv1>tiZ?k!0{!O(HXYFTl
zinwohD|_(&Z|O^1$Mh3ew*<6@eK>uAOQLpblTzxoru^?=A69P@t@)k4rO7v+_5b~R
zFYOyOjM@v%N@lReH-{Z~v079_bF*m8^6gFg6QU26*STp&d=LAubidl#gukq78qA(7
z5Ur7oVqCmFEMUo*un*aLMgO?o=Bj&}zNKm9_9p&+vYD*$DKkB0Z@9p<k8y3o#c*wn
zpfv3tU+;1Ko0QAC|DSQx!5h&B&HwG%(DcD|TIc?x$b;*V0_S%0!T4=!AMCbYEZTC9
zYv1ShUhx9^8boU@Z)(bSf`?(<;_XfQlcEpi|LF-+_}0JwxA{_0p7;Y?9?TcG_OT*t
zJ$sMq->O{J{XdPP8gJPB<Nv?+99P(U*7V+h-M??&{}XimZhYSCkKOWn{@?w+L-FVQ
zE3?)IExRc)N$`WQG@~X*$(8w%w=3>%<2B>l#9}t-oY}qjExljlFR}ekzvz^<w(ezf
zcaCEH+Km%h>b0kTn=bkP>Ys{vbN_s5-~VCp{6Fa@UG{xCX#ZdRKl8%<pXT!KpLR}I
z)xPlKzxx&SU*q`MSo%2bFdHZo+)*g#J|N0e&cMSVqt4*B;tWG}ytu*Kz21*C8smQ%
zy!zPx-}3cDxqTnM*8lSV|8f5h?)c8+H`nhg->%=k;^*H<2LE2i|6ToOef=l>;OjMy
zU)TRR?(1haf6wB_3ro&DfBNiS=f7&3y*u~V?YncSQO(LnGhy1hhd*C_d|Gxx?}PWx
z?{@M(43;0}=dSrsX?6YeC-0x)ujGGhS#|b(ZPoM3(ev;9_;PEx`uw<{J6jH{7yr?F
zzWt)O{*NyYF4r8|zPz%=#@=@RyZF3!^Xd(JPkX+2@W*M=hB+w_eno9NUO!bSDJxSv
zIjvvpyQf|C_C^hl>e}ChR&#VNHuA0K{_?WK&HPAZg5k+L%adi6CpVQHOO@?E9cHW-
zeRfBV>ElgTdrsftg`wWhF%#G>^c|nR`fX6tQK4(zoXeK7JPvBwFeM?v>p-~h9+urp
zS*myKexNGxqpW#$QiPA7Sa46b=CY$AuaAn%J}PqisL1Z4BHdQJVAQ*?tEe|$@4fg|
zm+}k;yM6pu7C#AUWR1IP7gHb8#VmG1Jwk`Er^$}dfcGJPgOw}S0>78RV!=A68K>w6
zF$jxlHgtB09B^^vN?4?Hbkp)>h5IL{=$ubTtYqUpZ5$CX?_kCO9o5J@LEgJdRZbgA
zST0(sa{43VP7rlV_4uwSDUrLk<TYmR3y%7n>+<bYQO42d8|v=uJ$@<bd?NdFUODg8
zHtk<O@A`V_S6=R>>i#>GPp&DO%kBJj;-qq|s;}PevYWlfFL|94)2ev#V50V}^qZ5<
zDp-6pSP*)rXwk<xE)VCp9JcH{Csb4Gx9F^8=K-s?{*}JlHH6o`nkM}AoaYu>^^2BO
zi>}@+T2%Zd)8_cRjNa{YUdU8Soxf<Y_RBQkxr+;<-s-A<+P*Af>+_4;JOB3TMm?|G
zvVWt?#kKCgrM*RBtGDdGU;Z?I+ND$Hp8q}T6?OIJp8e0=wXNoV|NbY#^!ew1X~t`x
z)YLiFbI(4zrR{TNHtX}}zb3D{zogZqTRSCQckcx08)e@8Uk<Z1O;fHeb({6D$K+v;
z$@3G&b0?<Ny3IN(nYk@}$<4VFpUK4gtloLlWy`#1zmjuvT(fsQb$Na!a?84CzY@RK
z8M)i%yqIIzeXaa-=ebTHh&OsKTjg6`w3L#6vD`pn?_P;(C4cLu%jxsCU%t9r{nz~H
zUmqEJH#rAuSnIT2(%+XMa{buFrB0T+LNxyFYjFGiL{8Le|DWcBK37v21DhlXvkx<y
z<6E6d?VQ2r@vQ|`^A{qajaKvFNHbzaxxy}i=S%Z{Jbmf#zxeiQ%f)+V8Q+3XUD>a%
z&bb(OR`M;3iix_odgp<?v$*d-D4w?+S5^uK&v%dz)BU~sKtRqm)wO~~HVsa1Ih)UE
zyb(1FFyg9?<~h*gq%Fj3y;%E~q^{fVijS>zTUZ4i9zEf>zcE=LEpV}`&x{4~mkl`<
zf4aD;W<wEAn5?$<s;O7|riLExdc~lZvOr70PO8G-!!FNTk9XYL8u<R!it^kmyUkw3
zN`Lip+dDb%eaaPUNf2{!;QNd#r^8qZWAtxp9=N$dvG4WMh0KL9>n$yICN@Y!ES_;-
zoAm}i!Gm5$TLO2QX0d0_;h&Z+p`&gbk(arl#57`E{sx%?36>29ix`@pGVI{$NM??d
zWIH74d?&+%Ri7<!OK$$w-2AH>H@~_ODRn=}a@(|!=-nF5ch;)DJGQDOIdtE~S2rR`
zH#|Pt63D(g&PQVE=FhGy)$u+F*~!rj7Te6Wu{3N}bdZ^T{u^Uq%=>dIrk~|}v?I<;
zt>bak%_$7$rm+;pyg#;L`djWtrW(sqKU<tzox#{Hxo%?-`}K&O&Dm@295fAkmk{{0
zVfC2}D~t{=I>pniWvsI*=AqVRKNm$wvCy;#jv0x8-&#~pqR2l6sX9{-pL*kv6W1N_
zpoGN};@&ZRFKU-vhcM;Lh80Eq$OgwuPv^NV#TX@?q#Grks~aU=p{tdn7`?|(phY(&
zVn%%1Z>iZ283X2Qo1S;*lIV`<>kjNKVSRTsJ|R%`8xN~kXwv#%5HmygTRn((KPn+m
z_1!nl>Yq&AS{oN@Z&-2Zh4<l$so#}i!rNMR<`pPPianc^n79t?kC0B;*hbf>H!g}s
zgmlW@?ZC~8O>Sa%t1Yu(5!apYhzD_dn50v``^s;yWU*=U&Qj#uc7Un-C$n|aq$nS!
z8}=d*A)V|YjjeYoF6nQ$punfWCQ~O8;nRMjaq1N(AsKnrH&?iIRvi&`dzrK_-{!T*
zj_r?+`7qq_uRE*4bb)b^)77&A&Kok!=bhow3F&38Zfw;}a5qZW9-QFpkjQ=GvS@@y
z)7}>iyEh(Kba{5<>X)s@&M@5io|l}$@V0t8m*6!{Uwh_%MYTUGYAY>{ncfaPlQe1i
zChy+FCic)q*Zc>CG9OmxZ&)EzJp~j*+qv4*P3~xx?E{5geY{NX1|^v%A`udLd<(dA
zd<5Cknfab_>8vtY#%PlX@|XJVN2^*@j;%1g)Ag{W=a_UI|L@;S-FnA<>1`0WFhjOL
zT>Qoj@yCBPUEaihSQhi(Z=}lyX<45Kr<%W>YkEEJ<IlzH`u)dmKmHngSLaoscJ1As
zS06j~bsj$NX?^zf;oDOW^YV$y<ds<XPuqGpS0?Xufpz<1<4<hU{jNu+eErwJ^QBWs
z?WEew)#=7Qr%syQx{?x{J^R*;E7z8lnQhgN3KP-1^kh|Q=DMgE&sMc&^6~FKD0Q;=
z>%NK&_YC;2-H>0i(LN&o6#MJ1-ws5d=y<TV&}YHNS^lvL3@2HdxEZw{^LX|^LQ_Rb
zxs^xGvg*l`i6@#<4m-?SC((OY(SN$-lb1&AHnGoaEGI5MJ?C<_iH+~Mm#%UP%wB#`
z;t!l%QZ<o%rBXMut0ni#XD(H9J#YM<>HK%T<rO&f`H2fMf9cy5|G7JV?VqsXKljTo
zO5gVjne``K37qLHJJk|O`HNi%oVj}W{tTfku_T7K;WN$}@htdzYHMVRX~t$Y=8X90
z%}ikOU)F`RV!j2nxo5Y@JN&*ii}xs7?lvBVTi37UxG`*5zxL+ej0<V2SwITZcpQFj
z^|+b!{<<aWJ8=_MGp?7@!-V6$ibsTWhW}+13w;#&zXi&uZ*-knan=5z?L($+t&erZ
zpuF<sgIn<B$qa9M7ru0FXe!uScwdZl(d%oKvt>HgMPJV?QrMLzyIo}S$J=75>#I*>
zM^D-NPH}#2;J01vViQ3^8aCTBE*LL8xged3y=qwjlq!|FJZJ6XXF`mDrQFe}Gkh6J
zi<dc=r*oBnsZ)Z?CYr$uW*f8avV>4OO(aW-A3B(e^C765xdC6Y1IyMg^zHPyxwp+s
z)##~5#&@aKy|-O1>iuS}|Gp*4VCBul&Hnv%wm-jg)x{rJ^3z@2XIlM+Ln%Lx>-kKp
zzkVR)r@5d{S<%glsm-VP^maF`(n;$$n#FS?vG8cGq_s|7LGl^CZqd6lwj6%McTUHw
z@64xu^I1BPOWhwc24~nLaM@1FefIO}_2tWFy!f}WEz|hlmmea=*B7j7&bFDhkK=z)
z#SSYQ6Y*-R8y<J3$37@kS3LY)?{R%Nn;pZSQy%^mJS^#~XMcQrclUC-_~AQ=#y_6@
z{1zrwV0C8Ip&ddg$C?|z#|C~pdEn*m)1uGUS<mxJwcps_IU_-^+4alYRY&d}C}-Pe
z`k}Y+yKLOYIDXOXK0B@#UpBb;;AX*z_X$a<0wT(ZPA~g%e@03a9}T^o7PB??Sm^Dv
zJ==G7t$sM?LskEV=5mR9NfpfxP1~ljdltMG=DJfo;g%dv^4ekz*1|QVd^Z*Pww_z4
zcx2<X*|%rQ_H6b0?j+c=)z93o+QKqp>2VfqTeXOje=ABqyX<qdm~)xYV$PetQx2bO
zkusSXaMxV;)Wb77iY$!R$(-xaZV#5xJT|q`!9BJxRjxp3#gA39Q<Tn4?zvDntJmqp
z!Pl?Lr!Swq{QNex&4x3#p7c>Op5<dO^I*yZ`@NfN41~k@UNp{J;r;MOeAU9TijH|Z
z<2dpzRw?dqeLbz9`x^H_-Kg?;QCr?gZ_T)yoON-R{MALP7af+|yJv58MUB_R6MGK5
znsGBGty<f)^TdirOIBOGtJ>q{W_-`x&G?3^n{gPA%wsPB(}t=&d^_aUGjBQmy5Zf&
zR}58qta>Epi-!G8IJn+IskLD4O{*Q}a%5v{i`c{a?;JcZOF2e=?{<w1AGI?chhI3h
zenD@%qxAkJvpUw?IkOl87o<w@JDg@=))nU2;$v{Z=pdLAzb#5leDcLry<%%;WnWzN
zRBWy7rA1r6M{VJkjA|6T<Nw-0QkG|0^4>+(5?c(uDmrA>Z_n7;E4H@m$|8r;b8EM0
zTqsKauFJKhhIOmYT81dK8=sO)bzXj~ow=%N&LcS=4R#y$nA(r2NBas@mqp2a-BGkH
z>*v+Sr*5u#8r!d(zIs}}?Yb+|ZZEwujdSU?%0!#<(~H&1KBo$=5Yci?zT~5~aO33@
z4H^dDyv@EHTV#>7)8$}w)4@rd35x|pU#Um5{^AT<_%D&~YjOISr0bGfE<U;;yVz{g
zmDsAOPlMb#6n4FwVaf3Fd-j)Y?&;iY3(Im>?R4A|pA!PZF}dk0A6|W&6`pbIs$Nju
zjK=j#Zhd*Q_S?*`=*O!Q^tHEYrh8Oub=w*?t8nYKS%q80W*6F|yl|Ch`l#Trn}_8w
zV_TU4hnWiF>yoB}tL7zaV0YLM#d!W~p!PG#aP4!F$D`VB`}8EQy=*p3=rfm<a9iUw
zPPQm@iHx}kYf889IvIrN-%3)HSUo+S-Kj=n`NFh0-!45DSN`(qqS|)3ogYtZb@!9A
ztV;Rdv3>69PkxIP5B`=va&5aw2d|#>jyI=-ckVmLtdd$95s{m?@I-6tSzVow(8RrU
z%X0;1f2r!fx9H}Qbi*%vXVqdeZGY$0O?hi_eAn^q*N?BBwA3-*zVB{r*Z*L*V)s`z
z{r(^0r@yQZk#kF&_c;1oaZUFpnfq}k=T7kNpI+*{K8g7N(}62qzb-$%y4-!MT58DJ
zC6b}5^V|w|W|^K}5%tq}RT-zm+VwVH9ZcSs@dsyYPvxmr*>c;*Y4=$Wwd)dy@#V$L
zmSRV>*N!4ei^DkQwjbVi`bP1g<D8qW&r_&=%X#T@P}^<YoCzP3q?VYtnF<zvd?3ob
zc=qYTy>U^3tEPvwyjm){uu8r$U~j*}ihJ$~A@7w1R(%)bxcZx;<y9?9Uh6&ggoyXo
z4AzuqOI+J+%JcfJRGTCB`UTSv$m8^ZJDYnCY@FbkpO$yt_1soL8-C?}X&F6>J1+_*
zA6_fF?jFm9n7y0}c2)^H6kqUuvdvk4qUQ@$BhI}pYSr?yPcEPR*xfwe{!r;Tl{KGF
zou27=qknVhfq&=q&OS`|e_rOz|C!By?Ta`3Pv`sg|IERE^DVdPmhGq%cQ>mrKbRcx
zewOi?^0SiHc0c2J9b4S?b)Ds5EvLkY{j(K9K=^1~&#D#8fuak~%wu1u^+`}}t!t>u
zQH=|q-h>JAY`L@h4If+7yXDP%Y*Bv3ybg`i^cNx_&(lfiQ<cAa|BE)-62iMpI)weJ
z?8?sv7VJ|_l#{(up2GVoeFyg|?Xc!wT~`<EOUha#;#k|giZg=ct8Uln(8NBDSJ5G@
zmX<5T-(SwZceJn}?(F#^rxXsX{yEdZ^2E}ERx>sf1<0PV4w4m%D=*RVW4$^v>DbAy
zt0W_euNG&otExGBb*58sY1_q^xid||(@oA+zFloKb87XT{xcu{MLsU{v%2^Fxq|k;
z9;Q7z`_i%t#1huI9FCb5K4rVzk>8Oszg%mt;Mbje@0Y;)+o_kL<sa=m<a21o4h_b4
zVWMK|u5odfujb;u-q<?#$xh)XZ_~S$vm4yKRKs@B>RkGkbz-K4E3Z#a{>n2g^uE~C
z^QwWHi}pnDvP`(Tg(0$6Xo9KR)s(xe7i7NJXr)~Nk#1LIzStPE+nzt;cz&|<`vTJ!
z`IcK_7*x)z6qjl^VmZ0=@xSvbZ~pr{vPu{F_TOjK;RQCluf-*JUVo3`49kxYT61rM
zO2pno&ka?FCnbDcr+)C&QSYX!H@*25Ukr>m7W!Iv&Hc*;Yo<&}Q1xn>`hYX+tj~rZ
zucoP7&%d2Wv$M6Ut*Tm}zxCU@jAJKR3>GHM^I@qJGI5)1(wkM_pm4^HX+n-y^9r9$
z>bzP<Rhh$N=OyH<j5^C{b}B4(M#9yHoMFf7Dpxr!KP0>^zDlje<U_)PQ*LQ7nQ9Lc
zGz56sm<~cIFJsQIDxVFPs+vOO&%QmlVOD+RgAaB^_0Jajd_1pKyn6KlM~+oHmS`0m
zU$p%u=W*HYd(+fq%5`?79JF{B@nO~c#5)@g-Q_b42s3cE+#!&|cI%_M#O%g-A<wQQ
zN3^cIyDL`L@LB8bsH8JLjJ4LTd66G0c1=|L&xUm!_OIC{GOk}T)veyQ-*EaFftlGg
zli$rc_tGIE)BNBneW5i~dIwj{7hW^RxoPTA)rgzvO<yBBP1c1P3-k5NPYA7OnySGW
z)}$U`YJAX3lQT@qXG74BJM)A#oh{gWW@o8Is%cN0CjYdA-1Be!W^8*t?drEVW~a8;
zs@p6RSK6ZdaoU^klpfhSE1L_)?<uak^QLF@&$45o85WOUb=>G#9MM%E;ceKMq^Z_q
z<M+eGF>K4TcJq9Pw<7f`Po^H5`gwk#Y2=w5vtrU@GN%?S2|YA1jMF_zRVH)l9lk8_
zhbv-RzUP+-|9kfB)7!JP+v{g^NdLNH;P89y*`9CKvnAh_n;GAFpQ9#Ha!+Qiw(7YZ
zLBD!d`^_r6y3*K=q1sB;r&>wcr7HclNkQn>p6_`%3wkH7ez(?<{rkPO2iz1Ns2UyI
zYbH4_-Z61ce$b)yJzrWDPcX=Rr}6(rj-15u6I|WDXDvQlcmD0OfBEw3=bze{?mQ*>
z=#u0!=HFk56es>tz8K1Kb-VGpZ|ajXetuIux8&O&Np^POb+@j&>fSoPYw7K)-dt^0
zvzgr<huryd@3@Ek;hRi<EO+rOSg*eIfXn=yuTCwv|KrW33(t4DOxxEp(QbuWmSF0I
z<MVeJy(q3=da>E(%ULVN6(Y5ge`M_gGve9m->w#(YxhK*;p!ibGi`1R*KgT+$6fJ^
zH_R5kz`p+1^L-5O7Cno6Hl69B`T1MvzYM~E`|QuWW&i!>O{QJxC%fNGi#5G8oolz+
zWpS?GTCI|o`uA`-l*~0*=o$4+<85NdtmXWi+mbG<clmzai7WHB@aui-EtMXrKAWsx
zwCCMU-}dKSq)D^gf@@5F?w+!1zra$vXzgjmsmJ9fi)y;4ZgT(eczdn&uc+n_*8dje
z>f*J({89wl4%uE}Uc@uAb-%-H#-byAIS*<joq{5BCrtXJF2br{Bk?_(pTm2Cl=RE=
z_T5>k1{c-!SQWUI_D7Yadi>_h+OulkG(B%Gxs=z<TTVK@s(5f-^4w)f-y`OR8r9d`
z*$nT^SZ**UVSk82gx7{BF9W@f4bcy_bN@+ejMx8gnQ6bueyNG8TY0=|lQK){x(_{^
zH$Nf9E8%OFr@-0DIIh^*Z+&O?+I{k`Dc9Xm6|leZ>8GpF@%D4`Zt|9$zxsVy`pmkk
zw%ddKs@{G6{Ne4q@THc9x1PSbeSBm6npF>u>*<HzwJWRK`RH39PsYo1cS)^}<_E1E
zrXD;P61O$(p7wU{c<FaWCk`Y&d2j8IopD_}mg(yJOY=gS5;YG_I{8Mt;-#yK{@#u!
z=9d<B8@Rp<EIYg6S+(Sm{#87ttf$`b${aDj+~#9&s<OrY=k28r{H=HAPL~V%<o$DL
z`;<MiZnWe*og!mVmK&ujD*j{j#S3Y^&67BnIC{oOO?k4^(evJ{X*x^2<FsNw1-+a!
z-7f0WHJvGymfTPMVoSK*9(k|6VUL?<LS?VnkA`W}h2OZI**YbzY}b?2<=$vi>r!vt
zX<-VLr@6XBBf|oOIvzyvJq^qaKGCXkzTj^0`O}=alj_QYG_S1cj18LW`qawD@5<y`
zhbF9k8>m;V7T|WDPi%MPw79}a^J*srUr?FOGdXBo%t_xOUMnxdCo-lV<J@OE>n?Z_
zl(y(;(!GnP=T}r&+^IRVn|<@&tvdudAFrtVy;)`QXSrJMz04Komy3Hd7R`F8&G)6Y
zlCi^Ui4ogPR%hv6p#z+|p5MC`aq8Vp@vI5AD;D3HJbAY0<Pg?+B@c$$wRbMOi%bca
zdTQ;$M(gdH(-q65uL~=@t)6oF@5We7feUWmUhcfU^vd2X{~YsVPJT36wKi&L?ba{T
zZ^@@fHlHzC!OM0taq*o_?xM%JEWiD0B^{?3o{9~8%6;YBgiZCC;*Rg`^(wS7EIH(6
zx*}!kVwqEpuPQ>W9gE(SyHIFGNX}Z7sq^$SET7!FsPR=W<#Cft_n*#vd=n<IW$HKQ
z<W8t|Z45T4^$mXTCdgm>a8<OvpUGu=*$SqNh8G+cIT!8N_G{l#*VnQ0#MbK9Ms1Nh
zm~rvh0XKGj2|fuqLmp`lc3wuVtDcV14hyYb9$<G^XqD;79k9aBY6(-oigVxoc(Xf~
z22J5lTygGOXxjg^C-*%pF1h*VQ)>6OtaBObpY?ppI;XL2ZFsR;wQ2emIF;$T{u$Hv
zEWH8<Ww2%OGllHQGvaqEVT{CfE)3&p)7Zjjc*TgTI)G<Yk5l!+t8yEjnn}F-ST$q8
z@z;q>V%ztf_7dbeR=C&XZ<5S)??9ttg?mLLKuql;HGInt?@XMrLcN?Nu-}vO(28d1
zcFx5`d2(t!r_Qon+H_f=XHkVa^NR^gYCXwwFDvms{L{wyXh#i~wL#zOr~OS#bHr>V
zj(@$R#PxVbTvUtVoJaLNkE?!$avUk#yXA<Zh3+oHzSm20>v|qnWqxLSxP`s3Fz0QJ
z(P4!Rou9n<JPPj4Fwjar-^sbS=-b?mgy$f}>FFQ1MLi<+wM;+7mUty`Cy(7>M$UU*
zkGEWOhzgNlF_T-z^yo&$Pw!&_$K6hUWorCk(d77G+sCGp3I(@+be|A7p8L42!6I+=
z&yQ(!n;SXrn8h_ow*<0Rm-<LtJMvFLxGm((M8m|6({_@AZ>AU~hMapRF6<`zrok}q
za7>)}`UmFn#~JHBZ#-c7>+J(6?Q+OKE82*xOyN|>DCW$>z|=O^sb`n#3hJyn60fPd
zVTDlr(h@k!<UxOf*be<A2iz3*-eG<Bl<zEihM>->96QJEguv9#g15x-_Bnx>vQaGE
zTCdGzKR@bv7^Up+deeGW7;e>l@=z~F@$exrBb_t)hiiM!eqhk>yX|eB_>$|6cld+2
zT}<C!fyNcBcY($gK|`-X*1I^7c;&xa5Cg24UzR?6@!@(Ox6T>S`nNj{9E$k};;cFn
zZ!HHNw-tiwl*Yr$_7PZ6+seG{0MmC-u^su38+11uSmb4MRV*T;bNMYs|E-|mtd-k9
zVlHepE?hdRjyNyD!fUf~Ibthqwal=7l0sO{r0^uI+%&0%M_c|LX;XK!In7g%q`l#S
z#Jn3^Iwol$o$A{_qhUwF5&~7{-C(oX2pT+wiM8!pA+&pHgQ{kMzGq{#C)XWsp$#ga
zp;h+qgh17@0!Hf{pwMa7-mpT*dPjq7Orz`68wWuq$i^JtTX$fQ*P92R;Z_-$d6nEc
zI%4Pdu!^NVp1B?5=+7W;rQbUy%&_HqX|Fs(#{1VB#5rzU7mfHL{;iZ%>~Cvr?16n?
zGhTzth>EO@{pZ^F<<1_1#q-x2?%aCVm(ScPreMdxhhb06-gQbJPx;MtJMrT*(fB8y
zHnC2ZjoEgLp<%848lDrs7S}ecvH#9~;+Jz{!<z4t7%eNGyqI`UIeQJW$9m_VTDC8Y
z>>N^VajfJ^FXEXLl2vr>fkNw|Q!fNo%{?h;x+Hz_=Tl!yg3bmMzBLzNi1cNea8-q&
z(|qC28Pg`OzQv_r%N|z$FrfIWIG<^Feb+YO$@k3?nEECyOMhO@_jAXa#~;5{+^sQK
zef;(AZn^dEt*Y*<o-=2$@1ejMo)&e+obGjMv5gD1pH%r0?7RJj%9q|=#f<h#vnCvN
zN{@@M5ELmZiS+k9`+;T2_52&}(}cW2Vpg9&v`tLx)yfSUEN6aIv%Rx%W{cWH&kUcL
zEon16Zy3*PSvKEu#h*p2{a@Bx=t$z?{=Ig?hWk*;i2Jwh<PG<YIDhL-{>t7SrGI+!
z^0_PLv8k+ze9pKf-q>WdO~beBwzS^s3(gAhgGN}Hz~rZOj<ertH+<V_Iy;=<ZFcsy
zby8by-eCoe*&bj4k$ZA3q?K}B*tab$m-9lMWXQJ9zqf`of^e_XudP>F%tb-zbv73$
z4g0oo=4**Wgml6Lh3}bya{2pNpfusT{-!)rMm~?PJJS~Py|;QbW<9=de6mkXRfYYs
zo_&sx>;AWYGAC=C$W8YbU1K(R`N@R}+h<z&^6BQy>b(5qg4D8^X1;uD6K8n}e-)bl
z)!5jwTY8>%uF%&6>&drNUL7ox3q53ZStCpFz6Hy?wQWo-$98Ve><-VHrMt`J^{$ym
zsx(zDc`Htg<Ldkp<gsMBkJYr?wa+V6Gt-KE<~8w!ET}Z<>7O)nsiZZ-HLgkZyr=Ze
zKAw~4s?8W8DL>E5xbm#i;Z<AL-u(1pZ|EBDQ%pD1o-pSG>n6;ODEgOMaAZ<w^%stp
z*ETWUwPJDDzU)26JMA^czI4d`>H2$yTk3G?_H{f{=Dw6oDLy;nY-Qm|%lz0Q%Gb6l
zta%la|8<3iep+$dnwN`oR-C%zd&7*o_v^&d-`uan{WE*=@z<}rPhUQJx%ps9)5#vS
z;JeBH3Qx3`hkx9ErNk$~@<96RG^VFJw!BI>bc*qHg45Fz_vfzn3lUhQ@n`8TuNCqe
zw(SeCfBcHQEIsD0!uy`<+<vxpl0PyY96Z3z9A6qQ-~D6u^V`us54JtNyL<V0AMIPS
z&Kyg*rWPEoXFS>N$-4Tjw}0*1{n_-#t_3e2?E3REA(iW?@i&R^SkuR=R;R_3UhP@E
zZq~<L6|W2aM!)2FecvlR;(wUc;a}S?NkYf37L+zMUfXFaaV*N+{@k2`HKku3pSiMf
z!*>bxrv`abnXCE>FI4w5-&2<PB6o1XcMi6_?glSxn;hQ@@>KOFT&QMgzW4ZBg2b15
zQ!iHcB(II$+g=xC{V?M7i`AMv$!nX}FEi-b>c{=IlFxGKd*j+Ftzr(fwsRZZlq|39
z37F%kw^z$&isF8;lmB+*)*M-tKYz7$>IwOlb)D6`VSBDu9Q`e~s^b1)(d|+p*H7kt
zew`N7-B*+}OZtlc)5zegPwVd{2%M@)clys@=DE23@~jJ0*LS*p<<Az5YVL|t4}ESM
zS9<U9-;Q%jxgYBn*q3nM;s3()J#XQGt+T5G*W|4$^zXlJvGVxug%PXX{^d9<!}QHI
zyfF1@aYWZ8;ke7+A2D$6SmM|fkg$7t&TfXa(erepw!24%{51MC<Dl`MS>7#Et^GF?
zJ?9L2XLImW^Blgn4cZH2!kYpnoxZ>9{pHn(hL26Jy(>(0HeQ#rZimuCw`XCdVu8o^
zaebKj@Y@cq4_6PoC^ThWwejBLEVem?rp)IozO6s-E~COxWQX9Ui*~y-3_iHBFq=CW
z6j-q^i@O?RoK~$2Y+>rJ=G^-^_4P^rlL60!jy$*0%YQE?H~&GBMquV!mabc;7iC<v
zmzSMBZ-vy>sZ0MmxSS0-d|=zVv@fLvR}0y%%e_o^>i227e!{CP7TK7;sg09s8MoX@
z>JHnTd+}9t^p;-_#f};=aPP=kyVP}c>edWi&1ezL`3a`_n&Q_Ve>HXPH{ZBSA!N<U
zxpywGBua!QIjM&fii$X?hZma8byko1c<*Ic%*xzjQ*RaRo^s1*;T5y?8J{C;mioEO
zTd>&2pP^^28=Fhx#(1aX{Vm7pID7AwlxK;BE)4Bo9pKp-W*cW}{dn^)DSdU5+2K~j
zzw(wECvV=m+Um2$i$5ir_cT3Q?-{RidM_D%QT%mK{c)3nT4%gAaGerbQ>%B_ZEC_+
ze}fy+3KDBFIoY%mny$Wken)0&!mL8ko--CRVopd)739{lH=k@x$bJ1q^Q4r_mK9|W
zTe8aiSIFtMtUEn>n`G{{_g^EH$b5BOaIP`+y7JtBx1t<Z<yr!^3OmmK^83pl{l(>$
zF06lM-uV?)<~G~y<=2lolV&cqj11fPvzIHfIVbUZ;>xf!zxqPLnM|hIxw4-6_>1Su
zVbQNfP8;9sV9}Z1r@Z6(>jm!<R9UyPZQnRgeCEYvy;UqlAKBKl8y>d0kRl;<=+4c8
zlCv^zCeLjCYgc^mAzOR2<Ki!NQuXhiKP#QO`PS^m)2)>CO1HhesNC#+Xj+QUx2rzy
zypP!&KNQ3m`83n>s?P*rGvn{7IcaK%#>OkJPv4uJ8@TuT<T*A@U+?)BJ&9botF@FN
zV;x__*--vl1-IlH_pP>l74$mL<m}G7{BP5)mDwsT*%`I)*@G#@#Q~<NJ>2Fh_EoVD
zwEwx7WlT#}pZhp3aPQ?y{v5hoYucBqxleJ_^PRPx%e#F3J~<tBhwqgMD|>!w9|+Jh
z*dM>uF{Jy|T?wb(*9~0zPaQ73FH^QlqEExrG-I8gCa?MJ0@K8^mC45@v;O8=u+w`5
z2$?K*k~md)Y{E)s-{K4EQx@_by)e60Y1WH`y%SFuT##*dPhw8^`FLZ}M6Ju=e<oe-
zKKf$$aqkw^hLuZ`e+sPY>OS$y(ZhX1+*bo0C&Q-DjFSuJ-Kt3lVV%>{l+ec&7H7gA
z8R#Z=pMkYo|C@B*^yZ09YI`U0F5jb8aar>DLvHT5mJ*F(<qOYzo)o+E!|FGu)@|wh
zZuKs~pr(rZMS?-iq|%pP?>^o0;j-WNAJgW(`>1R1(4@y<cVGVc0~!v>hP_NZ-=od9
z{GThn=-2F1Gb`WS^102oq-KNU;SKkC5+m}D8LTl+mbf<CkmvPTiMFq4Jcp}{+Y<MJ
zr9IESG>t6WD>=E~t6Ju<tFxC&&fm4s<eU7tckU|`vUl)#Y`<amP4LMv<?4E+6T1#D
zJ=r(sEQ?C!P0(s4J?mX>maMVc%OCY)(he!j%ngeTr$`vIt^1ImD8VDvbPzlB@L9vb
zLlzP`J<Skm_4QrGeYd^#@99ra;N^D>IHjuBnsA|<rFoyS#249v3*Lj~Mrz#+URXCd
z-WTNg)i;~@S6|^8-I5UfhYQ|Iu-6{9$k6_}a@MD#Y0=%QOoQ*aUVWFrr!nhwK*O8w
z;VhiBMQRKE&#8PlIkUyia`FZB^h>$wV9rhr#$QhkIn9rWcrlsV`qcN}jLN$~rImLV
zR8`)cXyw2C-qgxx7X+WId?<C|a$BnLnZ%jLUL9JNRGJuUxV2MJOj381nfoUt<^?-q
zGA4ii(sA5T?V-g96&d!KC9hi^D@-nsNfGL6_Bm8I!PA1TOXz`xhK>w-YwP0$8{%>f
zO`dzWswyjQDWi~A%rUh!TQ_XDHuLD#G@)BTY72E!q12_RV#s_^RflM;HP?;Z=0rzi
zc(=A@o!Yh}?ceejH~9Gbv!2Z_H2r*j$E=^}F=zi6uj6}vc8Ap)^T)3W9`vj}++uuh
zesJckt(!UyDmB@di*@d>*jHaw@tN()zn3o`3c`mf!zU&mS^sl~YEx*(j0907wTRXZ
z&M?KKrqT|J$16Nr*cY5L-#$G*|K0AL8iMBE|H@3iSl4$t!~S^cmidp3ZtZ9A(D*+4
z)ukO_n|Dn)<FJ;cg6rz)9W1M-Iy8mWt3|A35n8j$^5Cl3!fSTTOx)Y#X)rB%eS%QW
z(`(u@Sdw>s<x)Gi_l|RI?2-rGbvu{rZg=DQWZa@`?`(lg9afh8DXBJLexlL|=PQN^
zOO{SxV`n+^Mw#KHib=;QmEsEuW^8*`?b`YO_VL%tiwtBZ{yeez!rL6i^KAF-pG%zM
z%e*B0PgqvXy=+$Tv~;J^dp-@^n~fWbVxme75}!7d8Z3<YuxIJQoSI`-XUr%x4L`qQ
zR%=?!&L5KD>r@~9(vmowwa;@yQ8Z`RIi-WAwyH!}E@IL4_T5la<ZqL@(n)9ym*<AS
zj!&yO<4)c^$Z<J2#?9;LIc@LMGsbn=$$Rr={(7@1>%kfA_=xZ$iLt4Yvc+G0-`<GM
zi~4-5UFgcvePXJ*cdn*o-eNXLSS_Mku{-_2=JS15&fiV%dis2_Izx-x1Aj+z*AxEE
z{+|}#|D=6=@}G{+D*KK7_VZk~yUf1z*YkPL^X<z2TnJa#Kh-aO*X#M!^X$LfUFrF0
z(b+G;!Lkbbt!z*7&-VY~X*|&>Wn$0?{vLm>3HMdzKM8-VelzIm-cQnZCjW^stN**2
z`NiWnCV|Kl-9Lp+j2$9hn$PMAyl`%33W#KJi0632@RPOd-@bVE75+E8lX<`N8iUj<
zHD&A&`Qsg+tDyQce;wC?>zefvTbWZH|EOLrs~~-Rf7e~c968$yw-utDzw1;c$`pP1
z+^<&7(Em<OK=Z}t3MK)~7t&=+FP<jlmY&~h<^Hv_>gGq~zeOSJ9_tUCU;8N~n7P&{
zKtxe%;rduEhb0U0^SB(AOi)gpqMSO#%*Jc7?9w*(%uS2mES)HQeMR%%$nST`8Kb|*
zsKwQO>wEd@gsg@AG0on$>uP0w9bZ`=v$g+^?di&QORq05FP!*i-Cd_g{aM>z9$)&U
zo71ZD*3Y-UUY4BRcFmUG`)TRft3Sn`PLy2sZl>rp+wV-<7u(so_nw<AwDQnu_OI5S
zdi#Z#()R@>#5?2#1~;7cW>k?E`%%~!KPi9jfqbzaZ<+Q-Klt9}D)RS)TmYxeY#q)+
zK}@H$yqUZ^&vr9R-)?33IZQqM-m52P^iQUj7Cl?*cW%$slQTi$)dx=ps@>MFyjgeh
z^f&LgZSkKr{hc)Z?esl4`=2bG=p84y(@UW@Ms<SQIw23`a84c7C^x;z%qNq!TirA&
zItemvQ!0!XJvZh{a%qug0u(Q}=2E*td44L(vHJ(#39pFQd){zm#N2&>_ZW>rc#MpA
z_MCd9q&KnQXok=U1^p8{tWE^fJW+MI_h$E=@P*4_UiKEPG(OeV8)Uh7O41bV*%Obh
z3et$nDxMO}71W_{UDxqhz{Wj2-_$j~sVvU<_pXLr!+65PUn*0LPx#GU^3it_H(RF0
zWEQqaw)!RePAg6C`}6j_UTaMMC-%$Hyr+!V&GxG`iqs_4mU6nxy|hDZ<=nt^*W7o`
zHC%O8cB|N==(xo(X8n7VuTEc><CrSg`e|7(_X*vGz;~N{Vvg-GoMEr|+2PW8zHJHZ
zv;C{GpWS;s@Ab9n+1~34)nDGcacuYVx~s>P%FgdqxU^1fC$nRG#j7m}?pZ9qw7vyR
z<SF57kz2~yvnpukQHdqKJeSniZq_=M6-@Xmxg?iOGh#xT$P!6b)s-<j*l+Go5EWa}
z#I`g1q`l+a-@z9(_prTV3|#i9Z&Pk_&TWO;W+#^MY|?Bt;d{BXNu*?gm3+wllWP|#
z+!lMXO`^VPm3GK2{|U;vCv;aUm`5ymviOb2w9VDi_I$Xp>4>9Q&XiY==Ld>wx`-uM
z@BPJgv*h2*(!du5j)J$3%kLGa5Y%mtJ9p{v>B}EQg74b9PPh|yWL^IHTkZX`+pWFK
zCM@puZ$DnHzx~nA5aIo`zZh3`*FUth-FL@+&OAFS+4~=so%y`G{P<*7{)W1migi2l
z<?Xh<RJ?p8+E~|!DQ#V#VOgffsdc?kr`Gk(dUtCD_xoEjUPRu$(p;E$;BsVDP30ch
zzVGc5;`Gkn_?x-IYG17DyN8$UK6VQAD}0<Fl&uu8bb*$8z^S#NT-<j1Mc)gZ-}FK&
zFzJeyUM0(oKSle(=T58CV-WD<>2`2c?PXRny|3i3`Pnz79EOM&8?V?hIKOnMJUMGp
z_?(U9{ryjbPyBUR@=@=J+OFp-E6?3*>p3dXCFAc?^7q->(_cT@Ez&Ps=;<c+|8m18
z?HPMcCx86STKD*~NoR%4*)MYbm!}*LmU!~f<ZhMCS#`braSx_HiC$Iz`m@RA_xi^a
ztiC?|`SD9pWo>OuO^s!YUF@+q`Sw<m$3>G04Bw>+Rqd%fvC^*c_qSgU-^GgL?c8FV
z5~Z(xQ%C)#p88E)bxZfNtBo)5q%Yx2Um|%lV&87dm>e_fS@)zuc--#2G0u?k_O{7q
zvw~tNn_ks>Z;C7K>6H9f`pNcZ$M;+Ae<s!0{OpLYzPq{Z=C3cumWy-Euc`Wy$!C*W
z^&un5bLW>+3o9Q!ycBm>>20R&r9JjJ7ffn*s_p!^drrl56?1O2oskA7d1o)VZgXDI
zrRx2KjV0F=%-3Zpzm-zS|5&l*`s<nlhnCuQcL%m#-{7&^@ZydHk;hGeJ=|`FdJ;!Z
zxIOHA-^TN}Njh4i?!#2^%<Eci_q8(qpIsWe`hmZ0)fcD3)4n_^<^H&?V9Uzf%YW>S
zF15Y+^T0G+>DGhmPWo}HS?kVt^W=3~#r1w)?z%JY%k4bUE^jQko}_*sgkI`4dRI^S
ze9(FNx2oC&;sR!}e-=tyx8vTje5=Rq;M1qdowVP5=skMbZPjtM_PfFLGj;Yp*?7}-
z<&mkkjFTVjO?22}-qZ2DP4VMA-A~yu)4#3h<j>i)CH-X0zst)iAKzZOcUPtS-KBfW
zJ}U-H|2D;HgKxzab04L*$AqfujnwXKws>UQtqh}r`R3~sWUjye<7}&UwVCjbgr)A)
zlRg{9PyeR!y!`04TNz6#vsCX1_xVTf*pe-ByY*(>Yj5{G*(SGH7vI*Ccw3gZZMnIT
zne66jWB(<08Fzkd|9ow`R8{<&OY@HMeKEVWY;kBssr#+THkaadD}y5HU5)LJx~_`#
zr#s7i)3zsU+RZxouI`LCc{}U;>mE(N_5RzRb64w@?A_PB<@)D|-`=DxSnRC#_Gpwz
zd0*`A_j!Ct*UjxdPJ8n1&6McnZbIAd_bx3GD@%41+A7_B>BQZLDQ@qMEi!p5nRzb!
z$+I_8UT+Sb7yrAW%<KH@k27_rm#IhCn>?7zp!asxAE%55`aNuM5;NB|NR{nd$DXbb
zkW!gu`S6V$_vGluuig}$+qOsGoS)^Kxu4z$3Z1+D@a8-1H|KpV=dC^e;^VLB*;c8a
zi`H)U^QzjhzozngLDeH>weEMH|L8^Pia+z0Pu$sH{AX{CFvp}5=8X!SAjX?H$3E!1
z-H<M=y7#i_>BtDp->XaPRzJD4F8Pb{g@gSL?DkAgH%IEdb&Z&EyZ6GSNvHMh#Rq*{
zs6V}I>$&$Ud~RlZzSbSrS-$xGmuDBA?)}vH{fY9u-oDGT<JbS>URA#KRK2VDi|~?5
zGf!^wj(g?zsp!1_r;F_CqW*z40ej4Eudn>`=3hb8j>Y`fcBt}ntFCEvw}`nvr?3C`
z@)g~$`}^BlKP{as`bT~Gc5`mmo}vQJI6gnkrWiY~xV&c1x|NgNcc~<={BXJba^l`8
z35(_*$Pl^{6TdHduZ@nF&7&H|!^~~r-dkIivK$U-%2;-{Qy@2Gv+R$fCn`h4owXM&
zRXJQV>1pEDc%O~YK8kNwc^8&C+v_C1c>JrR)@H7pUs}_T`%@BPesjv@zq@}=?xpyg
zgiYqtmrr%)lasV5`f}o=@^#Qkt+np&Y=YceYps{IzX{z^6uI-vtD6rt8SQx+xpU5|
z$oOZyr%y#}PEVb4Yx6#}*jU!^@Pk)HEi>C=XDq+!>3&k*M{$Ya^!OP|Lwi(zs{Tyv
zQLU6r_N=q?njr?-FmouWE7dZ^;KI@lztAkNPeH+x;xA3%dCIEqZ^{{!ca$qiFNsCB
zIn>cxd6Mts#w{oGm@^$uADz44sgmofv&&Q0o0Yg^CM%YdO=Mo!+nCR2x95U;h^gPp
za<+ZPEn1fd$TRqTWn<ocX}yDnc;UZFJKoRdKWEOUpXY!0&*vJcXY-$5HsFe7=ZaPA
zQ3}rDZ{yW<S}V}E#bd|C)lW<n_pw=cW=1ep=65J-E8o#NzF}Fyi^gZp9nw_`ECn95
z$!V+$w0Oz5wYy*m`=PZ(YP&vPdJx`!y~OqJ%Xfl;k56BIcuZlP{j&JKSC4=eSIsM!
z=l*N%A-zYlJ1-a4ERwfyVf}P!`n&ebR|QI%0i7Fn`k!B}{P)KKmBXh$?|$*1&*Rtx
zlZD0?TQ^Mcye^iN8+F2foAdRdQ@qoPoUgGi^4ZJo{eI!A*vZY;S0v_5nU;J)X_1h3
zY19ct&Q2~9X)_gD$0n22)rCd7>Nc?*O!;~lgihN;&NZxTPT0hLFomz_q%_Oo#hr$k
zi&nq>`0~@2FMr-W{qaF#(XTTuFZvcY`Aup&s>tjcb7G}X-yDOp8PyxNJN^&3{p!oM
zRLiTCsYer@%n7-@IVks>*H%02t0iHpKCTIQxF+Oq<jQ~zTMkV;&2`*TTSj!PM%%0M
zh$_xo-sy8cemUva?(ZL-*HwPLJ=^~1-NTM+=a+Epth=Q7B-(W1qfdrSd6Q=Up1pka
z>|(35DT()Y@Al7=TNfwSa{Z*i-&1YAzD=i^|K5H1<8SxI#S2V+7Yn_G>@9jW$K`dg
zkneL9sq>y9=R8g3O!ml&?Q&W6y>+3+!wlPvhqPylu5B*S6iJA-lVLs6B3<lga7yyr
zr~B#4tWKZiPp_=B+FI<kYV#hOxeAubS0m%*mAyXiQ95n9`R{8HH3!x*9_l^Ho6A_@
z=y7QBYqmn})>{5WD{e+#e9`c+$5bb;XTg(W9A0@3_OU!HdOB0p=#=@C!o^d}r%$$f
z$araeuh{-to5(Yl7vK1N^33PQFFzF2tL(dNB=o0w?%Y59)8|%b|2}^F{JQ-9=~rG>
z?Ap16Awo0ptJ8dyV-`Vn4=wUM<BhKyl(l|Y`oeGjbxj`sZEZ78m+#P!VY>UE;U!yp
zxcQBV_ZRdkUSezuPdcLd<JZS$T&pJCzV$V%vfZ|RiDPQw<W`GW3ptL@)~;HSa%TgZ
z#S;$mH7W|?4zrx~8VX)A8RcE!HZSGa{v~+|f9$1G1&Ja17N+l*X)f`S)4fQj@0P2@
zC1%h9p~YnrPdmR=lKHCqu+27No%}87hr+XZGj2a%6x_0sjq{d3RRrIcrL*5mF1VR>
zE#_&Y@QL%zp}lVvdvo7%vwdO}aGTeBr8)7*RJJ#V+1`9)dn3>O>41RSzbb|IeOH_&
zGalpp+%TE<;i;S#;fF76mFzhD<>hxRg>@z~PX(^^-Eb+TY3e=BdG(cnha=qnF)~N+
zOlhqbc9?!>yR$=)RUb#9M)Iy$&cX<*xlHW_T662B3QYC0(6YU-)`{crrOSUsI}TrZ
zd5XOya5-BJQ)3nLfiK=l49lu7NcCOgsFo5xWWVnAd*4fnH;-v+ie6YhTj{DmUx=|(
z(Wmu0l_bRG>3w#V3G=egW=M?Cj8DJbx;%N7K>O57JH0rRg%>-vc`eUpRWx_rQS_eE
zUDod5##8o(xw*`bX*~>(*jLHhwLJQTK--}Q3w`ZRWIgooI5crWi?X8oE@qyGrnRyg
z8h@s9Z0ES6SkUYcv250R59zjE;l5e!7FqXP?58s&E(!T1A-2YJu49|UnyGcS*bZ%Y
z{&9wabbGMox5dhLA2l1Snp`g#aouzO-Ry^2XJ!;GF*wxxgLlL7_8lvhNbK6&@Xo~4
z_l%zI`Ew;(>i3)e<?3|Te=<*|>c;OyA6={aryZ|i(e3}rQT6sh;zRe`Khm<^_Lm?2
zzvuTrUfbwp;`8jc_aE%Na$tXO%B7cat9<kS-ucg_-?@DE6}h*a+iLo63+Mji+qwM8
zhJu|Z{s*pWt^WN|J<;Y?=;=r2FYd^CC_VpD__o63b4wq7fBt9o3UB+uhxg}j{yRH!
zbKRYDi)~x=|J2?7lz+T((MSK&U-dov^XJL;*F2f<U-bXRNS}>yK8pNjUWt4<u*Od@
z{>LkkPp#`Aj4zWiH9P;z44U8)H}it$G*Ny1&=(@VQytG`Y}}*FH0i`_HHFSU52Gfy
z#2K)9K4A@>kfLnkv9qYUB4B^{>dpP9*UC;Wb!!iwHc2PiGh&;m)#go8QX<n!qEa<a
z7X80yId!SZ>07;uTPkcX#?3Taaro@stFM<oe(ESws}*C#!zPxLC2zXc>B6tXL)Bb+
z4!wLYc{#+b|5xeSJpuX4r<fmlJLS`Iwl`Bgr3<{7@_f6;y^Y&~Pwngv`nLVadF>9i
zcQZAg=GGgl@;){WJ{7NO5WH%B{6<hy>8Gw$w71Eu^FEc^R!*F6Y5er&GB>#oXQn*;
z`u_39Uq4Gef06v3^nLf^w-f8{+h=`z_we7dmk+;uI%z0%Uw!&{`-<stGa2WU%Zb#T
ze{U=Dr|*7shQ#uH&#&i6`AG{g#9z){)KmYZVAoIU&<dXtRa2Mn;^zDU$F!?X*V+uS
zI=Uv$b&ft){QSedRe3wEn(q;0E{Hi}9doAk)(fT|9k<VXO<JVAQqkwFq$=l3sid`6
z+#f5f%wP0#efIIk?oVPZ7rXB>wz_yIIq~B3`*C;g?Yna<)AViIvg4apdF)=u<THCE
zlTY*#rk2!qFK?#`Em2msdf9S7u#vHmnJN5B>_W*swLAMRoVJ~k(U8&bLTZt8N1o!Y
zW{oSMZ+u&vDw(HD=Tps^vggT^K!4-MNB61hUXs6TO8fiE?xD|?hqz4svPwPqj_bZf
zPo6%Hy7ut5ZuN_s93}qKV=rocyuh$GCMI<1>kCVlnuTbtv)0_<_;&M}j!EW8Uv>Ar
zTD|vOQC#WOOTlZ~jJG}g7P;Ovx&5Evm$TBp-4A}N)L;Iu@UDH{uj6q&tM{!~y>HQK
z5MvRDv2T&~!q58_y+%XHm$^S0u8ZFFT;lz<+G{iQJ+IodzRP?wZ`r%dySBNzmM1I6
z2`#UDvs7_$23xQ??@X7^S3I5fzqn{qdP&AAlP{LHf4N_2NXG9QtLJv*%2#g<K6qAn
zYRqdz?%a91rcKB-_mA~HQ8s(|I@Oe&UpslWSH8+p*ql3Wm(+=j*~^#tZaI<@<<sAE
z_gnw&e}(a9`{daF%?SN$)A3wkp8H3e&gWYz?sYt0TXC;5T~Yq5|J}_K`kyUXvtspm
zjWv1mq*RYBcRToTWnf*+EALDzUAvFd_nf-C&F*O6rGhQB0im^jOLpa+FrU7~E@PgH
z`r2Q|mnr0>{J+7&UX|0vd{s-tgtOIuk+a&x#K|u%c$WN7;YphGwUbZUwY_iAVRyy*
z8c!B~SX3?ciB++F!cW(qj#uQD)CARC+!wf|UgT4+)4sx3rN@`EQ`TIXxSuhj;YD`J
z9Jzh}r6ji}?R7i$b>sRMrbg@hV{5)jN$z($wtiw^U6#lnDaq^4ub<elKK_*ct?$O}
z$I7>)@45YSgN9w#h8KKnp|Vm6Rt{TO%5<Ze5AfY}+`=+lHj3#YpRQweyPrU7u5`lf
z6SAqbcP)P@nTK78xnRqbc#5%+u~C^xon>065BEEP2r)<9h(D}b=P;d23H?(Ne)#V0
zn|-&QoBetE{QdcbO13du&y=PnZS-B`{Q3QBGk4ylKRvI6B>UFAy0Kf0;oRPvw!yEo
z&MthqW8NuKp}QMhzOJ15U>)z|NI_r8@VRWR)>%i6`ll*ATy8Y+L-0(GA1i%S?)-~0
z_wC<iY-3-VdhJBR{9i`aC$qL3^pE8=^?JB`U7OV155eKiy<HK$zMAE+(vL3te!ud)
zG~8?T^xb~x<#X-cTgBctHPp73-MxIa&Bvw7g${m7?oIf)aq?QX4S%kj3{#G%dzG?U
zP{+P>ldM(jm5OQevaWdg&o^7U<84&MZI`Eiu59a6?$OJ={ylg0trc_T@4A<F`-<Ds
zKUX|2Z(GzmcctjwsawQKu18*vO|LAU8+xx)OnSbR?6z&S*KhufHCKDL>toUNHUHmT
z;<;nbwe85ImxY(@ry1zlzWa4t$ZE;)g)MxaKP$}heqmrg{X$}$-onFwVw`6G+}UFG
zxtKHe+4H#-VxO)?RMq8$+&Xsr&y^Khdi#H_JRg22rY>ujdD~9g>m_ARW*I#W4vw$M
zO6l~ko$0HYYjZAn-^%s8n}VyoC)loDUeEKjkZ<wr<I5h1yx88g#_-!89jjHxZhYSS
z;)PW5`SXVYvU+UoyZgWrJPVKAC=Pc0X?kql{MH%}>G~Ti{VTi2_O$X-@wcl%`kz3^
z?(7a3|G9C=d2Wff*>0E>MXxvfo_*%8RPprXew(h(*loA>*qyV%*B7rhEdQ)=<7@UA
z-}CDY%PV?!p58p+{rSW*X9FJ}*AG9RfA7=T=F3O7M19=5wC9?Kb`{Srp?N34+c8ac
zZVkSFYi0i1E8ELn-M09eJLi{~Uv16jn-8}6)kZJ3`zN*I<k^Ma&&1aMaoWGkw*9lM
zg@S>Cz%)z60>0<f1<eO6=e$2C%k=!}41v11ot!10VidHl@ugUWTXgSd*WAgQt0&qO
z`)vPWR9;nC{B@cIvz&p9flX4yp$}4rrFrrV_Z%+x#VN_k|5E+3$-KJLE{|Wj$C=El
zd+hS~<@O+xyzeUi&vcx;x!1;OuZ>mmoO*==Pu~3cF?q3C`t{@cA0`x))&BV#+Wa`)
z(7@uKfp#mqv3tEmM#Sa*4)eK_J4GLA98M9O!#u?#LUIO!WYg4xDsGEaxbI8<ICo&@
zS%&*kJC<JIeOU6W@R<Kr&euC8MO8jIU@yXVa`yJ`{OYIPs=v@(?)@PnZC=*Pw6x$Y
zb8fD&G?gkA_D(H|o5}qDn%X0;<QFCi4^~EWN9j(<@)Vg9CY0_ey=seIey2=CO82HE
zva*?-o0rInFFCtM^=*c?^{q>OeOr!1UNTF2`zdU%_VTz#YpTMzzoy;0bm`Q!OI=%A
zWKZa<^X0oHkjL^(IAWI6q!ViCr);d*XPczvwe6gLV2WjL*<|C?dF{J=XBn9{-}O&8
zHK%R&$(csyneVCj&YZ3IUfm$oule2+pPA1S^CvU&h=l!QzsP9#K{nHKLgjj&V<(Jf
zRK6&0H55K$bMTDutV%cI^C{0}p0UX`K0oU-L#vu-0@HI3t^+LRCeG^ToTF?iCv4%K
zWu;co*E=;i`RR=)%WYwObEhV!KfMuc`E3sKIoI7*Rk3xcJr81~+SRrjscl*-+nW1U
zBWJfl?laxY?O@O5<z$*~+cKLq(>ULAci|G%bCb+mUyG%B-d>{+b8k{v-2NGJRCBpL
z>z+EbwOG@;uXfVmCu_F7&%PadFYCm;X=Urv54`S=zZbLj0y}%V_$|AQp8sC*b<5Zq
zRV>k-a3$XRoowFog6MYd{m<HV*5$8!uGaqgdqCB?`_lz`%XieBHs6~dF#E9ajr^bI
z682l#G%xV^wKbHX$*6qA8Ck91yrlCA8k4u3WaK!tq_={h$tZKRe8U2ts+kN90cv~K
zF(_zEj_Y6$nBuuUhGB;h`{`5Cd$sewEQ^ob?pgii)BE+-OKhuthR6G!liT~Jdc9hD
z|Ghun>t}3s*MIx?_8eQMr`65#F1s0g;%4T}QkGbm!O&zharOfFKkf<|lWneVc<Cso
z$tBGo#<1-4<BMwyV&#6bx4!&z<FSU%^y79uiMwpRb#YyOx^aH!jCYe6EuVl<%D<o4
zAY+{6COAKvpU<wKAq<j9`R5BZ9V9F_0ULQjQG3EY6UG2(j=f5kB)pdM_QkQD^!l;<
z3*(At;TLPg7#wHnEMaq;sk4mDaps#y6OL0Z_p}uDAKSl!*C9ad)2hb5>n|7<HRvoo
zRPtXz^0NOw^UJF9Pc4|KIO(|ai{%-PyDWceMosuDqI<$_!HbiL?<ZRK->G_XO!>Eh
z+k|;-E&|FP{?1Arf+_M0UsB|ce2Kw^_p`j*X%`^aTW*nX|HNt@T&UoP(t0BWC_3b-
z<x?%H7m#?bLe|z=Ztt9f(k~B6zx<-T-Rx!WmlG$ReJojJu$FD!0?}nxPX+HgR8lG9
z0t(>Is}GgL$+2@qm#TmAJO0C7+}Xo^_5FpOo;7jVuKQQNTE+oBPG$B|5yOHQ4?(eD
zo|V(y9qrRdUfEF?DC?ZHCirBLpk{0Ekws4JSA>>#EYVdzxM)+`Q<lO#JA>Yd-`*In
zle}}9%8Kbp)oxu&qmF@2W{JAio!aB=<*!vL93bo0w1mg}pcCiHg?UY_LSnObu6SFx
z@%nMs)12MkB*oqu>)Z;9*s^v*hGpW#BB!90^OIKgxXx``a#>>Y#b6$VIKJ<Do_;CX
zwevaiB=JHowZ225?Mvr=pZ>g@&0GHU=FgYfna=LnqO|$-QeE|s{49T-3k>Gdr61eO
zUdlUd?#WA8*D}w)Q%y6!`PO^R^^%jGk0hiS^46J7>hQH(K7DJXdai`B+0&T)K4~jw
zPuD$RK3%F=!TR~Pqj{-4PtOKr-ex&zb$w?&XW9M>W_*(}&h4(|^xK~k$F_X!?db{I
z)BiW6c-?t-blv1QiyNhv#B?|PDNWk?^s;%H5U151#nNakPOaRcEMBuEX6M_kY`Zj}
z%EENXyN_*GnrECaoOtQfteU8gQ(44rOt=+kx@6n_wkv)c6u17*@yMOUyYg>QXk*cf
zsX=DZnyH(n1})wdbSgB&t8#{y=Ju;we5y~iUGdu{wrWqtWPj^#v;5DBo665<>)66P
z?`+Ts6J6CCx~d%ApX;>_c?!1wc2d=B3_h}9PEz0nMa{$B6TiG%8j+I|F~vm3OG-?Y
z*Yi@@Q@Q31t-DM9U%O+PeQth=u(ZN1r;LX3-G}%Veb&$AC}F;6>hkdJI)><p^{y@p
zHsmsH)BWGo;Q*?uw=KN?;_dspH~wngxB5Qk?)>iZN}qG<{O85LwA^j?UT$*z|C8&^
z@6LaE_wuLr<u|`pen0sycc(Y^`af$8Y!WOIZfN}W|IW6!GF9Nw<i>usye(h4?@m}^
zUs7;>SNSpV8w`1O^*6tp7-|0eN1dd_6YCk}AJ%wR*EsW~K3JxBD2w_2N@q!()Ci@I
zsn#I^*()mx1Nj5^)|Y!EFa<xe-(T)Ahk1+G)+oD;uRl#&_U!T@we+XedxO=4Bcf!c
zy`SRvF8*+?<BDS?Z|*Ew6ZC)W-g?IFGQKa?+iRcSW>!o0ynH93pwe6L<)#+b$V=zU
zjeX8d+P0JT(Whx!UMh8ggto`|oIB+%SGhsvu%-F;4Z#^~3+Er0lKs=oQtb8pKX+>;
z8-KQ}Eq}&aIeoj1E~~9rs;70kjas_r?<(hgLCidTXSG|NEp`4pYf<IKi+i{-<K~&H
zkDeuNa#nlpGu434w^meM{PMhRwUEHGui?MX6$Gt6C{g)l_nvQm<A0yqP<#HyzS%kV
z)3?2MxNWuJeUyI8%VRBeRwt&HGOY0Dj1q77a?-hGCCA*d<Kj*i4!XXc#JPeaHlt#1
z;xfkowOtny?i;*jZhl=<{Niidv-!)VXHB~l7N@^%Ph3pp-W{K+CdO%3FS*-YUzhjl
z=3b5a-5tlQ=g4ifpB`PxRI@jCsbhfJtU&gX=a~-W^IoiBXL6hw|EBEF>!(`0>#kJ(
zu%CK5l;MieKgk_=H?3ss{2ctA``wp%we#O3xAPO(x1YTCoqN-KQ<j_O&70n&YdL-^
zo}=))Te0cQ`Y9Zn_V*}x_&XoG9Pq(JW!-hoOCW}b4KJ&|^P@|>3br7|;^hkkZ<v3w
zX9lAZFTTbFK2Pe|p47kiBg3@y|IbC&H>iAbeb09D@V&>sZn%Egdbm<=MgPXCb^T|n
z*7d)v%3FQwPx{Na>+`?HoBjyip|P&rZ<noJ(f>d7{)~xM`u}=ab-7zxGGBi_`>|q2
z#M9;~`MGj-OPi}p0`g@)G4Ai0lvt#AeR}_O{=#X|UK?YMZJ3cX_4}K(BEOfi>|V-p
zdnrq|k&bzC6liP(G{O?=6PV{WOY@tzriR*ws~aP|HpF=u=&DLg7t*<PZ^w<yiE$o+
z)241+@nOS_%*2h44=?)I;>yg^{n%3H)fA;e$ELfyd-m_?wC$`v*6euXH`O~o#K^tf
zcBV4>gt9rJTg_+AJ(=U1mTZ(gd8SXA$;<?!)cjaO?O@xBUB0KzxeBgzeVdb&9V|UN
z>h_hC;KjbIvo~#Zj61y`gEb{^n_0%uR1n#k4kBGMK_u&K=@6Nf@)tjQO6Da_c_`*4
znV0ycDy(F(H)r|ecM~QQ$(Fs|Bt1QL_nRl17N2IDE*rB=M^rFcY-Wc}ywJ%fXa8R2
z)@K#m<7BY@q`(`mP_eTeI;V48rnOorofeXxm|Dy*F*TZTVyZLK#8ZqRzeDpD2W9=z
zoHDD9Yw@!AhgQ5?Zjkj$)5kJ<?hNJf=s7j!pras)rbR#a{iiPM!Icspo)t@Wl*LN!
zm})0cVX3S4p=ejkg8*m2cBw9lD*;Cqwkh$My1Z?YQaWU^BD&uw_wBVUTca;u%kz!i
zZJPTwtktU3Fuf<b_}C6p2-RvP(tGaE!dzFGTVY3_)MB?wv+TW3={<e;bLEbbez_W}
zA75Tvp6svhvG3!{wf^sGs@`8ZcwNf1Q0{HE?vJR&GcGT#T^l&(^~HVHG`4-qh|d-|
zU%h44pS)AQUMa?|46ppWW#{*ZSGw(`&T_A`+e3~2`bO&Mb@<$Uu_5n|``i~B^6q%X
zzSs~kac6gp*z`G(I-zNW-A3J8Cq}M0nG~kiz1w{A4HKi+vqU2|AAYpZK}0nF$5p3f
z{=kekid?<6E(<rdYnXf!Xgwyk=)w)>lv^KGw;rq8dSTx+p8ZiSpShQJ3Vlgm_VL6C
z9XZLFN0pT~SEQtf_4k~4G;w02jZxRG7_sQ`w5wjN&Wk+?6mH}%3SBkNooP;&6?gfj
z?8U8nU03F|d#ya%<+W0`&ueAxM6Z=^r+BUOo#C}|?QE}`QBPRspM0n}&El1n!#%Sl
z##TKm7GK!s&b99}=f2a1O_Q8{>!lwQe*N}j*5qw>FMc|(=uO;G)_&KU*St=PcW+ud
zRm@9lX;^sqdHV#n_uL|GZym(i-dtSNmiP0d_X=g*SdXnU42oI0C3KTEb!>Ib;c2Sj
zRMROuneNz>!ys(k{bKsHwd=yvjAzKM6uaBH@vM{XF7Cx*cUuFy-yK@vTE10$vSBWd
zkId9pUoQt`?OtT?OyI(8(N;s#<=eHnlC%8s!$po=nR9QQM$atW3bz@DL#ik3-)X+B
z%lLH*|HM+K{u5S--YIh@sBiMyArh&6rE87wGS_RIAM@Vznx>`2LT2BlZtOX;X7%a^
zue#D=+e=GRb(GVmEsJS4tzGu?<jK<shdw<~zdSkm-SlO4J`wN2-|gAn!*w`{b&buX
zvywJJxuIL0Jeio?f2ZQfHRW=-l}s^xuICHApFRkl{5gbAXj_6M@71QK3W?n7KKWa2
zz2u_ac7fp{<06l17T*~P+7IY5nRCP_7dR<gx}pn1oLR3}OkI<i7kUJ%FIg#k=?Z7&
zs}_?|r&*U0ePqt4S1o&aIpNBbXbDqaaW~($GZ!)(PdHQMmuCCKb9Omv4O`nW0pA9J
z`^j_EY&-bb<aq8(Xje1uSkGIN{2-dy{Fe7+@k5!9ns!{gcW}k@CXo*5x2yJhsTMfr
zi_|#YnY6k7e%uG!w%_GHS@rfGxO({M_gz&x{_G0ppMIZ7Sn628fr5I!1qYrgXdW(^
zFvV|e|JyC={8|c4cFjoHJ11rHoFy{T^*)&%pC<i=r?Kp`=eKUprm%>Pso6P^ofnmL
z)HqLjZZVtHe1mt}nuP^!lb*)$p57}}dp>E>(|cWVp4&EA8r@4ceRh%aLT}GU3|Y>b
zEfzWKH&;I{opUL&bknm570oNxlza|W%RV>BJVO4|hYM*FO)Xw|O*_{c<gstcBL21=
zdeh>XPjA(o8?-bcZWTl61(uCZ4|=gbo<2#3|5{?@3I5Ynu~zeaPIKHm_H2@Wv+i8^
zWmiuHbuBGEWB%Ry`Hak!SMP;A;5M#_UTDh~x9;MUnnxV=9tJw95o?lSK&5?zzUmDf
z)f;-ChS>{UHWh)e_Mk87uLHa4dKQWNwQ$$0>UPnr%4X8ch>P{usF4{lWs2JAR*}<_
zz9q9SeQ{jg>*9S^2Tif+`j|I=3nQ0zrv{X4oshIq_wXXSMUyY@Ryo_ta@5pZ@|SHP
zSO3gti{9M8SF#~XubkQ%kTrR)!7JHFT|eEU+)KaR=UxoO$=4T)y=)ESey6qV<O*}~
zt#Z-#7tig!woWW{_0+HvVoT%V!oBvoteH~vHA3@tL`L(0MLD@F(b8Lr6G7F)-MqkU
zW<BD<=AQ*k|8Oa6uDD#D_Fb-Xdtkay{(*BU_jb+o-1E|Ua>ecnwU2I}eIA9&MsnMA
z$G4=2?dLeT=Dzt4SC6!h-4o6{5?7pgRNrZn(TDB{XS9|Vx~><<yBmD&)KyWpoyQNY
z2%ps&xOln2ueEhceRle1>1p)Lb+^2FNW(ow;^`jl<g9loS$_M4^m|rXR%y3fWj^N|
z!nObI%(n+i1(|J*I^|c)j9madDe2a7bGyA?U(WS^A3N*VeD~i`-21L&%=(-WpKY?W
zYRmeq53<i%7>0sM@0yA&))yyUlDSs;@!0NnXLjk$6M42_UAOtY()4SkAD8H~AKi6o
z)sf2X!reO8ieIWsKU#H8=UQ>v#(BowU*|-wd4A?sm}lPBn|Zs|eb1h9e~)JOlJ+mU
zc8+H3KW=~L@cCz>uWQ!5TPyGXtCf%bu3pvkef<ord;61CRs8Q-`RK3qGMGTtmD~r<
zuB`XB5<NEO!9(pUmcI-nGZg$^u9H?vkhlF<YSnSru4>cz*9So)^C1u^eaLQ6o211R
zfv+zWz6(VxHu++4%h*!%Rfr#}$J8M1&0Z^8BbQz|v?eHPW|ZbupS4q>mW6rg2G2IT
zEi%u1^LoQ&SDkLN&d)Ioj>!!yQ}!#nthr^IM)X<r-Nk-o)BnA;Vz@uAFg&H&O3ue`
zX?2X4|FM}zg)7qv1tvt=gr<x6U!8e0xHRo!$XqCQ>E3-yrMss(J>IbUg2fv>6TaQv
z?hjAwxKMXkqvuP8%ocH}_CKw06Yk`1-x#5}W&P(37n1iy^|(eYtX(U~eZ<My?A-eg
zw$~&X|2&J^F@JZFK<v(|UMn4z2UJfE{LRrCSk2!W_*=9!uv(_Ia1X0|=ZA>nhd(~#
z?Ju>tbN0~1%w%@m&3*EZgiYmMf7_QIek?CJZ=&|pjR|uilMl{_6wUqk$5daP@w&{@
z<7Nx}4aD49FSQ0fEKdwM5ho$~O#IlE@V&DmC5mHS+&yz>#e~h<LR<r;8f|q*oPO{|
zrO(;GGgsxNF9`MCwPy3Sj$YRs-K2EEz1dkD56?xV8E`4@O*nK$Q0Gt(SEZ3p#4V-z
zPEPM=`|ryCzilv!%T2jfx{h0QeTvU*!~vk0r4wr9&l@rQJ~ux#<H?(xlQBWMGFH>y
zO<e3g?R<Fpq(7E6`v0poJ2b0vEPA6Ja*?yM#Ocud0Aqg-MfD|CHJS@+eD(;--Cy9a
zH|OqxfZ`9K#qaJXT-g&MVLDyZ?QH$bi-8V_XR1oJ1kMQE9(y!BA%i3EPDeqPgyOyY
z^Pzk0&s$xw-!}YXUG=(C$y5A4${qT@Wcjbf-iIsppA9cuE%Uf%Z(-eii?{ivr(TFe
zbvWJ$C^A^`)AFubLut?p-a_Y(=S;aH))t;V<RN>(F_rb}^Sxgs4dYJes97$a^3TV>
z=hA%6HqT$i2MtbonF-Cgx$N?}e>W|<a-T>(c;^08+Gqa6ZF#XCXLeO97`|Sz@5q_p
zk4(n>2e{AdS+jA$&ZU=oMR;_VMp%`4C0-2bdTJMERjTEmvslD?xsIkloKcjO(XJ^=
z_LntIy72JoQkB!2TK*m4^tr^Ymm>c5xLMQ(p7R&nr_MRQr+Q;ZgoU&B>0NxM=V{DQ
zJY97Au;jk2`;}NG>wLelAm4I9wdo5nj$JOzc^(nhD=*zC`Cjr>?ZUrHdp1;+e?NKp
z?cv+Avv2LGSkiCe(6nzOBj>Lf>?$u#ixw-~5dLE;ex~5-goXO932poTP5f4~BUk@E
ze>jtbs)1*MZeuUYHX#X>4ZBWlj%M;Ze*E#}qZ3;EE_~c~zIcbA!=LbX)>&%hv7dKN
z>GAzzxk=7jexDE1loCO&#pX&Pj}OdSl*u9VC)0IB$+nFu7KZ%ov;EaLTW&u3@iWO|
z-%L3V?yoP4Ud=o9PP4@S^}Ea$^FnUVUcW9SBd5*n*rn^=TeK_>yy(tun$BZ-x%{N3
zqI=XySB(q2iYp?E=X@+^(t7@W`I6j3Evwft3TqEBt=t<jY0dgdm8aYKo&T>8Id%WN
zv)T5X*(ck)Hy=9vSlxg9uFTS4mfe**lzbgoH+Z|U)UOv2JiB~d(9K9wE^god2G@Af
ztJbZ{X7f<DU9jbly#6aiF^|4Ae*_mSfBdq~j!9%{;rB0}o;^Ez`1ISOX<HxFZg1Mc
zb#lLC{)Ei+=M^r;&39b3*RFYvP0`zlna)=gE{CmgT;?0o?4!SkXHwWFzSl20?4M>&
z%;_<EDdK&9Uy+CV*DV4d@?dc7hube#*j23J|9N;%$65KUNAEl+*mf)UPs-%7`5&g6
z$zFOlxlwElU*>mDmA7`COYW*q-11vxQrY|oLT?^AtXW%Gwn^>z)6LaZ^?Rg>L5Dcy
zJui6aEBMUA)GRal{H@^IW&ff~o^4ZezrSv?<!-xQ)8?MN`Dz)j)a=by_ZHvUUVJ;f
z{PMY%%jRD9wO+4&!+OS?>F2L$>}H$1+4NN3!6!LqKRhs#ntyDYFW<8R>fyQD=RfD0
zyt%rf<WsMoUEJ$gb9B{f>n}fhQ@3bs@a)aMGmCzK(5GLSpQ@U|89)v*b=EeUz1ehg
znaSp|s=BusxgeC8`(e?PgWW+dq+gutnZec;YEb|4n%dntetR5Gm$a8W>b=-)USV@<
ziEw_;yfSzH-HTV>y?Aw<_yxW=4VwzbFArMpU%4;$a*L`fM_c0OUq_$hEcRb5KD(sl
z>CtJCOKr<4E7Obm;`Xx2)QjI%oLg4#BV*#dQ>hOsPruX)SK95*#%GXwX=26)H-+O{
z%wEo@zBhaK;%1A^|Nl%fIhJ_or(0|MO$%dRYTGR)wO#5~M#fr2-Rmo!N;&pMtrPTE
zpFL+w%;afxYqMisOI_b}Z0oeTwYPU%D_XlXCR_Kq+v%+ri*mQbNb4R^%S|`G@bvB7
z!;de6cB0yuubKhgidvEVwvT_M`n!+6KK*N4-<6=0d!I3BLx<@8)a+IMqL<BLnK#YZ
z6sWy+!PKw|UfLN;t@D<aZo4GA9gNH~cCzV;&#jp>VOsWuBHpNVj;BpCb~a7ZMkZe9
zu5G#G?{d#{+JSeTNhVsNW(+zEJX5D{tE<l8-N1XG;f#ruDBF|8A6FVE$n!?EGX6Ak
z*vC5|pZm#ezRES?YYLf5_|`9d);Pm0-7z>Q(umi!l`;21LWsl#HeSWn#!ndnnmkk7
zm#sGlkdxeh$f4528^r%S1;jkB#WRIH?d@K<L)Eqy%5TZO`SRe-OT|5xnQNEdJ?M9O
z>*b=iTVkShuZNx9dbKEbE1Y>TLQsHLlQVOtnd%I^*emDet@`aD)5=(^;Ht?p<FKCW
z{cM+2!X`)7erayZKKf>>+xMvV)pxC{@3vR3y=YsI8nW+DgIcDF22W<t$?6LWk2tmq
zvCDeNlxP%QxcuMI|3AC!U->Uz>MsO;W8ATpx%C>?<;lkznMzwTd*vIftr*Hn6v~$v
z+>K_Bnxw&V!kuyVp@td-3yX#a4;Y%7nK}6Q&^ZBbRv1hW_o>aX=VH!f4!+;0<k8x=
zjn~1BOG%Y&Sy0C01TEW!m0uhtE;-atBjT;abAo-@Gv*ny_!F}18dm;vFkXJ7A>5MT
zeKAAaD~3Hc8}BSV)WCNlQ7dP)()P;_XZZzpo_JfbU^0)9ru@}ebL$;X{+55gUg6yI
z9)&nH!N%#H?hjIZqY8EfmV7U;h<hsA-)AYS&)+`#`071dFHf95FK=GonNw<YUf~8)
z%<OC@nk_MMWT|Hm{KM$-fnDIByaO^vqasjZ0zZo=d)EvRwkL~!cqcm82`rIum{7Ff
zMnIy2U6+X{+mp+M+U4ynO!qxA7UV3^Qf&yc*c_O4lka3f@^MX@oX)IcwQCP`{f?b@
zvtzQJmWP>6%5`hcf?G`5W_*<*%N~o!rf=r;@>m^u{AP}e&?U)IlXHtb9IQ-I({F1R
zee2z;G&y^U>6*}cCF??GGyL>on7le<>8cYm(uFxQWna`vTFkxVHtkSJd!t0e`#qob
zXe#QmNj<3g+@l`O`0{NkpRwwa)mNl%$q0LSOkY}_Z24odfQH?Z&er+)KN;lbesIXx
z)pvdI%hJa=s<ByJ?*#TVrM_2~^={Kkslbbm+m5(>5Ig+x-<+nvxq{2KYYVh*y3i=b
zp&a|M+lNE>q<YnT_J@Lph1;%6xJ~l;62*1Mqg{Cays%xfE_G*iD5nbcB}RBvRdN(A
z`P_Lg$VtL9a|ttpfLoR1PcG)Bz-FbMU&T*~-*CA7m3(L_;aFqIx4=SI>gye+hD9H}
zmdkF*s5!@Yh*_gKls#aMSf&0oX@`lo)XGY^<X-BCYcap<z02pYF!jPgV-Dq)KmP7%
zQ~z{C>RI?-0k>yI-MJKUJep?N9bD!guxFxvpwbNi{@%llG2QDX`rly|k>r(?b<z;&
z^IPXAVY$O_Zg{iBq?WyQ4imqr*`@a<TzM`m>(*wF_4J7NMS-52(nZA*0&aax`Init
zuq-sL@(o|Mo#Rf%ggzApfy~M2l?_VnM~iJ*0^fhk6=+!WPvYmF<z_u4UxXiRkk}{I
zoS<xK)HE^QQc*(kpvQBoK;w%Fk6vF{)zOf=uz|hqK%P<pzvKpc-Z%RNdv-OlxZHKH
z;L>!ml4LEsV&BMj-$8=Q^LUy9kLUsSQ~{o;v+I>251yD-IfGGz_kY9l-3`f~4j5}T
zB}x~nh!orrDVQQ!;3M|INa2H#!rFgnKkm9u?>{b_?-vtySMIZR`pHX1GHFYW?wD)1
z_I{(UBsc$|MB_pise(UJA3|g+;;tL4kCO`YTVK9YC{uoZ_1@D{J>9>qFW(vWzUZvi
zhW5j+WA*yCtbP7fZ~Do~x0`pr{Hizo?9Ew~drzl+zx+B@Z}w)>&sEc3f7J_Dl6|}H
z-qWN{U-hP^JA#aR*>m9f?igN{tSdz?g<02^@6=k-TCw-^RmWYA<K7pEdYt}RHQhV;
z!H#dQW7o+s->TRP5?I|F_r9nx`TF|uox8%CZu74%-+4=H@4cr=JSW(jny>GUX#tfe
z`>yYfSzo?W<zLnGZi5S*3QhHUPrFJ?c>h)J`s-M|wl9+&eAN?ojAE~fXY*UWzI>+?
zI1|LbFAA;bPAuv8{8dkU(vz=x;&$A>tEPJ|@K_46uIb_i>5smwb@uBYUf&%fRJZr^
z(u5^fuJ4Xvb?tlgRZqO-;(_|Tr&AxSsE>PJ#3->%(Erl<@|^~Jvpbb9&A%VJ?n&AG
zyR}6d7y18QTEG9!CGp)tuJU`99FCcPEG#zGr?An|d`H8Rwek04{qw`jLgQX$pVHhQ
z^DJ5MROO}_pStdBb>3xp+SAl!nsHu-&hp0skvcpRue=Tm+vk}$@vN=1i&(b$r^aVz
z<@da)ycoQ@|H0w2>Lv*$pd}3jE)QA`2=Q)7nE4}mgU=sbj@I%mv)ApKsUzQ1cH?5I
z`t;b{IhOZ0Z>~N4F2*ctvE;l-UwhW>6zlLve_*0MOYkMnoM%PyN_*Fp-aaHQqciEf
z(v2mPCqJ`mzw<QKT<N5ZrO$*53l?s2j99p-Ieg)!^Wh6k-`=@@H}<}(;tk7vma_Z*
z7nQo|9$}GBabc0Kbzzx*RAG_xd-=+3Ocw4w49~A;Fz8RWs51B0WbfA&cV-Abx0(6e
zjGm6$x38RAw#_UwOl#-q8ylxdtZ_blYq7KUkGl&~A1`)3&9%n)`k%}z*K)epp3Qh-
zbkLvQoZr0iNU6wF<IN|Ttj*488Ebm&-RP~lO4D?wj#*#1d~Mm^l3lf+T?4atp8T9U
zsXo9X;KYgvo{CY%(yck7n}Sd5bKP+<R?lr$OwXI!56^hK*A==_+09aVPswrac8`GP
zx<XGZ9z82EQkrY2Ui;v7;@rq7`$OJuJf|~jU8ltwV`09xcdHzBHy(7@xaD}&sYkqP
zw8G@r1l}-h;3;E_VB5{0(;Uk%?VujR=>+kH)D7GRjBc<dNa{$J&iycVvUbMvCtSBI
zcQ%#zty=)%nor?WoyxVOSh}YquX*CRT!TwHdEH84=P7>bO>gJbd(A7i@B5n#_Z~dl
zeV*@iq{aREvWWZ#OJyaDi$5E4G<;p8zwcJc)Y)~pM^b)vO+RcUd*Zf{-0Kp-e1^(3
zmt7mDKHT7^9?(69jlX97wZyq<yfxdw)Rx5$H~O*j*ZjYh^<hHZgIO~(kDo}M`%gY2
z|5Wl`wToQ`(<+r8|4)Da`kM6d!rb_!@&_k9{S#xgZS}46AWt>V($e{}tNw4dVA7cQ
zBa&fn`TKb<jibf?*$H<q@(^Hg>|zlzd98Bql*ffNlPips9XE?zeEyf`tkue#))xNH
zaUPCa=k8sjQnaN^(Is2lY0J7A)2dp@XZO=t`dDL5)lPpgefiGN$6r;eO5){u#YC^x
zd=J<#+YN*-*tIQ_n3cN1=g6YMoP(DgH|%V*t$Fp}H=kYEhlS1NjfOvNAOHFFsnEjn
z>zCKZoGY!(yzu$=&whbwRc@Ojub!qhY%SezysBo$>j&Ru|14}ipS&x_gw3M&mCfM`
zrwzUty{VR57T4yie>hhEaIL=ci4&o!F05;QT-N_x^gtqQM*OF5X5MLYp8wkPEOLv%
ziC{Lj2>+(}pN=0c+<sv0ap%Ig2j?CyycY4`+~Z(A`Jx}|vb>q+$Irf077%=kFU#w;
zqi?453e8-0*(8mXHMbuY1>6=dzL30VOBv_2VD{hbac%RwW>slrzPg(DYUPrZ%O@>^
z@*x~K_2qKv{I-5_RdbfSZ>#XBt^57&clH|5>CaD^I?P*mJ+yqU*1b~co2kM57u(~)
zWvk}azUVx?P`#jCPW`*BT@QBDDOD|G`pv@fi^b0OhFSNMuk1U%qP9QqcbxHeTfcXY
zIp0b7hhDE(wd+{$RnvK^)_n{q^<B0qJL!D9aP_}gH$I1dj6I#aab<z8#Y`K~*{aj0
zZ;)GF_T^~e@y|c^zLR&@^3K+w#Ova&=ZqJ(^|)u;W^%|#`*N=A^Ha;JSsy=~?cuw~
zQ?9go?l;CJyB){e?wQ8goqz8${l4+NYCDr9_wCl{FaP{h#PsLe<G+8p-&pp&|9pq^
z)1$j(_$nhG+?bJ2G5_$wukjBq{L=pr%Xk0z2WLKex4RoRs7|@h${(J}EvL6zY+u`>
z4G;D{w|>8z)9`TSD$kGSJ}0-=C-1O`|4=eL<=A7*m&ccWezEW!v(%rr&8E4(3i2h&
zZ^`C+=~OJgyG6Gty>mPNEXPxC;yIq){%`a8eB`uX*42CmC9j2hfB9w9d^&yq)O>;P
z`TO@@EWCEN>g@VSKQ63VEX$C~v}Nz&j}N`wKR^ALE9>t3^yT^g#=ZQ1qJ>T@?Gu{w
zqGqq1V1Ng^v(%3X2j<!@`&?J~>tE`RpPvL5CwILIE^%Lx$vk&qvC8rLo#$PxXFYy@
zWcgazv(w#=|Fbze{rJ^llX$tGf4`di=lk^Zb>=_Wnw0Ao8s7Lg98**17M^gkQ{Oz}
z+T`qH=SQ1)W*tdiZ`!%u|Hi@>UC)!>>=KjdI*{_XI%pb8(auBPYLt&%l<EFfBMzow
zgq;^BD^ELCQ*5FxR9(cFn|UU|{quRF>%6@;^D=5QuU`1EtW!3%;mM3MkB>~clE@im
zXzjjI?9QTXUkle4TV!5YoU#8+_jaZ^js2GHpS?~Bozeap5@oPnq-O4WmWn@>|Nd0`
z@>7?0Ub9Zg^xOHTJA-2XD}D&CQ+7KpA}c1c=j)U!D-s;NcWkq+WXq_1{apWhuKmI%
z_4{jnR@VLfxJjk6aW9Ym{x_#tIc79kZ<zhKGtTMivX<Ey8Rr*HZ!9n0#Ixt^#MBj^
zj_Yia|7*OZoNbZbTE(d8*96vjXLnpv)p4j+zqcuBqx>(Hvsp*?y%#oFUo0CYaJEX(
z{=*IH$f;XblrPfG4t%c?d2P|Xg?(#W_a0V>?0)etp)F1K6#s!Qeb-Xns!H2CZ~ivv
z?)-(3)h{&!EgU%eqYgRG{P07~<^^Ye%b~-IH+Yp=?)9l(zei*7>fB<pU0TaRE020g
zuai8*v&d*h>iomgPVPSQo{zQEs_d0ZMbz@N&>vQs&4;dLZdE;WFKzoL&RL!r?_U(F
zrRF55zR<IC)l^!dcwv6u*W<VTUMw$NF;DX!%Ub)?*Y}_Lzy2k>_mo9st7M#XFmsCP
zq1U-t4Qr0b?u(ng!N2A9r-bKhiFcKp9?WQTW>cGVAYC~5gB|naWgDLL9&B-5Q=U9w
z=7DQkos5zxx1Mw#{NkAw&$4PO?@5Lo(vRNv|FBm3C)c%q^`bWB;6HQ4O=V^*YCEj8
ztH9#G?`a>>md$DoOEO9>(>GD8+E6{ws6O8)xL@YDnxnAnt(_LPtY^*L+L7F*k`bmY
zxbnziB_4JrVZYMzztz|3e&<<q{nN=;5<XFfyJvDdF?Hop%W`QEa!R-)BDjyEv9n9;
z;5305297Ng920_WpPPGU$u^}^0!*6|51LQ!eipGt<KAj@dv5#i&ptQHxqYf~j!qRk
zW^wTFueYvDr=RTK6|!N%`csDMxei8#_Qgz{yT0p2*rw3P@+l#q^PYu&=-K=tEP0ET
zMb(ylg7#7OR%u1)yqW)ETbk)@@e;!tu3O(WDD0f&sa<(DzUj%`sE5n1``_F5>)YS*
zSrzN`=bP-DDX!D+P;_<jR^HWy9qU$!m9%zMtUk6~dtKt+vi)yDXRhC3ZesrC>+jR*
zxgoOW_sh;-9&PkC|D?&iNiPe$m+g)=;@zIIYrkr+skZO3P==%n-!vPatN#=Dx$8#7
zzl)(fo83P*tu`}ZU0>RDaDi#*<?zPMRvGWN_-h%|Ub+_6IL)0kXI|cvZ8Hw;+~lpm
zdUzr0?>|<1;`i+f|ID!Hs!c<N=|6=iU)2u3w1f9AT)(gPK7L+&{hIcNrR;O!`rpe>
zpQT>iDk{?(b?{=w)+Y*8mo@IceETlcXn#z6)Yn?!=Q7(m{rH~SY-9<)aOxqK6K9x0
zP<xF2<vn$3OR8ejmhi=@9Vwd5xiVuqr)C%gzx0{T`O@dql&=?ct%N(T>oj}q5tILJ
z<-XDOPTuXNIr`_1+rOCBx%&F7Mjzqr)7>BZ`Cs$%fpgNjd&@W73=a|2+Vx6{{haTy
zt{lebo$JDkwX$2g*7+9kL>`=~*f-sqEnxLd+gu}$fGdZ2w_15D{u*$-Y1+>Q;nSw(
zYh$|DHYeYhdFV($R>=Q{58G@W^HzQ{e=;-gMDd5>1tPN6mGdf${u_xGnklbr`dqv|
z{$9U+ynfxLMT?%MJT;p0CSuFylR-`f!JJpTI^EVz$q<R)^|DC5a87`WWm?xHABHgR
zqhD1tZLGJJd}erAT-oqtr;Wp}7&(PnJ^$D#t8F&6h;C3$jA}R#pxf=J@U2uZGyGIb
zt;y7k(>7C=Zn&;%e4z6y*IM23W2rm0d9kbyWqPmk*5ir9jFn8RX{#I>XKG#8#m#xK
z`Tfpi74zczYp%$h)xJ`Cefs3-GJh)q4`n=B^!L^G)8c78N#C04ch^KGYFmERe%5Ct
zJ3s#ZN?G$Oi4C$|{Og||U0a}UVEbOuqIqrA-|ftz+eGZk59T<0^?p#SIL}?RG}~0|
z!@>eR6%*Nvti*rr3hjnL%8m^sr3@X@ERyqIF7a8X|Ml0dv};k*86L0FNZy(lB5ZIc
zee0M0$ew$3f0JK4sE~^+u<6%XF!e9fsl4V*_Nynp{`pAv?6qa8OnefO{`a3hzo)1F
z{PpQ@4H2WmcP#Ggo&Gue((eOK${$kYs;@u0%Cv}C>?W&j%vs|bd4jXjw{~3oojFZ!
zI-iip4Vfg5XXkG{Tyyc)R;EQ^(%B|=gA_cOS4<E!Fbgy7ofmU@>)VSj<fe5$b+)%W
zIPqeOI$Q2}?F}7%UHk#!F>$AI7`Fs9_C5dq`S0V+_YRf!#4P3Gy=kU#amMAYig_gq
zukEqf?6^hm6w}>b$4_^fNF6)1md9jKcSq#5?4PF{vW2t@_;+gQoH)^=(I4#@xL~V|
zXoS6r;)c2=Cxs0=THY+{w_DbKFY%|~Grvz8a@V+=6xx{ZdiPxU<(m#Eew&c+owICu
zLdD9c-&RK&)=m5*p5)y0c8{LL_K8djjSl4K3x@b^<MCZvD%8)yYTV%P_RYdT>(3b?
z8I_GqJ6#uqWqXuNJN5L;Z>CEDh0XW#Rjk(jDDYO>qWaQk;aT75oVk;C`D7fvn(7q2
zT~GKHOWDi|Wt&cK@&4&M)8pnY%dX8Aj#;n2b>Ui8<Mmjc5W#G#LrGH@U$IGKZcc4*
zT*2dQJ<C7!bE|uC2cvF)p>?$=XWTqyX)9iz`7G;g+>aF>oVD{=+L_I_iWfR2>vm3C
z%vosezianpmmRa!@8)JP-8q|V{w;Rif~R3W?mRmF<m2CKDy&~Bm>v7gFWmCrI<rLh
z%t2A+;)0_GWMT{r*u#0CT!w71SpV9`xwBbgz6UxiU$IxpMD0k^wO1{yrEK}lf7DzK
z|6$slP_&uxgp&TUH%!ehZuT`E`q(1*Ucy8`C-+>{V)nv-oN0~Eylx8@tXd~{adGa=
zX1BGG=8gBF#bQ?ke)PKkXvW;!jS|fns`Xsr3$=H>$~Wok`f64s=5NmB^6k*gEYB4E
z9X=<die^qQePVR8w0)I9)I3jH;pU7=HPdJwrR)n=t=7(nU8*UN$8UP&m1b{LaMWV+
zQvFR|VwUacD>GaWxO?ONJr3{r7HQqcye2(+*Srfip6G1c82K=CtIB&0ae=<r7b;H4
z9&EQNs~H!XMj4tV{dMC@%u_yY*ip1Kl3#3-PxXpxrT4lW{wZ8|enYNC&_cKROJ4rB
zE4O#pom{W9A**y*;qP7C67xzFV$LM3D9W-rY0Sdx{m!l}JmaQQkbk%LzT&?*H>I|+
zZE~xZn;%!d`^Ndh8ve`YEI<AEb-$nGoaNWAZEXHNZ~Jcj5{uG0%elU?$r%g*ujg=h
z-FsTgt+1c-z}cg676ysy`ShfV&OYdVT+p`7Vm`0M^&8C3rK-Q}c=YM6wN(4<E$d7B
z`$V>#y?iIzz3=$TRgdOIFvzP_AF&OXZrjdQW^!JOkL%67*D@Cu<jADox#+<DGv`go
zp}kixHyoe#DCzLNLxy$d7iQdDJTLG@V)yD#EKib{<gC^DeBWgJldV72$<5wjVzRH{
zO~yXG0}n5<$SC{D@LaiYqq1l5&+>wt1ozKxA1K_|rS15>Xno|RgOlIcoHSk7a^mXE
z1?(c<Pn}4gsJb)U&tZf51Ci(TckT*mdpk2T=RZ1THEaFp`Gr$kelXQM^+{;C_sXtw
zu118^1go;n2bupO4Ycyg#M#`G<88i}T#qXgd6c<N&!L%R-LVVS0kJWaHJ+F4xZ6an
zxjveFf5V~nRnX_3@{F18+f-%F?k!I_yS`m@gLK7_h)L3q60}$jS2`HmOYCCKmUsUg
zdn|E3Z*N|5)vRp0-v%E|{>SlMdYkcXud<Nsg<cuHa~rt6u#|68wVcXxy2!SXg^A~h
zpiiLkam#X(>#GDcx&<|+PF!x}%q*j4c!9S!dB63n^~MvX2zrVH%y^T3{PG>^8{7M8
z*#llMFRP4e{KU58%Y-79uhJ8P98a;|*u}h|)B8(~GxMoJhRH7()b29+oMXPkQllTO
zyE$`j>D1fq_x9g982C=c^{1xA#{2Hpc@dK%Ch2yX&R-~VCQMkr^ZfrmGm6(NS*(Ba
znp8&Iyj{APZ}z`EDY};F>$IX>J#uH>@}6^$7wOwAASv_sxW@a*?>(+Z$-SHQq(tF3
zD}P*4c`iq#>7x|y;=c@QlB3p?dC4ug-SK?>{rmTJWXs93?=^S7t@c#DHpRoBc)^au
zJ-pK!mv0TZ=MkwDQ*M~F=9);tp3cwT{_uRyh?~CJjPqXgJo84OJ$apm)mP8{UT3`U
z%wFc>fxH**^hTX$jlTQ1J>xD@OUB)q?HSkZ&D*`JY756A$@R;ogzt^m9$Ee0sono8
zw;<Ek8|piq89S%GN}AN)Q8Rn-iXEbRPc%iY|2y^E`%QI;?A}f<Gr#Edmsd>Z3YN3_
zbpPdjJ!j*xlBANNhVv|EZ+r~jb@t4Rsn%Cjn2nr`(|nJt`E~Yv|NQ0Gr=S0RJ>7cx
z^}WBQHNS1xeB<HvfIn9>>t2c2tL|5e(5QPQVPD#!8@_Fpg_i6SiQSe*6u&93ulakl
z&T(Tv=&|m<AO605Zqr;}Z68}VPtTbx%6jsXq{7)bJ^DuF(_TatuY1HEQTuK&k2+su
zcwuJx#07tCwpX8Z^^z5<bkot$_%uZ%a>I%fO}iwW*GkPWvOIM!@XD;EK8bysniG$)
zd$jto%L@t~^WX1&#M;G1;ZaLRwTp~k;LWGnh1=^&>aYLZb#L<jofVEz*W&cV3k%K$
zrG%wgZf#m}-*0+*muBmfg%QRVE*<!hto2u^c+NDLO)UYDPE!{aIZPGQFASUS*|_+`
zkvET6V|dhxBRLn!*q(YgJ$ZxNTZaVO#+CzBE*y<tXNWPa-shZ<#3yoFvucX(atR-)
zU*)Aal8v1It^R$URQ5?ogYBoon>|8nPY3Kh#k<k)U`48ILY8+E+l0twkxAc=uDqt;
z^HaL&dCumOtMtFf1h2d>dHJm5ut)Ka?+FWBmDwzGRVFxFzW>Q1@6EPJ7ZhVf&lGIZ
zlE_@F?=0+ltMu~BHC)H8%zYOB@{zIR_RQ?|_MZOwdyE-PYBA6FgCu7a%(M?m%{cV5
zG4`dql4pElyNsVo*#l1tr|&PfY&*Zq^xHbg=95p3_?09Z%$)OYN&Mvw?&MRghK~=;
zC|vmXtI0#AT4ApPE!_2|;<J=AkIv3&QcIp>e>FA3NbTqE`Zl%XN#_kyGGr%r^VY8t
z=J<3b^1`#3!E?QBriRKCrAH|Qu8Oe=-g{3&<>Ke<{pY`*-oE|3{g>?MHdd4MPdjBr
z_UJ`k*>t>WqvhtubM}^HR^|2m%4ytk$dlWquyOH9Rc3DCKTqc{RsM>+c>O(p{+6i+
z(gPH0IbI|?tbg?RjMAnWRi(`nYSx5(Y+Uj9_A7b*N$lQjeA(ff&hPzoZ}S}8oTPK>
zlCDqdcE2~d^6`1oSC02K?%eQt^=^qx^52fw^-Ib;Z94ZUA~cRGC(nLzRhJ^?g<J9h
zN7u)`3Mo}%dTTa=>FC{<jfUSBl|S1R*;Xg^G1KzYq1UqWQ~&D7B<;C(P$E~LZN}9J
zCNZm%nV&HEE%>>4kv3ygyQ<4uUCG{SH(3^ysx@isc%~olTIs>mviU7@QdT@|bJI09
z*=63f?d>wAgwnD!)?Xod$EI{0Q;^`|Wocf~nDAq63-{iDlPaq+I~1*tb7aUn2lL3D
z`B!+6vE*ah&z$8>hwPT>yK0+zw<XNsxZ>63wl*b0q(`{1sZc4vz>kINSf|553&9u8
zxBuo#r6wA`{NcPiqvgXci9-dkJc$)&9@g^tTi#ju6E+A`wqw`p2hQyB)<5<&`+u}?
zn*Z8KXXfk|j}tm>z7BF_JIZ_b(ewqgpHC|avgfQfUq9!oXm6@<)|;t$atEgw^e1@F
zV6S^oVLdf6@u$<x(~Pe_9b3KV@#pQ=`_Jp&pFjWN3(d-DQ!lUDs58gjXYVKWEB|Zc
zzvzE0;nKTMoHY0GLF@dI7M_-?PZ<xr`oxfE`}g`B5$oOVl3JWu&wYDuRR%BK_LDnn
z?~U7j_L2WjY-9GbN?XsIuw3|Cp!MDG9@Ca}GemnPe=OmC70TiqX}`5#vC;3DpH{!~
z&HQHk)co~qm2bw1&;9j;i{?CNk=l45)k&vdrT}-0+7YJdoKS9F65}VQheoW^KR-5L
z6y37Ahc#xqi;zK;QT6j(^OtVD$n=WwE!+K<@8xP1tY6$H_~a9V@`<M#SKF^T5vemV
zMmodlS2?@YhuXSr#^x73Rb{eIsmwK5_06}@@6V5-Q(U}G(>ZyaPKoe!aJh-{uqU#%
zp3JLolG73L<eIY}=IN)x&qp)@J{`G{y8P{lumk@)s@K=CHeLJA8{6>pw$iknpKd<h
zf5>-+@$~bhTh`}Q>dY5U746|^YzkBjFvw%!I@arOaEG9VggKM1__dAO1XOwUt@l5A
zmscxtozMLf4;M`J(fYambLva+nJi~(>n)Goj$?gi!#n+v{k`?`Q(1Y<6S=*wJ&Q6e
z`1StBTz+YLwHZHFJv7+3`ia47{?!R{8H>Z#{dgN-_jh+ppZ+J+D@8Znxc`4=mX>jf
zV@~d?z|z^X1DCIxWy0)w-C@BCsgBfzR+GBqMP_gRbnyFu&MSw4pRN+J(O=H1t^1|M
zrdL<vlTK|=-{zdHJ!-oaYQO1YJyqOo#CrMqNef2iKkJP{n3^V?|KD4nHf>`1Q_jo#
z7Ve#QJo`WI%5xEH{lb$rf7_n9+9PSh88?Ujmk<B9uX?;@EmzGI^XIYKj+P#{r+Q=W
z`iZZ60v3M@I`AyYz^tU@9J_zm>P!2I5}h_KKG=M9twx2xI&IS>{}o<rW)tJnER45L
zGrG_G<lg=df9xL{TeBH5U)!m3Oit*H-NA+{p*;0ZbtMc;wbUXmpV3}8{Sf=XnCljk
z6Ra(+h5x=hyXtd&*v5Y>oQfYRW}f}jY`1-7UX0k^silS$(;LHHh)BGN5BV679NGBx
zj<!p?$X4&L-dnESZf|v#UQ7_aHtSSf@~IS~*HTi4)vYSn&eiPsTVemdBJ%u4?uM_i
z3%HWL8n&E}Q2H$})5%3gd#_I4B7O#~UknQ>PbO{T4%pbkwqiysgVvL)i>npaGqj33
zh+mOiBxpHr{>#<;Qa?>fS6`i9|ND;Q^Q8@1VM2d*)GR7ZzqxRsQ~U&@O%KDQf~@w*
zeDVk|EMwF<rsuHmPDqGOrp)x0vx<1SI4?98)I}WpGS_KsRltf+ey**r92bV!&gfbh
zvYMeaHaPHxsc36#@Wm33%L^B>__lwU!1q#7_LB3d)rL>6&iIriXa3;c$44t~`gSgy
zsO7LfUCTkLKkKLB2GtXiHCKM_ZQHqsA+3j%@r+;6WgWJ)nJXQ#r)XW6wV7*6v^1B?
zGC$UdD}x<VUWEwEv=Vh$=9kQ5R4UcwGq){jdMNj*?<W_WP5Pdge_~3#SiZFAU!R}p
zA9p1dI4?V(bb02d^N+mMzPO%Ct@8NE`(0w1PSV6K7S`hmQ3s=&l6f8kXF1<5VDO)M
zP|s^RPf@&Z=-zh?2ilL`<4W@0GI84h)z)um8iy@=r7r~>nbpR&CQwo!`<&IbmC0wD
zlCKHO*!WDwJtQvSsFeDY=bwCeu4&E75fkt?<K|GG&DyOd-Sm4h@6#?d>0?bNpXrEQ
zGwe{C&9&&{vZjnpD;1&)=B=u8>pt7|?0gsVNuje$r6CgUtn|KK+kM&Z`AnJo0^90k
zd(%HE#b2J)oZa<gv$^L>or5Y*jTU;IN_Cn%<#dah=5$WqAU)w(OJdYaJa_tJOe&gr
zLFKAZ>*OnuwYJVe@6}eO{S=$2%l=SU<klzA)@xO+549F9>d(-P4m8?iRB_^=Vf)eT
z+ARK-XCB)W9Y3*3vw7z;!yJCOn&sNdzw~d*n)X38>h>v_GV$P~zmwj!hfGc8t-N&d
zV&=S}X@yGu%TFz23tkf)S(KEq=kdFn!o`9=ryjehbMj|kYuuu_@}VzUq<(&vWjOm&
zfbY+5CHn&>;ti_Se>2#6<><!DM?HPdxOf&Z@8Dv)$QIMw{DQSFQuyZ<1Cwvf2^Id=
zAIhHmDzJO`c9rW}4T_qDg^Pj`w2#@{=}oZ`Q~ZCUQ9k#$Sy}F@%onojcHgL6xz@05
z{dCzfO^%Lzs!wKJxEx^j{h848qfbBUy8U}nc!K%<B*u`c{y3(lb37VeJeyBnjM>M?
z!BZ3|x5MoFB8DVgh3_v7vIGSrMXEC&B&JG4w9QxFHSNF-3k$K{)xMp%i```sN(8;#
zi$ggNJ-2XUe^?Pyt@+_ZL&rX|71tbWni?GE87`Qub|gdY=CY<Y61)Pn!8h)&(iLKH
z<4F4c<5j}}4ufO-{E_aT-zfQ8ZoBj*hFxIpJGJ9i`dEr~RyIiHdO8H$J#+ZnM_tYx
z7rytpJ5D)qeNLqaOM`~t%p9}(u`&~mrG2*TP<FIj$NKNSEa#R3A0J=*{8;d-;g*~e
z=jSgi)Sunn{pyoTywZg>lK`8?fh&{*-YRj_K4Iqy`0sc^^}6hnwWlUJ=&8SwsXQ_%
z=6X>E+gTy5O^<hVbo8ATxy3Ie`}+HnjC+@5rr*q+eZD=T@3TRaLf6XU@2*|_cX!W;
zi;k-2_VTC)teUJ`R+=i|$G1-AB(Kc2Sd&iPOz)y5hGx-9u@9>brhS%SO|&{tmE14?
zbuMF;kV{FCb25wU&-f0Nr>q=n;sbsh6y$iv;lm_xfW0MKs#3`?dG(oUHD&(^eb2a$
zOzf}`KENX9;!xD1DLD1Yu8zfPBu*U+RCAY<b@en|zoSDkP4Jo1t^xxu?^!bGA2~|8
zCN55on)Kd(!Lggkvud+vK8|s_UvBQk<|;U)>4e9=rUUm{1gAKNtW>x)r8-~0{mfMH
zBdWzUnu;#5cIK6n7rP&Sp`f<1+K{WYt7+XrgH;+G(p(3v8u;8AA9;C%U*3^)sCtnw
zE8pG+nd{7|6`Gi5FY0^txp6D=@#7y%&g(9yYU1cwr&m2s-`8$ir_9;w{cDwy=ZXYh
z7QTDA`qO<4qjiqGcJ(vMu9ovKpSq}MxJvGHV}WfI!^K?>`7Z97$`8Sj{1<;c<X-f3
zv82oEI2(ueGh`)W`hy)T9<%@c>~~@JlcVi>X8((En6xI!yhXcH%lBQgaGKB@gUzq~
zELUESe`{cwbEz--&a$+_dXGwY(*FLRv1!s3Pc^y!Gv%hXUOoCR^u3Jh%nF}CHM!t#
zeUH4uo~Z<v8GJj&v66X7*4BLiThDF~`2WB2vf%gY@!~sIh%4(#hyJb!nR-!X+FbX=
zuU$8tb~_d?Hdpt$zu6Dn?tO7t2A=wlXD+$s;8Rs1B2l`wx98RT_})ccp^H}pUDb$M
znI*FJ$o^CnCWkw&ic#Md-41))$M+-N?cciETFK}4)9r5uY}&fc;pRS@LOo3n)9asD
zW4RZ)ZWV}{^1N0usd?Xt=^WDb@pe0&2t1wSY@%Q3{yptLVneNiJo7Js3s+ywwL0H3
z-zn(v@(EXdBu<jD_xbtTm50-mQ+o46A+2pWUoz~ad_vyMnD+a7$yNEYwoe-DE<XOh
z^4|~VvMKv~O}fO6b)74+;t$phWLx9@O>jl^y@p-Sc|lZ^A8*9@nMwuQ<*w>J@cHw}
zq&Rbl@%o8N{(eucc>20)Q@McXpZA>~rt<7C`6I4>?&`@CLKYvE_ucxiC+1?M{`VUm
z&+F?~uc(>utVl$2(E`UM9go)E-xKV#_R3QU^{p*-KTdGY@nbvpcGfG;YdXQU!n0Fu
z+25P=^5Ha}uYWS9t(=!~*66D7#>iz=yUb39oPU`%^Ht}4oy)p1_wOJ2_))B{e|tgA
zp4P{Yb!Fu9AO5J|K7Ksf!tNeK%9Mrq%$K!C*1VWrT<*Q~ecsA%cXhAs+wB^_x1HnE
zLor9oJ4zbu(JW63x);dg2~IhzD`S7>&_^isQmoH^dqK^v*2kB1W#;ES{87Sve0j8m
zUGM8wl_@X(SgjW+s=0r#`swxCA&hbSuao!4eLcS6SKOnAzh%Ot{p7-=pUJIr|7#ed
z&y^4{^Mc&E=^_SmR-F_+E@Js*v(I0h-*wZf^i9thec2rHew(A%wz>HNKORmqw7+BY
ztUdbJpMujf<ntsyAJ$E-+mU*Pe|yHwhhn<cOZIL`soJA>{xEOlyZjTy<<9e73F@CT
zzB^(0t}O3QA>p~6^Io0PIT@^fe1Xks^B*q#`+{?tS?W~<d)gJQHmx%++q~q}f)vlI
zjwdIrYM!JzSM0^5s6*?I_WDhFTw^o;e(~3Ti|?&yF%T`7zUj}EcfXwW+&$g=!Sv~Y
zpR@c0u5S^Kn7eKFUX9Z~XPu9~u9<wkQ%2^hc4A^*$(Mq@esA8Fji2VMuT+owEcvf$
z{<jCEaweAZr2Vh=eJpt^0jKU%?6OOdJt8!3mFl^PWk$~>c3bZ1D!XPKt7`T9rC-?Q
zBc<xAE&a<k&H7vdV}#gG)8H>&ZXJ4d>77Z>+4L@3?VJ6a?P=}dnBwc_i|(Hf%C|or
znfKzuzNv@zMgFSHOOTf=xNBoxqJH-IT^n-_1<9RvZOnN%jCNO7y8TP|wQT>7xApsK
z7(Ve$X7`bsdB<%3&l$4v8}fejXA0Lpy)1b;mf6?&dgjmM8JYs}vstdEobGjYuVyg$
z@+NlgoU^xY?K$hSPNi~r<<(Cd*1<P=Q^R*3Twij0e);y-OLJI0eSh=n0?X3{k9>1a
z*NPo3w_B5L%p~`@oNG3Jd_!^0tjxZ-A129`_pejfvu*ox{px?2wWr#RKAG#!zj*Tg
z+i(@d!yiOF&vPh*fA}R6A6>NN*-XY7C(Hc}>N3{fSIB!C-wvNEEPwKPdzi)S<lFP?
z^LEzklmGE%?ppcFx7Z8o*8b{<*Gl4E%s+Eo^r^}?W$UL(3r|bbTc$jgo)UD|c!&Cp
z@6H{TTes+EofMWW|5n3#=;9ooyESuW^IfZ&HQBi2`zo1At=U4pw>vDJ32te=AoWPC
z=}ut2NmnF?gP^I~4k6`TB|>RsMnPA+UoZJyx%7YXyQ|CNmpP}psD7$3FaI^YmPgh9
zYFLGarR=4jEwk(XT#bL7|Nntc`v>O@pBw)$%AagfKlPw-%ZE2o3m)xJUh+<{v?-*b
z!PoPYR}1@%PtCGfCtm~vIi5WHbLE6TM}KBcd6MG%UBsuZNo}IZt`0%_Q)fElef#uF
z{G?PDx2=;<O}HdxROd87MpPx?lZyPdj)PB5{_feCx~W@!?U}2$vTQ9m`JS@=JT2%o
zegD0*3qRjhdUjk(HWN7Oop;%J;}Y+TgY#dAc=lTDShSRvi}&2JDGEy+SN_U5wTNe5
zt#xhjwtL^r&s(IniC(%lS<U}byY$r1V<PoCF3LBwoDvjz_%NZON5YT)Ur72}o4Z?&
z>^?40)v>Uu@1%3NVM)hA%{HdhjSC7*b!}a&XDCjy4L=*&pjyMTMx^PG;y!)nJ0;Vi
zvZhRa|F5r8$Z;8D_FMn>{q(@+wavy~JI$7hdNHXP-#gIn7Hktc#p6oR_d`xbN6YoS
zRDx@sH?c+QD$bf`B3BW|6F5)8Ic;b6rsa#I6apigr>@XB?sc?s>eGmor;65uq*{h)
z?QvKV-p(x;r_dDMn=tdkFQGjzSk}Azlve*2#wBs!)tNfQ#+a(*4W3zDS}LVghrd|g
z|JT>rmbWD}!>pys{N*OaE4vR)=WV`s_F&Er+q6?Fii3?RU!Rzz<x;6Tcfl*>74Dzg
zC+oesXX(3ZMfG*lmy6En-YQvpY45b@TUOlR@w>3Zbx-u%g`VF(_f_;vdfmvgw#>|B
zGvlq@cjjK5DQ-IP#zo%0YcB1*{Vr1dYu?TDxmR}Ioo{aOTIJp<&kK!u@gHwBmAtF^
z+O@vd@t4Gf#YJT$N1I-(|2Iv7?HGrI62sl^QMdlA@wr&F`|z{<Z_REz68D;Nl6RSZ
z`ud~q3+5%hNtLLQEPK6to`y5~`b`NRxA%X3?cE!la`9_L@WT$*(-)U*(kPIS<?mgv
ztLalf?4-&U`;ISJIsM^<(-+>p%9E9=l9aQyy*gR6t9^3%3r=CzqbH5OuvEP%tXi|Y
zIH#@Dz>RfHMQ<U$@frQf>fKikyj3{=HTCS~Gn@+klH!TBoa+?Z4CVNCv%a&5J1@an
z@cd)#zaRTPSD0=#jOou$w2(Z!TVho;Kf^86h;K1>Uo%A9Rc5>~C81RLc=A{0zV=sc
zeeJKl^|x0=WxnD)zS3M~`8(~ZuKZV;`&KUhv25O>*H=GY2(D?L_h_+xd1ag2a(-Js
z`CV&Y6#lyK_{+lMFP-}@mtQ;)r(gKA|9e;ciwAqz?tQI#xbAv?xvl4p*C#LS``%Ui
zUi5cCR-|zW*NlsPZSxd*Z2kIe6YqT2+WDThqc-2+&F<0{Ta)fTKD<wT<6bu(+rpVu
zmmJFXGX3>;Z&cRU*7mZdgym?k@!XSr3o6<ceSRh=;=ixP#rjB+bYtIn_kcelvN!qT
z%Ca0!%WS(N>TzM$w)Tv>;td&hgBvpLax`Y#b!p645!3b3+x_#$%RTM+X(b)Y+Amj`
zD<+u8ckyrZ2}&~dm3gvVf>+n>@9f75C+>Z)P;~Euz2Dot=d$hxt);V>>-V!H|3WP5
zdz1OoCTreH)YnxD-g7uE%4=Cg`(BgQr4{XaliT;2aHqey`@G=!%XXQ4=O5naOSXtP
zAZl^#yS<*J|A}v!^F?+3g*h=V<8ME`XFGq;qaQc7_rE^<vc}T2D|=_S*p?3Em8pAP
z@G#9<yXm;Ly;tlj%lGR47Hplyd(c>xNk4bej~t^jk{Q1XO?3>s`fn@G)zIVXxOQkh
zzs}pfeRfu}^!pcwSlpUx%OUe~eYxq!{HF`_eg#TA;ePVytlzWio;hO0D~$H-7Fbl%
zs_61l&ADURX`!`R>Q+Tw@wKII6B@Ly^E-agz0U7wq8~5!AxVy9?T4oee=$CP;^-j#
zpYK73%spj>pg<)a%USyTC(1fo7Dw_Yoho>s;F}bFdzOp*>^TX)g-^YC6rG;Te|Z{c
z0anunu|9dn89c}PAHBYH!C}v8TjP@#WBxDRkWwuW(Q|H>wbX~Sed%)2QXiQ1Ezf_D
z=fOBB*@#DZ`8kofhqyVj7QD|`@K|$!%q#9?4ONfyXEB`$JoHsf)@}N1hl*({)J1E1
zUw?c5sb{y(CZEfTP9$5umrp9Kx_+bTk^PYm#jij9kw{MUkC;8v)BN(sOYt*5OUMS7
z#0M1Gq@Dfv^K|X)OB$vN6=puaF0b!B>DSij4?WrMm`Lt;yvuUl3)Le6UIAOLmbl!O
z+sm`(_|((~vAsIZsdgJr)UG{$;oi%ZT^j8fu~VG4=$%rG65r48c5e9TEZ56XZE0H<
ztu%K2Y;}DtQ%Bm~3G(4@WGhcaU9rxZy0wE}T_xk%qI-qiYh3px%SCqI&`oMe)Aj0q
zTz>vY%3D=qTj$N+E(IxoNJ)i)Z36S0TAqtOJn2@!CNyta%X6*7sjp7?`^?&0XB{8+
zEGK?leB4&8^%j|jO`T`X4rwdB78~(oL+T~H&%2x*=l0FD5}bE!WhB!+zf%(mN~3xv
z?AZR&FR3j}=$7@&Ii8Y|Ns_y|{p~*$zLfale)g|mVSUdXdDHL5FaDia`d_d9OKrgv
zS)sIwNGIM2Q#sbJS;@FbsQkyRxI=bblSP{5?ry)@<Mv=iV<|sdW2edz@ugPbYbK$r
zy(%+6U3(=eaPmO!^JhA)yzI+<c)Mg-7#xjv3p(7WnPstN5qCTDo>Z3i$}251RMm{r
zz8j@1V&6U8;PZDi<I+OTc?&xEbjyRBx0I(@Wed79cwJZ%R`bV3O0mYphE3S7l>d?X
zT3vC5Mb|$~e1Wp`szue5ZSt}WbB-k}S`>LH$sm!*mCfhCl5KOj_j=}L`s*=nPCU4M
zUN?B*)!Y9c_1>7L-q$sFxluISP2w>N|7&(WPMyj2vWML!pe?&P3tDz{5Z|&ZraZJ|
zS39kyIXr<byUIdYcD3f8j=;`WCvUY~m2lbK&%MjZbx-Ky+iRb0sJpuR^QQ;eZ+Xx1
zR{#C?$x}M?_~-oN&tDmso(_>+mNs+M;VU}9rH^K%u6mcS+2`ultZ6H&=AShR-Fzt}
zidBIvcfL?X-J|^8_1(SC<=5_BadL6x!BFX0P1miCCR~`c>*eYLk+NILZ>fvUu*=L|
z?QnWA)1+J0cDC_7;6+&S%O9WCo?9Ct6Sd*|`oq7UzOFXqSBN^x+!6Mef6>*DrWYw<
ze|T&EzWwy?>CdmntAygp54`;P<6q&*%1z-B2QRJ=mFW%h=38Xm`{~u$pp;qFZ%%(*
z8hYQn@Lb~T7f%Y#340f?u9aD*FeQOisr1BGqqDEmomL%Bb$WFn)k&v(=7g@YnG=#q
zA^2R$%n9d~_(aOzU1U75;;hk%BiSX7N~6m6Ay#5_p|8Yh)+BQ!R(1ZfbE1!q6dm=|
zp1$bd8;P=I28L6luGa6^rQ!Q2M0}~|yjP1%P6o$LQLE&u<D0T5S^d1Q|FigKO6Ss4
zLJR8NeLemA<I~qi{lh}G%vlrDHd(g2%r4T4BTcI@O7r51sY1O>s~tJhd2UHMH692G
zoWyw`Yvqo4Dy`|ur{#f`VDV3|o6hbr|1|TG^G{cH7fn^4wt=Zk#fMu!VpXak$B}({
zN^iYpZs=bolsj|zCXsKuQrRpv#?9aQ^xO`e>tUS_wt797yHvJQhS@lD!2usp4TE6T
zsB%TcDA}~tuk>R7|EYT7{3|1F;kw=X=InX$;&``^o3QxX@cr@o43b4Qi~qU(^fp)Y
z$(iwn<yT(*`18x}?T&?f%x?m!Dr^^LwN^L2tUP}}GUw{+{jw`IEd8;Qd){j67fV>|
z#2;0L1Z@vXW|HT6?&Ng2ahce&dX~7jc?ZHzvo7&wci3`dXPmcsYEkXV@av|VukB)y
z2zQlS&AWJ3!$Z5(OY6@hefjoU-kJUQ=MznjD^nQPz7Y>7lb-T7vNz_ucz)(Kv)XFg
z_#Y+{o#*d6e|~Rl+_~%1*9T~%wCyb1QLX=c{gUsEt!@ua+k9L1q*mXNU1t+_)Q(x{
z8}2HZoX-+m{Qa^{?74b%jSZGZCY+hS^>F0kui30FYt61@>{{wDi7h};J0WLHmXz(z
zY1y|IU$oT`Kiyte)TF$a)1T+oyzm6Uxgzol^mgo-a+4`zDU<B^|IdFPPv6`0USg-0
ztkkC5fJKJOML#C;I^}ikutBWKS{eIdQ^d|Qjcz4^hNsJP>m@UMlUUy=hPSdx&J=uF
zsIAGhW!iG4*X=4S*Zc!GSj47JxclYetBq`SRqapRXIP&+yltb9s`rtmweQR7=A7bc
zzv0|;SN%q8)5E1%Z(j>A?{Yg8-@(hd?ene&cigyIPBCoW>k?pk)5v7&HBTEMkuwZT
zTQ|46y*;xyaKTenu4iJ6QEL@1Mruvp{Epkh`6zGQ{)sQPB=IWENOg*yq$hmqPRYy*
zW-Cv(n8n1ZZ7F?iw9q$UI%lr>ZXeT#)EO&}I&F^3csw^eHzRxNf!BI$t2#_&6Ae!>
zlrr~hxoLDjA*5}o?Ahg;ejZwEd89#X#f-UoyIAzkH}cM9Tjt*sK2Q1a&V;iu#m3Jf
z-|oDyVB<8G(-&KI%vQg9H&f}(*~#zBOc~!j+bI28&;LS^c1_;L<WE29vL`WB?P*+a
zTsk9Hg*ELG=d%Z0jg~u-68P3h%xKnTgK`^8yVot>_i@`<rggtH76f03<CPLla?CD0
z)MVAX-LX!1QDR+VtijHk3@H=EgUcEnzvLWucvx|$r<_NMW13m|-iyr^8fK>*ikH6S
zv<SW4@#5mPH;#+1t(oocUbkEC%8H7m`GsfBZHwq}+%n0&wfll->{s(yE=Q}RZM&CC
zvno|T%-O24Njyd^g?A@-ZPuGz&Y=>z=a<aublhSyd6q8QMAH{pbHmQ8yClM~y<IBn
z>lC-Onrko4-X$Jcr57CMzFXqLin}-B;}(>&2a3KidEM(9>z|QRH0{QXH6K<*O)78U
z<~XibBDBy@h57BCy$u(n)=EfktW$0`*go;Ggv-ubYuLFXm)VBAwtDZXP%rSJIEQ~9
z$DC=lRol(0U**Qke-bVjvDNDGj@rAdJpNV!dTE9smZo!`NH#U9mdhX3-jbuZa`{o!
z`#bBl<@81|M=rMS_g}w1_RaIeDa(V;U4HuWtNU`9bC<JU-#A!({`OsQt2tKt=bT&C
zXK2E(qSTK?_5CL~jtS+g4}K=`@0q&cJ^OTC%YqL_3pe;g&pFTbC-+U`{$AVN;e|hA
zBo6*vTt1uOPH2w^-?__oveRd+mn$k|yfZ7Hz~7;`^k%S!f&b?<i+(drJ630#Q(SAd
ztNlUdg60~tGT(>yUS%HW^e#M-82?bB|B#zgz3h$l?$t&;AG!Wi`IOwfclnR?zn*w;
zk+iA$3f8mMbAQM+VZ84q%&|Q3&q<3}_3U@EzL?zS>tapVCG<t_`z%#io0sP%#|VEb
z3B8=sTo-glTiM)g+LJg-#)I=3UeEbo$nT->;WG={y(7J)Ch^nlAKP+%WUaU|^8m-*
ztBZxK12>o`l)e!<c=^xfgb<c_2W8fGSu^-P?rxv=?AGZ%C!4d^Su-XaST9k<zN%Zh
zUqAC!`oRrJ?uzrC&67R2#IEUNJ&W<@6WdxA@BH4k=I7T9EM?6765d75GCr*d7W^5{
z*qzH0-agLQcUrFY^G~y7%XdE8%rET!`Mqkr>bz(7td%Y@-#u~h7SC!2|AO@BNx>&K
z=v)`}P&nWqcTmK1QogW%@mi_IO%jbkl9gJ<CI=o0emRoE|I3P*Q=)N6kH?~hLn-yv
z^Pb&1xUck$b%T?<!P(DTQ_K~Xwog%Balc~<SCHL-YqAZ#HcY8zOiwKuPL?oCe#fBp
zoH3JW-}JT9Zf?1^>(pE2_pNUqXq5LY`Xe&uhU#Kj^EIA2C#M~mbv}SEZFT4LBhTyW
z&RB+Bx;Q;2o7Y6&|L!!CZ}-1F>8ktiv_#T&#%<|2jdmI`<%&iY$NB^Bso$TtZmZ25
z-IFgJ`po6_9C>$3;8WI-lhdC4VvIPxCF1QA8;|XR@9p>R-&=Uy#*VKlzi*rGDf?e1
zCL}y_C_G#tt;g)2y>idQO(8qpB^`-at9hV8`1#wPlJ73=(Gx5cnqyumv?sjou7UEm
zSF^vzhG&$nmt9o4nRh{@z?D0_QSTnDc>ZhcyED!v`a9+Ln=)*pST3${4Q`i?oLilk
zv)S%fFyH)F;z}&9Hu@K}ADXUp^{9%x?pHJSz(VaR!~dJ&erwOYpZa%Fd)%JW&ieP3
z9-4Bz=1=*r?WZsF$ja=rsI%bTdz1V2%&-6F7tfw)s9nCwlP#q!?aZthk+1#!$lLp`
z*Ps7>{rS{s_D3h&xdmF0Wmxx0!%vFS!|%_dJ4F+c-#imgKh-R=;hXqx%fn8g#(I-~
ze&0U-bIGr_e@lK=?&6Wm=(T&b<f!L{jV&j>MJRck-Pu(g`}J+F(Hx74J6*5yy;$bF
z7JWK@;d<v&&RHg3N-Ofrr*_}5)cI5z5fHHcGVj+#Hko_<Joj>mU0uB(PbsjQbD2-y
zrgD+3Q#6fdFMg9C@R>1aq5dIvmlna}?*%{d3G#PXC_a)Cbax4P_RDJx*RB)4lMYY*
zFo}K6DlSDX_MK6R4z3G}zMO98I<%&s!7L%RtgUO2!^dFtqbsil2R)E8GTc^iQ>^(U
zPgs(Ldw-F|6NxqKRss>{WfeEb@i-;e&S^ccDnf9E1mn@9F1gfZO}5Ex|6=b(x+NUi
z-*<h_lDT_6bUNCxS+BQ${U~_7t#gi#L7YGPj9VQG7*oRJTA$b!teVOm{IAW<@^;F`
zSKPL(AzEMVuUs}^>W}LdUs+sCTi3XlwqCh)TzO~3g`9qg5|8Ul#&g!Ny4|{W`hv^k
zvbn`-*^P#!i++Y%ee*e%d+XLi#mA55m;au*fOGm!<B&GBm}maMlCugb6WD)E^tiPB
zzGAy@r)-5#--TMMwQo0P_SR+{OE9wB`1+27kJ@tkmuF2L9hy;;cxHz|TFi?dR=ztN
z;+upF9v+(iY0@kYua667EjT&j34e*?tRByQujVg2IpfJ?Zb_{*d2!b-Ui-J}(T8w%
zE*6;s8_v(XXkQQ~x8c1;NnN|{>ociu&l)c`s#WG+$-2mrf2V+MMzLa+*U~JOrR!d=
zV=et|-s2rruD`;{=T~R#t$9|g0_(UYNUkuxuVHfuv4AV=-FkWTdl}iy{5za}#r@8+
zHE%C7sCJPrFzn;8y|gZ6zVy!Nu_x2AS8Pm5Y<~5>D6-A(;C`p+5xi@(SR1Q1KUVoR
zwa3RWNN!jE!rc;9M=ppshej{D_5Rkr{s@QtYi@Tds^vZMI`c;Q%chR?M*^p1NuAJf
zPkTT6(?RwNe{Qb&r!XsyJNMj~s;lCnG2IKRxt<nT?yL`v{p?j;_4J=yxBsUVD{LKB
zKWfjIYoZXPF4J-CB-f$`|Mokqec!Njr>}@`$QM-y@G7ig&)YX!ofli)EA?Nicj-;=
z@}jxRwkWaX)@uu7%w%hFtP$$_e(2!-Zbj>Z+!-z6N=t<;tW)Q1t@pAox7R)QJgir#
zLF--FJmojRR!LhnZB0?Qx#`m4Ky6#8t(O)D8cbv1IyTASV1&?%*vHA2<-Nrx{IV+f
zu(J8R^^Y3|+xg<e(<I&>D81X3sMySL@A-!*hudZLoqqURrtZYU;}ua$`QsI*`5ga}
zX?SGP^NjyCN8Z@3R@z;~wnx?fmCeKa=c|k**OmRc^rCikT=LVHP21RhRkC;=wrN_L
zDEH&$v$r8?Jx{f>_1V{)mX5x1{CWO*`T6nt?Cq5^^()0zSEtTu{oKCN{eMF-Q@p|7
z;B~=s73NO4ET^+Yk6$2aIy=YO)66a3zC5>)m><M`bp4msFex3*EOo!$Tb;p+r`dC7
z)jqh*XS3EWIhS3J;XQA}=avoqH*733#kcQ^TjROw>mju>D`RZtb$jZ|ZFhCH53Y0H
zcQV6Xc-N%&DgsweraF}t%?y|uvuyUODZl5ha(>?CbAr3&bju&l9X<z5f8Tgm&${;M
z{)X50+?m|`)85CFJ8yXv;jmWnj)%qHyN5Zxh_2fExA#-T(QQ4C6HFaLXS842Z!6dM
zKEPbQX{Uq!qJXOpzRhHtxI$2ZE0c9%Sz#3yZ~1giRD5d6N9N<-cX$PVw7<x&G)v?p
z&xdt+x0?Se`)!>xG4Ai#17&|@W%F+;X$#Bm@pL{f^PkUe=P%oar+yYb8#68+IdOl5
z!{xtjQt8{?nVj}mr|K`i;KNDPY5BWOet0J1I?blZw(+?A*#mRMgI2xRe|+YW)Ke#a
z?fs%{RaKVu^Qp*tPEm_H!deC~-~UzYubcMt)vKVBpDtgSP^Fre`0BjnD?{~9lJ(13
ztW_fHavK_zE>v5H{7cO{>^OUsv&!mfzf_KCHyIncCvbTh`L7FRugF}nN4$sK_m7|A
zyiKa_&sqon$=S2_eBb4OgGHw{)K&+{Eq7pF`uag=>FwvUo-K@&_1tyY_kWcAnvV9|
zWlXC)CAm*tPGHMbIKSZUtGh|P4Qab<8e;YOZyXf+D!AyyuWh0mzpRyJ2|2L;km9o1
zd|CGXeZoHs7cM<u5m72*(96o@lk7BGa>l-!dK{%U4;&E8h|7-oWLdCPbH3`|jGcQ-
zIB%{~e|T4TisTR0&>jZm6^#j}lsEkMviTBpZ+_?k3Dbyc=V#c&vvI^tHi?yKc3?IY
z*u4B~c!O=|JLflw2j2<5(L2~MHPA3&Zb;7jgyg11!+1l*g0stngJ)0u@bjRwl0=1Z
z;lJ})7w6wlaG5(TXzq?RueF(z3QjrfKDqwDZk{cNRvk#_Ueq;J%7QD0Jy|hp>NK~t
zhc2%O-72*;D{3)&@)@0U(-r=AGkdnnzke>T|C+OwF(oQdT*<kkN0G_De(fazg{0==
zTo3y{YeaAd9E@t<y2fNuzdj<CAw*{jqt-P!2GOJ!7xxM{Hyr9t=6=PuzeDEy`7bNk
zd&_2-Kg|-{Q~Pe!=O71BtIoO@yNgy^b@WyQtZ2w7nA#??QA1r#D1^te!D;8p6#+4Q
z{UuxqY)6+ob2OB#GN@f1FilS6)TL&p$f^JhZ+@=Smj^?<mTqFvcr&x>(7cryR*RNy
zV#%;t)L!Dk|FT2wlA!I9JyOAOXPLR~h{sJ^ou?IjCUXB4u?6wxxhJsAi8{rR(;3Mo
zUz)cjah-;oWU`~prpY&&x*fB<v@S?3<Z@B-Vx8C-<e0K3L|~?dD1vLErXaj@;R%<_
z6<-~;E=W^8U(0eSvO@iRo6pbfA9qz3IxqWkyW+OOi*zUX(=lpaHl9fZF_yJYx&L|^
zvsCxRsPe`_kA<RpRIeRnu-j?&h4=X55Xm<}r6(1(TLfNxoyS!Zkt40N%_Xowe8-YS
z&(CKyzIj#Fr)0V|;mox*rEO*=39C;v+^D*i=off3d7IFTjbCNh!{ZW;hN)k8{?u7^
zRj5s%uEM+(Vgi04++w~VEZ^0&PKo-4^sowFm0WYdwnJ?d*P_%%o_SU38c}LySMuuh
zGQ=tuA9^jPb}93lwV;k$J#&fWxo_GFt0$gpj4xUCHZ?H*{)1V~(mgF(&2PWdIhga@
zXyMIssZN{co^DCAp3Zr;Oiy^$+ZZ*I+dF+SwiVC3kaOK=?dG}W&D}qy89(9V^8McB
zw0Zxcf|CJ(88^be2(Hc6mXiOW|6#)6WWILwU)(lN4YXTM2r6eU;(0df_=j^V&b=>L
zKjGir;tP`nHqZA{4P%~KQnVy>jbpHtrPbNueO?Q>YbTtxlxgkzCcl<Bc3)(EhHz!@
zhb@d3<|IF?@BCi-DNnIhU-#?hsciQq{Ljt%#rT<-|J*t~<`v!_g>q*!R0$uO%$T<(
zF-82rwMvFSCpM8eEj6{XxcHcN7k+dJf8F@|#>GFg8_)ciKF9rb)Zz>Vhi`#7a~k)U
z&rmF1{kqJ2k+S{UX-_P+r+<I#$}jZRrEk(yafM|O>#w}Ay%!L}{r$~?`&y#mt&dvo
zq!ruDY54qu)1sgM(E^K?i*{(8lD*(nGF5T<UeIzVy$6NKo_=2sZP4<YbJKb0@|{@|
zu8U57J5m1XO}Ur6)1FjuTdgkJ+WK#G?CFJ@a|3F_*PkfdrMk0f<Mn#)qOXr$a8G-3
zg-_F4f6{^Tbpk#|yY&LLXdF0oHgRGD)6!p+g8D(p^VGhtnAi5{=e5QU>IN5tIyeHG
zq-S#7W8CWR67O6T_&jr-`IF*vmu=QNE|{q>XY%?7j8|vP{cvmf$*=2{|BQO95aM^n
z(rbq5&X0`AEjz0=Tvl+_e)vS@Y$2;#Ftefejno<EpI0%r?RI87b|Q0EqRrKto_0q!
z6~?-_Tz_Jyap|KXN9*HTLM;yp|Lihl;qST4#`DHjT6fp&#<g46znzo2;i_SA@4nU#
z?itTl*6nL{<e4vc<d@_@pPJ$)5)00{FZk+iV9gR3aiRL}W(6zWt~!pzDotBG1Qunz
zm*{=N(>EvMlc;L)=be!=n2$$HT78n?NY~vH)w3SoV|doZct*7Pfate7?=t;fPKYi#
z-t=hBT|3@qt&C^5-z40<Y?FQ<=B(c(+q2hqNpQ}4k<IJI!Cw2p<ajur&cn=ostGMT
z2`vGvx@%q5neb{Jo1^~gT4QMiXGUh}s@cs8pRw}u&3m$HBYU-k3G0qJ<wePiTvN;%
z4(?a?ud<u}noopJl+}N?sf*U}mJcTSQVC)=n3b0uaW*~QR4Vv;{TGw)=L#9K*Bf&%
zwLjXrX}$ma^S0I>l1_NMWS($IDEXSs@lYSNWfz*YguQ1i?CDGDPKz|3!v5L!-S63*
zlBxPEyLi%XUNW6?@wxuxb?>T$7tED?mgmsEKf7b{ub(`NN;k_cdg~+9Q0?fmiEVTA
zyAMap|2*PZmu|)I=Xp|Z%GQ6Ul_r+dDyhH!yLzvj`(1~)e(Qq27nmQ&+TSf{OBDa=
z%#(KL^9=8|^((GFnIOx5gm0n4&G?u10=KMm5UDydY0K9GDoZbOpA6jcus|y=@N3Fu
zf&Xt8nw$z0^i;89c*U@C!_r$nqC38x;Suzme^+3-#+&!fdjIw^WZpb+>$&Uy(u+_2
zmAu)y!E>kBqYnANlYdrB{o?MvQ@3c=QjOW|nqNKC0#_Y%`gY-V@$Omu2kurmtZXp;
zc>404j*q{T;t$=M82WYQCw;#C7orY|tZnA<e7V3W$ZEv|8P{GPJNDGl3toTLA4!_A
z)Y<L8g8rwf49Ct-=bv^;;ORt>MLG9dUi9hhZ+QRZcY__<7sUnd>uxF+&MJ;`J6C~N
zv9%AfV$1MS)Vif!SuNHfnX2tt(H9qfy)w(q-=<`WVA8>=E0T?0Czvo@-WbE5rfd)x
zmbqm1m36woZeKE_BA>l~dBZ;I#SFiM|0~b^UVO5D&i8bKV(tvv)ReU@aO}6%B{wB>
zmC+_G(N#sMDQl11-EYt*E`96a;{Wq3;!ZkvCeNQXwf>8S{@bfJj|(MySkBk{Vb7gs
zmHOqkGLnA&3k&?IP%6r`H{gQ87pG2!#Cw_x!(J5yOpZ!=E^j4iu|0d0yWM7=uOBj|
zt(0><Yjl-)ZRD~l6SLDH{5R8Pt_ttcxy;M@RzCmamjdr)RW)X(OT5>=$$vTZukYI3
zOT)iy|H5_G{cZZLrQvxiFI9N2@7ez4l#Qg$^Qno;@>OG8_h0Kbe^R+a@w~26Q8{<_
zyS$fMcSl#feK##`w`(5%_Aj>{PK&d?b7<LN-_l*FI<k3^-tEy<PYb-)%jCVBdRTXF
zk@w?e(N(FtmWD5@&Wyh4^Za_d%sZR4-!g4t-tSG=AvfuGfo)R%!@|9Rtq&_J=RFQ)
zzhD00-tX6?8yVJL7iO|)FQ3_EQ@CS-v;FBS=Qq7iUpYPev5vF7w^f<n>9UKwLiYC-
zB^`@)dsxxkC7B;+_*hpfZIA2G9?jX;e9T2m?kq}biw-$c(EUh0@8#5o)8Zsy)T7I~
zdyVc~(!TmIYSQw1eU6pa3QRkrDwk`p%)IyFmP<|O-udcR?Y-yKO$%YaboXkDbhrIn
zmE%7v?DjvOe5U`EEZ?D!0}E$A)_XfCc=v7Yk7XBS4qcyed5!pbO>w^`{VVRB<|+=!
zUz!M7Th#zsTlKT2e5s`V(dzThjo)oGPL9t!c79d-df_CC?Niq6Tv~EgcWHK>W~{a8
zdEKSi{rR<={#S*bd$9HtF9~xBWbgm0^HnQ*j%vJZ(X`!l)2>u$MXq{&+T!#-wpcIi
z5BFBDQa)$Fy>|cZ%3Y`LTKf0$PI<EP_49={x6XdvR(7%G{@LKayVNT4R(|HqRiC|L
z_H#DReT}xY$@%Asn_DLSym>Y_)^o9qO;i309iy4MEBUs_|669S{SJAZ)wanm=P2w7
z-SvEOQ{S^stjj0g-CUD!`tlvc6P^3+od}lra&J>|{662H>S=eAKM9<aKCwE_{l-kD
z5}nysdFz(G{<|kLH{R{J(Jj&3FD&)5R_yZ%;Hy*rHD%WfK|`_m{0Y*>jJRdy@#!`0
z<Z~21{OynBL7|_oCqE6c6n)$I?#Y|A-#4CK9c!n~bK2H4_&BFcuimpMn-!kvdhj&v
z@N-ymdZ}*k%ulxGOqcFF#v~teZe4Ny-W6xk4RS?Ky=D4ru<YgK<Sz!MCnv?6{u#aH
z?d&J-)~sJ(HKq8Fc+cPFnyd@5iDjWT#kak%689`K`7ZQp(W{717oV&AvL}z5UyNDL
zy8ZY2SKBwQ3Xjssxn2Ii%d4RD=+}D=;VK7LXKBpgkP--49Oo)fm(}}c?drCQ^UAM2
zoc{Uv?eow2hyVTSJ9oHXRqgvF!c%JQ9lQARw)T?GmNE|V%vSRcGnV{`+hx}E^2gqi
zQjW_Xt6xS5F8a)w=5hS})8eiPeW#bNwwTd(dU?0ujK0&)n?)w{omO1W0Af39Pv|?n
z@V9=)IrVLdvY#9MGo7t!-b-Am=XquSsZ!db{k^KL_=S(v&EKYNemSA<zVz1VS2P!W
zUKs>3dh(J9efLibpI^NAv#7Ysz2r|FCz;g_FZ;Ar%ctG<>FdxLe6y`Cf2{r)VZG$>
z_fLUk9`k2g8~m-Pda8d<>*s>W->oW_PiCJxr=)28<i&oovfhLgUDzsBvX(7&wd3_H
zC{ufrL`u;0uAHTZoc-)pU1|2ZyZXvpFWHc+pp|>QdbLbfh)nDD@M~6#?5l9Lnl?Sb
zgYV#kC$B$s@U*MM&vH+(P!ZR+aIurUfB(OQtbK=mcE7FR=~Wq1Qbc<vtyvT}Db&Pk
zQ<s}(*hP(#T2iW!uFaD}O)NrPn}e}Xi}%DWef6O7@pGR|VS7}vH+yX|+ohuI?X}5h
zjf%Fl_a>v=Dz7zkPg=EETE2|(-4!-@-PI-8rZ8rS|7w1(y5Il){4D%?%Fw6L<|A*U
zOPiBtm*j+>R+>uAjnBPTb?}^i_IfLb-2J&DCbjiu@Y89*Qmc)^tOAy<$|+iPN_Y7x
zpW;=gte3xvcrayJr{n1(3sM*H9+-5+=ptLbXV%ON=KU&Lmu&mFM5T1?t(cHWyTWqi
zv^`^2o3T$@vMzMCy?4;{RcH6A{_NN_x%Qiak%;KG?1mEo2REg&_&>Y-po53IGbgyr
zt#Q-gZy%0k`1kST+3n_iSrE4P^Q{#XQQsYn*6^&=VzktlJ(oers3!G*?zfD#6Cb9&
zdCxHMq4v%CX7$aJIbGV;r(73Rp4_f<tXwU2>*sgRzfb=xq!gK6Y4EaA;Z5qSE62Pv
z+AhsimC;Gu)UsE#XPQC8p><cgIs4UgU!8qfJy~qcgD(e{s87B(drLy@$_bwD>ojGJ
zHfPQgzWx7qDbJbC$IqWX{rmJ~&Cfk^Uag6EsU5j7-emWN`%7~ByR(X;Ou8>`%`fx*
zck1WV0L%SCS?&5PmwIP?NZ|ZFEg&^T^+wf%ch_39l(i3DoAQj!cY~>Hj&J6>i!9NX
z)y`@kytc*jv)2Yw*%lM=rU}m!+9d>^>1sRnXa!%MnsD3c*bKEB$0iys=iFA9!jskX
zmd!}b^k5mY<l<QgyBm8VeK(k@CPxV8_@0~*=UQv{@HNBirK|ticl=LUGU>vq=SMF@
zPUqIrH958GN<ikqiPut3-HK0@R5Zy{<XL3K|7d@8P=~)F$45;TMY~poBg+L^euy-!
z{r_%y`&PE{x9@9z|Gx0gZrd$BC*f0KXIr=bUzE>w(Y*P^Y{xHWW43>bFGv%3FMG7w
z{iNr|xFD@ny-stBqMqFC{{H4@wc5&;N2}F7zEQbl-uZ3o$8G1d7G-lU<m;Up(0<Ho
z!{^+~OSKQ4+wMDk9gFpSv)ElicTDoLZ<W0C5?EB2QNR3K`Tc)=Z{J_|_wI#o(9i$B
zZfDKAqc5^`QH*@xY{9kr%T_Pk{G{UP%qx@F{H1I?zdVim@;&ZU*BRws)%s5=^`BJk
zKlRz~#9O};#((3V_W$etQyO#O|JIsc`}a-%xBlzG|Jk-L|3}sT+TZtHmiPXza@i}p
z&90RDGQNqr{tkvNS>L*UYpeD3t=3n!E^XRB*Ux_b^#Aek=e{InZ;i>_AK=R3uXb><
z)wdV>&*)bK9XI$ZUK@Da=C63I;_r3eH2;dfUu)#>|7i8<GZ*SV-rHO8UgKVLI^!?>
zRJT3b&!vBf-%~E~ey!Dnf89TCX*5q&cviDGAgpOdZ*Nc(XOf#fi{W$erVU)LSe9@u
z3NY?m%Mxg4EVz#4;*QcurY%`X`YpRQMO-SCi@#JlFXqx!zKBa#{UR=1?Tffn$`^I%
zYD>I!%B9dk(~Ik)R!v-`m#uQq;MtG7(AnP8cD1iA>byR^&L}gm*01|nVU)@I%T2a(
z#NIsKlAyo5HDbyyj^a%MYZ&;$8kUNPG>Il?dbTF8MtCJFMleoW&8>FgtGi;v?s&iN
zM>9k(=P2HAzr~cRdSj{6=9ciLSS^$6Dpiifha#SUl62%(5V@8otZ8j#bnF_I=*!!j
zB00b3I*CP#h0b2N^z)`m>z?eor04q1Yhq9xmm`me8VB!zOvOcW#FWltDn6Pc=Dfh<
z(2}D9kG4o8Ep<}MjnwyD@3djB>e=le()R#}JbMB}`d)O}P^7B9VWs3p5!Ee!^N$I;
zGUWcB{n6#3?d|$VKjTZ9e(8Vp#h68!H-q9uSmm#H?%pC6qk{*W1bB2<AXH1{-;`@T
z;a1BczRm1(E@9bY_xV@ay=aa{ds`JC)B`TtHTN0QR)70svLfX1iI+u}rrv(}WWnT1
zA^SeGIQ42bonCVO`_-n!Pj=q?w%q$+zux-N<1at?{;ALYKmUK=b*|^zY;3oS9@)88
z`&{nU!o2JW#nCh8++OwV&NRK}+g90fHwvwe;%bPVeNuG$ORIU)w?vc{?RwR$s#_*z
z0mfm^=7d#mDUN#6y2<Bl6#M@A8oAqk%1h_X&yHVv=;V|4OWlt&`)ieEIk{@Sis76;
z+hosW#oX{)=2NSTL(O!S>~vYm%bgh&ka^f>Rz%1x-cD=X8uqy+FZTM`y;zdC|IVss
zTQYAa+%lW7ZQ{m7mzL?KtXi?<A?sN_t=w1c#m!!`e_cGY;L@_XCEB64uJos|PBr_w
zcxr>!>|a~`U!=HgoHz00s#dQ}Yv=SOJuMLtJ|DOS!qQ&8IKoo)=&6?)VSdN0CSIuj
z7`HLYzAN;1;F??gNmuJcR)1R@u~q)Hi^u<?)$Cx&ZK1z6uD#WNYTvXwahJrxzwLZ;
zR(0*0YE546sI~m-oMuhiVz6$<@0P-&nZf(j&U)Xvvc9)XHTTtZx66~vzGg2j^pwu5
zzH-v)iuWe1rb%nE7OAWj(md&@9{t`-xb2^LXQtX2zZnK!_Io-QC%)LP5v+HqmvggF
z%foD+8B-O+{EoF`&NeYAUNf`j>?WZj-AE+Y*^Hb`U9E*dlbm!n1YJD2*Kb?z>g3xu
zt+vfwyZFR~vTc32>922;ZR=Y*f7V^6|KYn+)!$5u-5kAQ_wD;(C0nD*&gR`T-JN<J
zEC}JW#wjlGcrh`p;c~s^Oka6Z<~je&|CS2vj#J<G8Vj{>x4%>Q{FZlioOf%5cKuc<
z`R>`%F<C-W-LT0oDP``F4(rZ|6++5iRBr246bbFI;*^`mlJ6gMS7Y*wNmtX7ayFil
zs)^E7^Ey+u%ZK+<VDcWrmurGvJ&de)eeU(AYyGD`cXSvmmw0z|O32QPWY29&*8O?0
zKVjL8RkodLZ%xKV1zRh-M`wDu2W*=9L1ou(&yw$xU%XSlc-Mc??)fg|_MM8~RF=J3
zZWe04weZ&!xA(!)m;G~>*l+##|H_s_!Iwq-eVc9G-eUW$#9!?$DVtg#66hDbe5GV8
z-^^>rg0mm5-1_m#t%|H%o2_Q^qNM%T_AUntd)^SbGyhQ7gDo3GEp&5O{kWqWFT=QO
z`pb{ban4NC4hXuzHO1tR`Z9eluIR=|hr$*tnXz8u=Cv2TTrcZyD`p0H|36yQDkuKB
zBCpNw+R9BTuUC6-3R|Oc)XLLzmCD)bqgI`h4xM|R)ltf^{HRsS<s{idnH%{YZi#3w
zh+5-pp?ghnPG0w);M+DU9+{dfl=cvLy;<k;BE31=cC6rD?iy>M`|42UMzu#<B7}95
z*Swo{qcBpl^i7zT?ER^i%pB)M&0c*=VwREQtS-q}k0fVVNzIxhHS3ksEGKD?v!;?c
z(rUiim+Cc7C!Kb$iOOYT{yV*L)*JJ~&Hv1As?WXuZ~NVYX6`&m?-u-jwD*y4-R$NU
z`z!M2Zho=9LjSGDn)p>uRd)DH<=*H!b!vcGi2s`4^(xmYYa*T%PP{bZMt>%olj!?v
z`$I+feI5CIbNPK|@-KOmUG4gR(!|UExaCg$6Rlb;8Tcjs!-jK*zQli-d*f#AG3meJ
z??tV5pXFErvhjt#vD(Z173(c3F85q&?7f=WqNmMeXkHL?Ms|)^G57MLcMfM7nioc$
ziJc=>EWP}yJIBtK+jTO1{@&`l1<Ik;S)e@oc7bkYu>GX(SC>qg-J|-{Oj3N)#^9%F
z%FAD=C3|-Ix~XwA-^?{KdKo?2eC4F1NlTYRI(Bi+nw0cw*^-C(v)4}B^6-7a5A9rT
zKk0v7w_7f^?Z33`;g*;6JNun7cb-3#8En7P|1gL=4<`MOfZ0bfgZJ;cA$>$m=5K!T
z`rKO=|7XQal?=RLFh#_S=lpal%^ZoXg29sxwJlt-AyGp!N5YFsx^2>-wuf09(ruRy
zwLRRjQF;mQ;ml-n59zkc$MQ0QHZJMCtMI)_Q}=tB<=vi!$9}zYwzHYMUhuAhCs#ft
zW4E&NZDYw>ey1F&Cw$GHZQJKN`;uAO@B3RSZckeJ-?w<XZsf1~Cbb~WCZC^rilIqu
znbDiR#P10|6O!va?ceo%Dcf0VSe=)y)+v~!e_~b1+uy2dPe0zhL2K>l$G2-i<nJ1-
zwWn_fR=Q5nJUiVcWmDhGNo$l>sl2Yx_6*%BWF5LR^s3jK@IcXhmls~zrCB5HwzM?(
zM_RAPXI7c1*PeEE3wlRQKYm@uWmbOjiY<Em@5?}BepiP{yhdPVtX#Z5h`hUJV&*&R
zsn?zszTaBXId%0VvGDiOzJE`DRh)Y5>C9i#rR}uVo;LpaeDzPOwWp1pd)GfWy+tys
z=4ssW(#o_IAFo!;G;>~iWKLLS>^#XV)oUBQUVr*|ZxM)G1|ol)TIBIL)^+N&ryt+C
zfXGy>iDr+BO>m;kN1F3qb!<MpB&bBqxSw@qNLQbGlg}z~|F(;h6ThTL_@1lviJG1+
zVJqnd6GCBL+ZZ?9^QhIeS4Jx%jvL*dr1QyWWmi(;bk9(c?rQHM^%G09x^_FLg$CYX
z)IIqJBwQS?78-cw_q3F2r<Z7*GP;-1bJFMBnu63I(POW|)I#^ZNl8?DzIW!F?`1`1
zr?T^>T)(HWes5r0_2NAzZ0CBu{&Z%>t=WcK&6B(KY?!%4jydhqyfaVCXP)f0SvGTv
z-g%$8$us|`8UOT6`!w69-Y4zTY_M#;(d7FnYWq(jOT#6OOpcGVsIlB<pcNz~9kQy+
zV(sglw~QiP_o%Gyn4Y5h@@2odp63#iu<QdXqpbaWm5#m@(~RWWAGah$Gv?zht!ZWx
zC*4W#6xKY+J8jdfBuQb-NUqb<Jx&HiY|H9W^<I*qsS~QCI$!wh<0)q}e;m^MDf4-A
z=_Kz}a!>mvo?NxXYm?X+z1J#-Bd2otl!<8bPn>jq<0AphzjNNy%ws$9JEiM6%fw6P
zPnv)D6A;{Vbk3z2HGU-`%b(nKWiR%cro_@5yh%hcpxBE;dU=YblZU0Mx8R|_E;SRE
zZg{B>l(t7}?jgJDB~|&C7JF*T9^H2><<^UeZ)=xb-et4+@y+eCe57UVC1lU<yIK-8
zJ-x){cfjS$*m)N}zKQl-URvpPd^5l4+-pxIWzY9@rQFglD7(}muxqEvYY)AXx2~&3
zx)*uA3YYxcTwD5b%eq~L_BYh0`$&Iot}S@EMeqC!`8RBV%X)tv%;PVOnx1}!zbs$l
z^06i9<!zvZt!BGhSLBUI)g~3*DE9Yj`g;>~L<&4#brl9`t<_Ev+xcdX*FNv%CAO=w
z9)yHS7kjOU@;e#SV)b;2j;qE!OVtpQ<tdtLyj{GeIaMvGaj5v8T0AB4<&V9y7oVBh
zcbZ?uH?Pk~TXw&B#`|X)n<u#Y$QekQl@?FYocy&o-t(H}CY9GRk&~`&St)Ljy2)?f
zSEdy{ucEd(N2spMc9s7H8n3VuKDA`s)dkt6S7uI}+^_X@LD1LPw#(mzyjl=cTK6<$
zZoFma^Xs3grXDI1Jeg^rV(vcEDAIMY%4+1135!VV;}D?1iBqpbSMkj&V!x)6ebjrC
z*=m*S#on7XEm6@v?6qmrW)<zt-kbU^O<I#YV{%ECn_B7G7d*W_uc9t;O3l2ob<t;I
zqsskqsW)X(jdq^m+PwUf?sAi`)HNjwyh3k237H!07drD<$kcMbs~Zn!>Iyf5#u;3s
z8&p@OF5<lh9%`ub%<|0r?CJR`YU|DwprHnvFwjr~pN?nj>a&5%mOuWM(`WQh+uVJo
zO<Z|z0MAluZ;OaSDV){b61s^ST4Gm=3t#Cph|Tv~Eu1<_bWWK1rMOn(Zq>c!nFntL
zDNfE?zQxRa=Iq61S~rx<!qE>mbvM;MXtvIBcO>UF=~;*8OikFGSUhE?UhuVlTBkV{
zdU7wE`|FNOYF?j_xRb0DsE0dy@tL!MYJc;;SNwODN!_Ra|775^-QSrtZfWGj=l2<1
z|Nm(3q0|G<G%gFR>f9^v>Qs{F^~xI0>y<^G;noSB;ns<s;nqo>*DW(V!-ebCPVfvr
zdevor)=IZkyVgu}IXvU>?z4eusu7ISHidD7H9WnwP$0+m>955CYwqs1JY|CDb={Lm
z)$^ISEL`!%y-ClaL8Mv&h)hdSym9ZAOsZB>!h>fT#l=%@UP`)p^5rW2X<KeYJ^<s0
znZ;3WFP^gg-0kx=iv6_po7szf{PO#Zd~aRxw`zU3W##-5uEUwZ`_BeG`xU<@e9bL$
z_nBI`udXkt^ql=`>%|RAeE;6ch`O|_F4sgaQ#rTaDzd%GGt4z$QmF5glu#vA%a<vy
z@16~O_Do~)zq~%9*Z<E3KFgYFT2Va3^FOQc(XhAAG&b*9{P(Wq^y#o>;<;xUmzNM|
z=*?byW}4V*0l#i&3vTw}GhadZ3e=XF|H0gSCWtrrAIKxW_RXA9G`X;N%FZRc^-JF#
z%sd>Qxx3)jm;HCtLEgKQ4knj_$>$HZti1nBWAbETTLpV9Pv!h?73q@9ES_@mq}3v?
zO?}%Zg@oRgNuBk{%zfsrJ!b=#>D3ocS$X=lOzO2QdiljuA}6g{aW-(-y6ew0f;SdH
zrM6vB37Wn5jOkX(Qz1)p`i!EzKD$oaWO*tiGxpvyjm>K2P_w*F2K_VbGiv{<A8(&-
z`t|k4r%ykf`D5d=zehPxe{s=@z#0#>69P)f9dDdf)*Y<vuxUB*SYLVjC1vL;3T5{t
z6#|=YxMnoC@b3Cw$uV)aKqZG%iM)Bo-??laS3=6}h${pJ-?U6<aH;(+@a?Xh<D$5`
z$9)pi7cmMMHp)(7^Ekp%RwbnnSnr=$@2~iH*#z6*6Z16F_<tAhPUx_G!*AxG^liiW
zn+%;diaBmVk-|5H6q!X*XFLL$B{{PUlpT4y?q6Zxtcb1I%;s_6^`rl$4vVJsC3+uU
zV%vBiuzJz5P5f&aI%gc<t7lfpx-d`5ME8W%)!Kh{i$4o>y-L_v-gwaMs8?FbSrG-s
z?`gaeHo<c?uz4u(9%amFU^z2;;)3((DihvGcB(CMPdneBuu7V38Ha_-fmoJ1?gyM%
zT>kX`o~d(;qqAjt>})oV3&zGmSJwV;<D0Nx%cJd58x_JnF1)1~%&FD0T%L!;_P}Hr
zwx+meD@B+aRgMamt+4O@|DJ*Kitk0<6-;ZS1O#R`uIaw0{JSGD$0A9gqRHKYt?6D>
zkF?N<Q+pD+X6@(>@lTrnd4YrIgHi^zCbxU8e|B}V=)}$6z@75P<A}K2k$}!)yPgYp
zNl2SXaQry5T8w2wY%4?DS%rpN6CRh^^JxNgDl5V)6e?_z61Xg6W~-^nIW)L*O^U5y
z^H>t^RGh|fc4p(*nGBr2a>N;J-YYk_tFkfKeHLkB*p?`8K<<Fxfo;5Oht!**TGjk>
z7Jp!pW<D4aQ!{h1d&S+}Mvoq+&yD6Q6*h6-7ScKud&Og#9*+x?rQ4;WMg5H#D_XpJ
z8mCKeXdaf>+a`a|;X+m3sb7<}$ymtSzvM}4c;mW#M!HKfkI;Vip1jZVA`RXte7gPd
zXVtx>FB+y<K7W1u_S3($(-UqMCpVq7{r_U)b9=jc`tsAye|~-X>GYY_R)?Rz&%fWt
z^u0BHp8oRJKYv~_sXAP@SLVEU*6CXtXK_2ZnJPSqWp-Mc;h<r4*;!EG%S^Tw%XN%5
zW-q^<Z{PQS#~l6n`+L}1>SXLCzRa0@FyH-H!p7^<U#GX&{eS%BS6$W5mPg@%8ZOJ@
z=f}mLTmPG-*=b8czz;DUxe_OTNtZLMY=XjD99xzdbzHd2#`)T;#Vds)^r*n9F2xX0
z=M&9}PYw!vO5mt8Xt9}K6{+l`p8bF^vnBjq=g*>=s{Qj`2u(N|KcS=M&&MJyts|>D
z`_Dgq{=I*v>$WxD@87SRYx?y1^go`7>!UN;x#lkXe*U_?{Pg-mA3uM4z5ROSo4u1B
zd0qH()6n(1rq$D__x>9B=Zn?-{`&amuQ2U<rwvPrd+y5o&g(mQ-eUe<$>(>^Sj4U5
zx={Z6_V3HbZLTW{*4Wp@ol1_oaldY#?D>60^Y_>9mAOAZ?s>V~`T7Olr)u(fB{VLG
z5bs#aU>{}g=l;or>&ww5hT4q^4)Ho17sR=lz8q~jaPae|UzN2#ZR~90tv*aRJVBqg
z?ejP9m#Wq!Y06K-=jk#xR{ovAt-GLB)mF=-r#|(i@`9)L_H5C~e>Abm^8cpqOLc$z
zejPmV$lmEe6H|3L_IkWZxRP@0zMs5@fv5O+$v?%b^PWG?d3*kS{^u|C3i@q)74zBK
zgW0Cr>#2B{^q*1w^!0A}o$LNP*S`<^>3ZN=>AJ_ikLN#ET4rdG@?b{eZ=N|uA0{-G
zOIFNp<d^*M;-~ryh8@}g29r5O&ZIE08qaiCE@E5pu;%yIext(XZRajK-FYa=();tz
z-<Q9qXKAUtI2ZoHSWfq|_3TAGjw+3!EN5)>CqLKjPH19!#`R^wqH@iu`HT29f4!Kx
z#=%p_Si(n2h;4FP%XiB;#yao06fBtTI<7sTt>huk^h@Hxcg9+-7ZKj`<KHv=s&%O6
zc#&{0;1=sN304WEgdT<jMv;V1$6_UyPIR>VU%kKXLs9kpgU9*oN(vP2_3S^xrZQ9V
zo`T+oug!_G-hBML<-ok$`t{y+nd={%&iPmK=hfEhf8PB3`}6A;{v(^x_<x7n{ry<!
zxyon53L}l*zq#L9HO97e^>Ui2NX$5WZ#EbE;)n$f;`OJ#x_ru5_4wkFIL8#e5CKUc
zQI<E+OW(>%vPDE29=O%@_D|4*&3RfkmfIb@Hb0kX{il8Bo4+3Sj!=o1sKYVi+l~Y0
zZz}EEW7B%xH!fL3rA~Ct$LHaHfBmZI^I~pOwEz6;_V1scglt8_-Wh7F)0wn*`2oKS
z#v^9C*bd}nGai_B^^oiame>i_D*Vd>4ry)s{A+W9$)@L9uh~^8-`XBO;kVz+!uQR&
zdssQ|yWMULli#*obh=w*X_bz|qVWDi_ufSJ-h}lDj)xA~9W(9N&F=GfzRFP(g#{J%
ze?OM}`O)AnI9r*4??$SyT-du`Pfy>Lyq4(p!iYoe95+Ym@;9&2`*|I8Gp8$6=e4)_
zbqam>XT9pw&!V5-zG=JkJ^dWgaKL=-t1C_O7xCvk3=UvAag4$2Vfvnj-@esZ*4F>8
zsNKKsPusJ`F6QjG1qFO7H8u#baOKoCI-R??P5Jl!F2faK;ykZd4(;2sZ@%81`7fuQ
zyZ!q3@`S0Tk5bw++rL}dJo_-=@QnEN=Qm%dY0|xV{{H^@J@e;z&e<a%#$j(#+_^2I
z_I&N1kB0UkRSJ%YwwaL!<K{S|a_n~c>UQGe&zTPTKjrP$>Bm3Zov~Yg!^iYb-%p=@
z$;5M(jmdd)<ADn~3RfDnEz%UWtOys_G$q6-apiN~#D#K_C)%g8-g}|EyPor{k?7}>
z-+ySo*PM27)`Ea2Ev~7zHrc;j`uX<9zuJ|JT#6QnA{p&%*SA0Zx|C=AZW+5L1|dAW
zZCwiw1{j)1Xtl8&Uis(Or`KOQ)javHzSk6FnHh0jfk83S&Sc@izh!?b=DuayzS8%^
z?c3Wc6+e9|{Ja12idRo>lovPX=c=*)h)OSd@U5`#v~d!5a*D)HxulHPGc5i>EO9?x
z|B^`1s9)6h{`b^tW%4E)E=)+Sc&Q#B?X<CRd(BPGs6dAkH$Ly$p!@IlgiDN*e{Raz
zzfVSp=S^%V&zcw7Y&_jvsillX8x!_S*ya<xVW;|mo(m2J$|eG5ICzhIUAXplhdJxv
zJ(?2Fd=DDrbG5zt^v7mT{Jsq;QD6Nem>(>R{dA#^@%W@qk}a{XR261ymG1bpqG?6H
z7KrN5*X9so5Uuvu@SBCXnp4!e;&6p+-j|x6yi&Q^b*x6gh6=`_%tpZ*pVTf%UsLq>
zZ}_#?;^GI3x!?S5-sr(?9Pw=hL-o1$@%Qxq$DI25zUJ4zr3rrD_x`W5k-wiN{blC1
zTWkiUGi4H98Cf2<l3MiU&n>s-4Ay#9hn+#!>>Q5OKfG{y;;Ymf-;H|q@;K`=8?3lj
zUtb|r<{!}J!RB$`&yw9QUYFm>;|-i8Gk;Ib)s^frkCwbQ4-cx4n4y#)&zP__ph1zT
z>__*tuZ9cQ;}5JmD84!SL+e|qnu)vp7;EJ(9B;5=DY+9jZ_=7xv&;R@KU?4U6(g`A
zc9%jzdXeLSb5ELl6q&xw7h}oc(m5fZWW7H6y8iYvY^yhO{wezVNlJ(JS$akm)BETN
zvJU%dc()YJeKFzV=A;Cr)e<vK-13>1;1F)Jf8Rb^S^t!~t^yH>YXvkW@O@xuv!45h
zQ{vCmXI@<IgcThM#8^1aH8C8F6u2;vd(&sDB?=E-mopyNKAou{U!Rr1YZHTmrx=6A
zNhSu>NQMO})5Y8vHWZ~b?CK7meC<gDUsdhC`2BTz_x$EeKFI8x?)Wh(Tkf#Ef#h0;
z(~|ysc7FNy`}pUn48Q*s$>rT#w|P;3gVUegx9%;-HAu`2H*`E$QI#J(bDz=0f6u!b
z*2Rg%Njz{>V2W@|VDRu|Y?{9L-ywzxPnl$Dgj-K0N?LVaJ+txaof)pzmD}XKc{IEC
zDQ<q%>tB53FZ2DMOFSay&CS{Od7g^O;dgQ6N(~8W))Fjw*7Exf7M*4Z+57Gi!<xKK
z#x?J5MzaR=#m<lG>T5WE?Y)d5TiWU_#*13XvL2a?4O7GzM80}%lzNeDz}66^&#*x<
zy`g-GqU?<fA9gd@%Z<DzN)ogU=I;7>^82~;NaiX0>vr?mm3-LP{C@8WJqyk`^(ApV
zUH$Vl`BtkHY-qR?|EwI8ZVtb2e|h|?_I$y1t8H(xm=tvx#5k_)ognx0IMb0X@k<96
zHNQ-lc&KE<ibSgj7DHK`Su^-}mS564vv5;jYh!^#^T}ll37a$-47PGHm@Ruz^KX^y
zT7y-+D-Y`H+^esz`~B_p^x1OkhjWfT|N2!uGIHOKrg|Is`sOsg{d3KG7tQ9}(zzk*
z{CTa{wXROJjL$!d+MD^`yuW{6ZT-IncHX0<>@51%^I!N)4A(h**@XR9omKtLKmXXb
zAK`mhmR>6Pd0yzrpCW84BYs>twfE253mQ|{@7O5sSo)dex6Hn(zYipBc3(Slcyo+R
z_{uM?#xX~Z@nx}vo~^MzV>zv>a$ZevnAnE137zZL`+IlJ>s-Hi<_{Si9ZucqW&0-H
z>8PB!@ALu2eStNCegPV3F(1C}VV3^M%Tnyed^zdyF%dJC$DG{<Pqpk=XKWGFu%BsC
z@HyL`CKp7?j%R6n(=2<Db?RY5v)1`18tmV%>^;4?xbmGw#`HxzX2O<H8g-W9T$QDU
zEVlzb>85G+KMQ>`<HVHY)o1oK@gCjO{+IW4l8*j~?8!^-+-{H2toGhen%KXz&FDq@
ziMz|+vflRi#GEEq#1b6+NhpP5+u1kABGNZH9iBIV_o#Ssq`RG_&e3mXmAee|w@*5s
zH@j5qu<tkRB^t^<+c$~?&z^Y4Wo6S%?TsoE>b3v!{EXNr60K*y^t9<*2Rm2ZgW}dN
z5*<EfoH0mXzdS2pMtIYq7iu%t=@jPh9OaoiGf9&1R?6=N>7}ziJecLMF4M;_En$Op
z#@~*oqSf=Sou8rj(z??&YW1m&;!&TcWGesm4m)Zgdfab{uUK^a@_lYaj;Wf{9<Qn3
zIU07HpC!y~S5w<T9i>k*g<8%8oSA*&_{_7#1~R%cw=~?6vfgXHDacsFIA)HW)ZBT+
zTv;Wv*1LEZi6~pFxoUFoo2>PoYnRezg&c|7cx?3=p(dBW8Rnl1xnxtk7M)PqrV_TQ
zEvdq4XPJ**qkmt!>YL7mGvss^`tuxJu~g`vi)r)TV=sN4+`SZ(v-IT5WlL{(?N*Fj
zv|OTV?F91zwe)!3b49b~n3`^Uo1(F;>&>;;z&k48hdd;^p6<PDIvIvkS*9-hQGWB+
zhQMTvX=~rq1g9&lpS>;d+t-{n$*#2~uNfvE54$x}Ty@ffg+FYzNgh=Toq40cR3uu_
zY$ESbv#E!}JiIusrD)joUvye`PNewcs~q#`@9z5SEO!gw{Fb8OmT&aj^x&E8BK()a
zj{CAzn@hf_G%^rL&bORB_s;fP={f7I&w82t@|)iLrXqTibX>Lh9<LSN&KqT4wNIbj
z;w_@?ym8ZML8XwB>mqe_&Puq-QNHtBlA7E0U&$x0u_z0l+w{CcXI6r{<n5GImglbA
zpB(J!y^-fRhgw_GTDiWYB#khSQ+L)G?fhB){><;rw{eq?n>F|5o#ZvYU9g39^3f^L
zO%`jWl<Gfv`*Y`<qb8;LjX^xDZ}iTe|9ouEqoYDIn4X*Jf7+bQ8M;WrZ{hS0s%rf&
zZwk#m8sK9;?T5~)cXb;+`|K0)s?a`oTlLS>ie)W6o4zI|UWm+Ty_&Tl#qg80MxaKd
zPWF{q%To%E+C?;N)c025yZt|IQH#X1q80bGkDi*5x^H%&cZ#~}$G63wBRgj-G~Q`B
z!?`e`Yo-HRdf2AbQQn51!#@`17)N#)Z}tw+aNek2+-6?=Yn#Yv<G60AuG-zR=USAt
zF0*K!nw%8T_0~E42VY$5M~)z$FV7T?GhCe6u&Kbfs&iTDryFG!vo{`jVpOTq+P?S-
z&z`AEIFnLDn_hNps#MmLsY+V0`@Q!bo5_bwib@_Gan#p&nsCaL_t6GvtM=MQ=a#(p
zy|tx}xy|J2p^tydUJ2gYH*K!h!&SQOcbpPR?%M2XJ@8^zWy#%`Bwp#Rr{9F~{I(p7
zP|q>SXwtp<Ql4*KqNZO}PU&3Tr#W*>tIF5ves4GvcxAFq%hkPV5*t%pS8z?8EWWgM
z=CKox6E!$>t`%KN%)Hz`Bl3#2f}Foq_f@m3fN46@l+R?unp<C+8+qbmv59|8-^nK%
zk{;UKTXXRAQDb&vyFHg4Pmr4SDWPj)QPS~EvtN77DLE?|9qkgS`_<|`e>9I-+ct@u
z9v9!cNgE7>3=_K#9`iUdPnYesSaa_}g9|73o3Py$2-%|{^!rBD1=W=aD$yIwZYOQX
zHN4R@?`F~l*1da<CLBH>)~w6W<d$c6!(hYFgzgC*fyWw`Zj;Cn;832PYj}f6Mc|Y!
zn{?e-vF5c4nWIkU7~bfM<}o|AO(I87$z-Zy%RDoWi0xoI&+aQOyvW4<N31!w`=FW}
z&))hx!y82spHjOIs%`$0-*u2}%gT;}W<Pf6-CNQ%<5<G4C)hiCX-17q_qb6ydv}sH
zoV*a%u#<`PjOYtR*Y`nR>|Eu8zFgFflsv`b1L?pWT%!1}mhU;w>D^2meGcUT+IfaI
zqzqgRNH88?(m3#>cQ@FPx!niDK;0z9YhgLhr!#qbsC>90)_m4x^U(xu)tv5w*H&Fl
zJ}9uaipM&O$2yCpnw49K@0;N|$2+zOQvZAQgc=I`+!1v{hOyv4p5YCKqB}_&S`j^o
zT*Dhq5}&iX4?b(uWs82IQr>;=*#cd*+06>V+a+?MdCY#q+`Qw^a~B*3d#dgyZJ4`V
zBIo4q4b6{r*`oE2pIe{1O(I9*@P*S1JgaosW;24Ce`3wOFQa+3F@a);B`hMEXB!*1
zadkhAi(yI%W5XmJhJZ=Xww9i54@1J!n!8CG6jQnnUTb*pG@56dSKHmaM-#aB_lNLw
zToY?PdvUu&PT7TA!y5{+I#x6I#58@_yQP*jy9!)BxTy2xfdZ|!hh(&*LnS!c4QJ$V
zF{sUEVhCQ%kdWMU?)iNYm)sBB-MVbjD>id&dX`uyeMoy6>%F869}h?KnB~0^YhK$_
zn7mCQNB8{PkGAh)Tk;HVXdS%9dNj}AB3sQ5&gZ(N-3Pa&8Q%HA*t<<4XRE~IqeuO3
zCT-B2qRV#M=|<9qlSwf<x4qvckuxpn_QNZe#G20r>crnw*?u%(vq*HN_@?ykgUjAz
z$S0(CA5_~Eady|y1mUGQhBw5zL?`L8MW5bvG@-cKD4J)RF5B#-KWx6Gp8JsIe}7t1
z<+h^<n=5my=bYVfG(p?)jc_?w4Je~X>^+*W+mP+{j-v_58K4|=<%%www6dTuIBUJR
z363iXF6Oy^z9(;3D}2XlVd(x<g0C%}u&k+A<KZ>O^!KW-96p~`HB?9Qm@yq$>B`#?
z+$|*#?q#CDXOZn7Q*h1U$o~koLk${WE2Y;R5NqaDZhYnW=Ci?;3u4W>OicT73~wYH
zb-LVgO<Yl^^pjXKFH_=^O}cE+4J=MOk0u;me=})=X^DgOG+nmah1~~_-4JWmb%Kvx
zZ9AH9J88qsay`AM2MzbZ&OOq+@cVgPwrD<QkkKjA{`(r<XxJxWc*8CJ`q9}Tf|n&^
zj0|+k<~Ihj$r*jnV}5V^L6KSB_CJg80UtH+NXwTVhl4(97c^b@<1DsI<QQ!cPgv)`
zSj<}GadEfEUjK{jBDG(<!rF|OC%3g6T%wq0km*q||FGrZPwN<(lrP9$=>jEF9zg>)
zh7Al{29=NVx(`C!8OpO^TT;VT(c3?l9uN}ZwAp$z!T5ZR;SDFw+esU?zWNej;Idxs
zaG%uSKB<Fo2b)@&YkRT<%K2v;vsca!<#DlFCuZi(AhRKw$E-nSyF`v6*BX{ars((u
z6N;mGwh0{jb1P{>WkUBsG0T&8lQvjpbRT@CaN-C!=kwZyo0$tFLo(v}d)p;)p6jwj
zf3kb?prZTWwbL6vXV2DUlRjne#fYIftNUPDgY3UWTaP9r{}O9n+YrrT#?vTzO{{q>
z<AdAYjG!J4q)Wq%$b;V8$_x>f@!KVGI6x7mQ1Mf&dF`ac@_fS^hu$lTGJ{Mj{-Vn^
zTec*c$IOGhn{QdOE7#?Ni`rf$2p%cfkdb6%Fvn}RLHj8;QMN;gJZiI<8cr@_IB-Ra
z;l_+bpMRRO21@@ql+=BY?bV*63Bvx@ne!Nxw@KvOnWM`V%?fJu<`~|HnrHpE`rdAi
zyGa{Do7Qr7-A!1;`{RS~x#(A7&ADfjcD!Vk$~C-^WvJHOJ%7{DglJHzY~64)Ve-)(
zMY;EL4R7ci-FA58QeC#$izD{!^33Z#n5Mbyl3vPrv1Z?!7wrz56KnQO**L4T`=FW^
zDC3G~t3>l`n^xL=@Y%PNZQw%A`(x#s({mo4owrZ-=%<|SgK3{{lv&I!>^>M)bVL0e
zSj{$FHfh7E?t^8?Jlp<)YAaBd3k=>4s?B_EfNHai>EINTtie-qsr%qIzvS0jLia9r
z%i(zJ{-;wShmq-B+q(ATMXz!VZ*T}K7c*7x)6H^-(Y)AT@n8*O;ekkI!T-sU9L$Sy
z3~y|c$l1XZ&12TXvPym9`NJ2SqItG)aQxVGG@)6P+gCU$zQyCp$!H!kj+Tk1w@KtM
z3UNFYYv$db)_w5W1;(}B+az+1>#|8FgLDbbI;G&`FRilXHn@^YyT5ZsMk8|_*r~$&
zZTIZAOXOJc{M>XjVRGa@^P>sOQh`SjZvTeXcn>BtCbKPjk2$=VX4Kd;(`P|~ny|(T
z^F}|-st<>D9!;3Ma4$nKE7uI|3(j5lmcFR%vRm?Earh>qDUvfxRCv^QIh#G55B-)o
zCpoR0RY0ck-GZ<?NgGTPIvNa_8dw7wPD;ZIxwW7i$Gm3kjdS{}(<XR4SgFf4yE3i&
zpqSSUvF5d*%a1oHR(+KyyCP9`h2tBSn2OAs<e26i)dx)eNmi~-QutK3b%Q0-gND0F
z8yKJLIGVs6n4roba^n|M>zvz38(IyXT^DOU%N)(K?S$t$vF5Xm(L84Cj%s<}kpHlA
z)6PbT-QY5+^6S2%3D)_BHzs{gWIrCwvn{S~Zv5>W!yEtPtDkSXyJOwzm*F$}69P9)
zj#1k<`O}P*H!hdGDhPf5Ure__g#W<*;K=OtclYkL7B@Y0(A`VLqe($kP~+f+X$%%2
z@rE~eZah$riZ{Fw@=vr`_t1KY9EnEJYvDZGm=0{7&H=&=6MGmWPIAC0AJY_u3d{BJ
zhBpL$b{!03*!eS@XPZvj*}tOAy>{{^c}mu<m&oz^C)#|LZ`XQ>oB%#CSuOT%k*UqD
zy{8T;c|1+HxTNTT#nGxn-lM%*JTDB`Ci}7^Z0cm#5hd8rt66FPKiKgK+x`tdx(>3P
z`Xk!B_SD??0_CnBT?dz4{n>SJTH^OjdyL`@Z+Oj`Ygv6yUhtP_vo3c|WWXCX;oJl5
z^9z<Qtvi|!JxL<>SA$!;;f+tJK0@O5Pqo>iC)FHH@NQZ!k@Ge6PHFD#+M@}Zg`)Y>
zr|nDHVA*+f_3S76k~Tzk>Tcn_`B}7i?Z?Hn4RhB^<b(=kNA6GB5E>4$TV>ninxhHY
zp8JzF?6jS^ULq&n@Wz@W%Qu=A_idl|C08{pJ>Kv}j#M=NbK|<B3Ei4GeRsoown5an
zT#Prok-=kDd*P@1JimGO{!49ltZ7)hC#&eM@MOOFefv*M-aA=_C+)_vG;hY)&6_Jf
zG}i00MSoqF<NWVDyYFv*cb(+#6Ipqc*RcGL3z_#TSMaU;rCXQ&zcLS!3fMpKQp2y_
zDQp+2udL@bUr<-MmhtQ9Y~B^|aoq_YGdJ#u`)?wi)b;*oOmf$A^;_Q$8GTs2aoO|0
z`z8gCf-cU=n17%lwwry~1pzPqYdL35O)+;^cl^5j^~2hizqiFr&h%h*dBUj@mlJn*
z&X>C^WtLL^HQK@qTIFLN-u%nfQY5Zyp7M6ZM9CXZJ3j3;obM6-%B@-H?0w#u$GjY@
zi+MJ)aq{{LHm_M|w|b+V!HP2x+*-!hn2hwUL?|!VCdFJ-y!FayoxLW-b{{v_aT^-_
z{It?`)wXH=E_Ond3Pt&vi)(`S{)s&)zu|{m_xlYM?MI_^z6tl#9FaNCeCEBD=!Z`x
z{j;`T{@QlzO2MUv+!sH6Ev{?SUi>A|WOv5Dyj#zG#Ftu5fAoVZFM`!Plr=Md)%R*0
zX)B*vmqS&1%U^BCZ9h0~!TjDII-lOU?78}=LqBrg_4Iv35%SiLA8cda{e8`S)#43x
z@6R4w$o~5Ad*z<mOgGJoRb7>W8#aIb92gh4Ws~}Z4=U3Rp89rN{@_yC1Fq~P7a3zp
zBJ^HaH_1qHA65C<aCGm%fckg&k+sVfrfyn%YGM8ju3vhAj`wG3z1W?|`q02TnT_x6
zkp~m}d9w5j-)xR~ntUYdoB4}*>8s{+im_Jh46qb_R`fM|pYF|%N+qj8DofdH4TLi0
z1?;qH`;qzU)1M#L_W8*^{r@I7dI|p>Nx%8CZ+7X*@9>xDm0|5`5E9>#=<&L}I;x~m
z^E3A@6O}(vMvVJ^`5aguF3iB^!BXctry<@|J>X}LF2kzGU2-wsT%LGuJ1;*e`GNPe
zhV|Y|U*zKAIV*V88SXlMtZ#_0`_-P5^Q6DR%i<B|6N^N{Zw~zzyeD23ULf)N(8nA$
zYgaw*6z%%1gzSUGar6I~-VWkUowHk0`o8nCnu`7^#`o_wc78Y5_WGr1U0RU*(Jd3+
zUlg3kX!j()QLJJb|KBqg>Z(MW)#ZzRoLp{@FU0j(I4ZGDNhhc1URmN>^<z6jFQ4SO
z_uk*T>4&2$KYuNc`qAFMZfe4@qHp<2I+Y{#1seVh4k+%568!!;&|r=G!3}cFe=nE`
zpDd}{bA>%DZP~PbzqMyQIdmIS1Ds@e3^%kqD6OnE@zy>5I>+`8>#2`casAU9KdqIH
zueOb2@TiwtmH&Lvm#<|Nd+uFgyEgyz+s7+sr*wGch*$63`^?s$t>*WMg*=+Vip&~k
z&L7UI^q#bI&%W+gMzi}Rj#eDWc_w(-retD%-Ys?0Un{nY&PcZeQ_E+)vu*nEw`t-H
z^{9Wo72ls-NRLyNzbm}shg79<p=!_kH4>eBH&us9)@*(h7r~mpXu<Z-H^I*T58T|_
z&OU!(q^wo$i+!2eg||5Tq(3t5pLj#tI`@QdrTWHjxBjzlr|vcTGP^m7DW22(d(--9
zv#T4!uf*y;kly$0)H<;Tf0M39KhVDL?bN&2^FMZ#+Opg|p73rl?}y%*k}uww%Kc~3
ztT}mwPpDq@uhMakH&ZYEKJo3;yHd9g+m^31t4O{Qa(jQk+hYP1ckkKu&%YD=_oVI7
z>-yYlIL~jq{%4hmvVEj({GL;7w$62|bM#*Xo_pFoabm6F^5B^l_n!~YzPtC}#XU|F
z?l<QITR2|5_OX<AdXmBW$2ayj|J<#+O>EW5wzXli@9&$maO)M$zvn;xRr}93efsg|
zH`DK|P`}Tyn%!{I(Mt=Rr{`6LY-_R#QSJ*|WqrLTud3jM<K3K;0CQ={D`(x<O1IB(
zyqliBA^QApxi{I{?;G6>6P4fNd|dDCH`7Sfk{9dTol6TcXS=!0S6SJws;X)B^Z?VG
zMOM>9)K~PW9_3t>>{;0KDk5l3!tQAaQQijYR5`La+qSY?JuEgSVMX6WRn2SBTdX?g
zF1fL8*^RZ!c0~IY?w|E2QP(x%YDC1_{fVK=UoTc!^U4GjmBd_qxntGk!qDZ9SNR<e
zo!htCYHpaR+1jkysQst2JeOa+<+oaDcGy<CwcGDhy<Y!q0Z8SV%SStzG{3cPKYo9{
zSMm2wlkf9AK5v-kR<eCo+7FjmA39AQt6%b&@3Hx>%PjvFk!~lWq&7ZZooQF7KQlV)
za?PT5M(5Wq`{@$hQNMXsTCmx_h*|AlRldLWe0Oc~n`@JEu21%P?Ro60N}{dj*0rZ5
z)g(RXTpI8Dxie0A^SP{9{$HeKGKOESSrlg^y?)uxmR8~O38rV&-IiBquFkYunEr7_
z*yS3h^N;qfUG}qO-qFc1zKgTdr>VW*>J5s$8@Ni_*{d}4WJb*U;9I(z*L=4Q32&S7
zYSpAmuPoIT#Ks<-YOpjm_G-RMWz=5prwjFdORkA~`FTUt(({L3O||1+UB1$0&AmgP
z0=9=pTsbSjwtH{pt8X1EZ!0g$>z}d9HVKLIRd)B{^f!I;Hm+Wc6<xR*`r?&VNvY_r
zU0kuTXE*omQMhy>UhZkHk+$ycs84qPx%jnfA|B4R6JLGz>38ivd9Clmew4MYf8noF
zF@60D|1}lUU(c4CWB=u2t^ED3AJ_G7ueJI5@y79m{q1)5er){k<@cWEOV;{xkMr(m
zvU&Dld+ns@LKSnfcwU7h2P~I*EX4cCtYzXUW+ffJ^8)oxi`AbbKe}66bN%u4g@0Y#
z`hG4@*}Ih4qsp6og08By*QM2m8$JZtA89Xb&{?l#sggd&;Lwx__B&ZyR;t$*adQOs
z&v|o*Be-9N^(aTMzs*Fpv`NYQl8LWQ-e+b$bY=2>cD9z4?tNbqTQnWdWghgp;2c|^
z_V*C4kfn6P#4m2Uls_F}Q}*Hf#Kf-b!&$_|ro84#(XF<992zNxqTd8<Pq>Dvr|&g5
zG-cNQ43?Iadi57MID+TO#2*HcAqP2LM^-WMpHo@?(BROjS^HbrT0%qp{x`UszuAy7
z!PrG2(QCqH&kaIf6ohAJp17IaBk*;~`#eU)uFKAD1}Ed6Fgb-tJvqVQ*72xG#^oSK
z;G7o?E8Xv%J<w9-_H#nT<MxWLzqt1;*dum`W3{kp<F7I~<uzVca$ZGO_iVVfI4zi;
zKdI$v$XT@zbMxM(hjSewLU+zQ-JZ<gv@VSAI75quUw>k9%L;e@^5$0)XHH#_KEatq
zQgQF{Ip-NU0-Y9YcKGv1c4E;ob_E;$o&EDp9_9#MDf6{CbX9uBOLrl@PB%jd2@akf
z9v_|@$s2|R#}C*tA9-+O@%I2R%hgjD7714-g2-6OM2C_^ua$T@{7OPw7%zIp2rtyx
zrE;j@g^Re0guvVvMI6kFgkyOW7nlh$7ir3Vk`Va1>U9HSVE&x+Krzcj6B!o8RhrIb
zSQPhF&``lDkYA8_k?>b0Lj|i&JB3q|`2T%qm=ey{q}nhg{JA=hN9E%;Et_6EX<^Jf
zTqD=XzJ&L)qNAL^+!rFvj2Aoo3?u~Ret7BnUBy7b{Gu#p$>buJb*j7M8yN%lymL!%
z5LsU>C?Rn4wI2(ci~26-M#hVtcjh}pmi%pTu$y_Dd6Dot?Mtj)HsvDeLH*4F&kkR7
z?VT5UisSR~D{mEAw#?LfW1!Hx^0XC?M{-$-TlnFR4O$*oLYrFFO@4eby2T*(($x<R
z;fI-HKl6pm-*w?o!!w24W!errCls!is<EY9c=3w=s)63W0I|DbhZ}ezV~vs>^p@Ub
zWeyU2D<mP1n%VB)AiiCq=Oc3fW9sjR)uj*mZqMD3x#8~Lm$t2oOnmI(`vg+{R7|f}
z{b14bcqTsapG9*vEj;Yh-YaWXdSlz+GLQWGeEq)<yEY#y+jQ^f^;f*nhiu&L>TcWn
z;@$kuAFC?t7r)kH)TrE6-aqXUTi+_V+4>cG=YBFY*YHo(TYbv*p4|4SlEzDnYTH7d
zU7B#}hm@1IL1^2-38yrqf@T&uYYAt2q)y{qXf;9Hj{`*df=M5ao!?`%`y&iK88IvC
z8zZROr8nl9du}Yt^m(-E{fbZJAwR8G{gDm*H%+VF+xo$@vIDwr8*b;;I4=#o`15w*
zwpSPTR9v3Qbjdx(nA@+|$#m~i@72B>8n?fGtJpa=Ze4qQ#I~%yY)8}MTNliF92H<G
ztGnXP6tR##Uv9aYR1<}tG4pR9FMXW3bm2npg|m!gcbn91OI+y^ac=GAX-c(IE_zP1
zd-Gz6hkScUkk7tuPxdvtX3V!gH+}hQ8|z<cGcV5noZOXlyXoAUZD*$cvRtFtalPp3
zuIBBE=A9G%8u8D6ZO*T6JO6o*rS~?4t@BO46ux*Ys?N8?t#^AZkL}#=m*4)VtFEb<
z+;QEOM?1`5O~k<sNvwyvM0vEsK6o8narH*U|F>y>&F}M{JO8_}^lwg>L=#`Q%fas_
zo{Bbp{(SZN!%y00TZ`EEPJR9Kqr}o~apC88>T`<JloS(Y>7?u2YSQPo+xlQz4Y$wJ
z<ms%%l}ZV2GjChZ606>PTWH@uqw1~u_wL<c@@<i``Mzl{7wn5r;&XJJcRoM=BS%bh
zoZ`ob`4t>``*i}U8xMRF<@ojd=k50qzU!NJ@;>#^*r`$Au4PrKIYUsJEA4Vu)3lWu
zSu=g)s{|@eO^^S){`5yJMMe$gc|~al4xh_;e7icai0jznyIl9zet63@KkUbjLx;KK
z!z|_`J-KdUadh#AZx)XphkjI?`{<dl&D?w6U(LQ%XZ!fCYM;sc&o95fy|8ohgIDLa
zv4?F_Jd%7mOY8ZxxeecM&S|`}n0e<j+lluDfA*c&?!xC*SpBHDy~*(2Jmb3y4{Y1A
zJi1(C_3yS5GxOY)+?Sb23vLL}Ex6u%Th1let1X$o%)48yjmNRSX7APanpIKzAEmTk
zC^{6IrLq5p#UHy9Q&ha~Rvt81?(ph5^X0cS^0NikC@3??Ik6;6m2yl-NMKlzyv;1X
z-hRH``S**`9sKW?zSMi}6g6F8-4Euw+#DswS`EAsd#`^#es8XW{H2)d$5*E{AN~LH
z$M=Qhfg5-P`j=T<ou9&z)t7RZp}<3!VeR~PhZqVjUfR0i+EZ@{pC{6?HPTTA7bYq*
zL@Nq5xcah`FnihY9*TUCcdh7xg~AuJPhX|fzHYX3uJRLpcXwjr+oNX=d`n8()6*#U
z^BrHTe+>W3i~>DFiy0@TSG7!#dOf#e*%ZO;A(Hy+>M`OaZ%$6H+ro2zafOcB)rB?k
z9vp958Ml1!<-E1qmnBA5=t{9L<17!B41J+3>*sLnmAbXxk7dh!AHMeY&-3@`w%p-6
z|9Q*Xwg1ob>Fa;YUe3oN=hArKyN1B@lC~ln;}hS$S<CI^-R!CSnA`5rbK}krHX%n}
z{Pa=UqIvQ2%#f@oGn1q0Olq@TGTf&>cWC)w^T+N>Rz}6L)faztbSk%O<2Q2eyzou1
zxP{Z`Oy`A7is=eMUkcQpE|hZZuN8Xu{bA~2RR{mnV7Xh%UR36ua*mF^Bj=Ryo25$r
zON@megp3LXv2WRZin%d+b^W>H%O5`uT{Jbq{Pi}Q9~*Wn8}E>rXRmkt^3xyx4*U0u
zvQJKTJ+8+a%Qa0)lvQ}wh2_~cKjs-dSdp^r;H-*W`_9Ze;5c9Q=GG@?o<DV7s<r#f
z3SpmGn{S2@hp$w;NM5u4^6fX}_v#kQeJ$K;qyKz!w9#s&+^G9?vGwuur(a-^<qA_W
z{##j7@4EC#`<`9B&#J%c{Il3&e|obR-@_dd53V#P7)B|SXo+#$oydCV*Xiax4PSM2
z1taD)Hu7dQ-<0`dWAm@}pT+Om{vT%N-xgKX+rIa=KbU;}_WJkz)5CeSo><@he*AIu
zo1EQt_r4j*sIeYS3^IUFAK0$`{P8b$+d}h}7uJ5<QWj0loAzWs-F^N|W!;jtkG$5-
zoeGN`gA=a(_%Y#tL_X`((zg44`PElWrm0lcsH*G>+B0*zb}_$oXEJY5ZL}9-(aY1S
zE8d>FzvNl?%{R_jfpfSXYcChs{qtom)0!zP7X_@|Pgk3wkYL!+>br2cWrg7zfla(p
z-S4%<zgs-+xxVm%L^@Y*U{%h`Y`Nq1Z$1bz9-dxS^gJhlH9Wyw-C!FllX2{WCtGJd
zs$VI)n5TkkCL_P{=8cCBqy(?)oHAK4$gj=AQc~$@N(XnyqdkQk+*1_v0-6@Tx5;0A
z`RB`zm7R&r0tQRCoz`wNvCn$gx=`%g-DQUZxb=CyZfkAKJ$UATSzKDVEZaQM^kecy
z=S$stXDepi;R$@4)=?GRwqkoK$Ck}G7iAs2Q`w@lj4uSu^u2dG{>JTx>@mD1H4zUR
zKUZqp;S;x?t^4QKw;#;=)OOm~I<DS%di~#j8VAyE%g?imJ1?IfUUBj7>4zV`TKaw3
zcVwcT@#gE#&dlG>e<<g&R&{l!__xr|9WmmMKY!PHHS6&9<Ha4~+spN~vGKYK{}VFE
zF%x66N={o~oCcyM=g&A2q!H*8EEAM18JfE&x}ol_J@0JwJ2U*{^*tZ&Q?_}pZ~UkI
zN6@LlY>h{<8Esppikr>mm=WsEy)#GVsq}l_cg071oDSH9D+#M6m}^gTIO)JSgZ;5|
z#D?5crz0<lz9~xBCN)o~VV0Bb!y7$6mb=t3hCB29`&2a3L|m(pmn;19;eW@z-Fg1S
zsFC5Xx=@YaQ_r18*tV*LJpCFTqYG``EeUvHI!n1?os|9-Rmn1!bC)(V^}3wfVkPjC
z?bOzdxx3#!mSCt}+{uu-r>iqU>2DQ-lm^oU2SFd6hV(~*AbjCb(|Kkk2I*rfn-0uT
zW$N)%I>4aH#OAAX;DYL=uZxS-KFXHbZ-~#oUb*n6F8k~^MGqdGuC`0jR^-hOc<<7%
zF3tMWn)P>gw(g#A`S<CMUmt&}u%357?a1fT**YgPbZ^i3UR6_HYwaR!+TEYvH!rw$
z&i8)v4O=J2SzO{}HovUyAu{L1+oGCU(dn1&nI32O8X2Gee!2JdTrIxa&*pD#>;0PA
zm$7r>`5Es|3Vhhea#m}`at*VbhTfgA`LE76T5br{V&CTb^nlnZnHzpnnWI-1CCm=x
zyC)uEIYT6bFKt7FzCp7q15XOe0S7m%)CUIBMb;SsebK*qYM7@!o@RG?O@h1UpA9d5
z+J5u9pR3&eJ7-GcyLplsQh$GOtY^G@%${w*PvwU1JNC&=wB2QLzR*f!Mvr%8MatRL
z3V)7dDlV9iIknxfPd=|PiiM}4?b9JXW&?*$lg?dRvU%R@-{;oMc$&;!eRTG_{N^Bc
zhMHfu_b^oLIbpuqUhclb_S_$3t?$DuZY=1ssf%%teDQ5&<J6M01l74WuOudPYZ`B0
zoPKj|zSrLreY?pUY$Gpz{pe+Ma`&nQieClovL61ZV`g3u@5b;ewaT}FF=dCS!r`?H
zl6kBr3bZR2qQnks-DdV*G5uwl>zCCH-Ioe1xU~4fj`7NeTgbSIwl7}ss6ZrC=G&${
zk&idq)$R$&KUQiP;(L_2YV$)&-hz!0v$wJwj%vCZ-IL9^Y%9y{tt`8@vXp!HdpF&@
z;Ak5z^J?d#4cuWeFBI}i%eH$xXGy+OoBZ>*>VE$ZvD>G9e(X2@!P`H7-#&eB{%t};
z{zvC{!%f<=r%Zp#c>Y85J?6H`{Y&>;o1^h&W%8@l$x*@2&7N)lyya2+{_lTR=U2{s
z#`3vo`U~eo^Y{J6r)S$~OkMvZ@ZhWEml8H?<8-i(*V;FQPh;6<wx*zOY)yw!>-i5o
zUA9krNx8rttB`Qb`L}oNdEs1fVd8Z5-wPz3wlY*$K6rk@{igTai)%0KW{;cv)tmc4
z+}i@y^4Pc~1@|N3FWh7~y*=oMZBrLh`P-nquP>hDoLao=li`egXS*V+D;%b_M0MVs
zp3$?9L;Yxv^10_$bLS;pP2SQw)3Zg+Qu8v$yEO^vthe{KJ^dv$)1!~q)KhJ;%Q;`^
zX?d%JY+JYZs;Ezk@+=SvPOvP$cE{%ELj9(NdrzpSOU~;4VLjXGq~SKRlL@buuwUQS
z`Q%8Hw&799zjvQriJE=vUYy^ftwOhriuUCPb6Xz1#*q_zGV!2V=l+TM^VVNEmf?Or
zB3Jli<(jahSC%I^r!BMjW)!tLib?9wch9#PZb`3~)-K<-v+PM&LYMmUUy_ZPESAUD
z2l`%E>^<9>-SXKLub!+XnR!{N%hoo@oXk>n6L#dQ+A6e|Q*+Ve8De}@TDh}5`nGKq
ziq5b!xbBs+@To+c_Quv}o1NDl5&LzoRL;`oqE}A(w8=cZzJ_a=t+vas<*6=vwOHnB
zrfQkMX~9KdN!9CQe6v)y2^Brbv{w4M=DgX&Iow&Q+oX!DmTm0aCRX%ok!Mo3vb)tR
zm2J<8{FY3;!M7|l!+m<LlsUuGj_BD(GD<Gx1*TuJyUBIt#PxZ1x!%;qRQun$SE?o(
zw)Vgc1#=7a>o+E6zd33C?TJ)%QLk-gvUKO#=55<1&aku2ESBzE+xA)|C_{bY)(baR
zgzkPBd9K2z=-jTUHWICs{Hc?QZ?8S_Mc2G`m*tI_D^H#^I+y2rZgpW>PEp^@C+flZ
z+n-zs*5-5*zPF>ZXwH#uJ1op*yJQsHGCCEwD=aD6Tm5E~(XNeVyE@ZKgwMWEn|1N)
z)Qi3*lgp3A%<fyq>7Ki>OeQ*db>GT~GomZQ6F>c1JV*H6Hr5XvPo{kQ=JYCZYuhD1
zlcE_rr_Lx7=f8f>`&_Vj__nP9=9~SL+Be@;+4lWKM)tcW&zLP=>=At7k<WT;`Q!v{
zw}ey6+7^h;2weAgRc@|wLEl=dw6zJ@A+MwBuf$z>R&?F;>cq>B-nzayQBe2z#h+sr
zfA%h(J!{DkzeiCgzJy8I<gJ-;Zh_*_HAn8mG|!0ae7V+;Eo$}&T^G|Gv!+VCUUcx`
zniK=`&uW3pw<A0E&NS|nDm(Ax^O@(|=Bc->JFm98Ey)zN^bhM_v0W%y;rZlOo`1uV
z*1!3~YkOet)E5)XgytWc=DvI8#2NoqGb*h;vg(ZF9*L!!d{q?H*BlYMs2go6vV7_d
zrCoa&ckZ2h<I@YX&WSfZS+K__FOTZf?Z3@)L1OLNrajCHKmJ&A<QwbV9-~R8w!J*O
z<W`xxW9pkl2U1t&l#7H+i<}pbo%#9MEDpId_rE`TZ~giExj%bN>;0d-zxYS?%>AkL
z8t1R&GL|No)^BGGS!x0zr-Dgdu!#BIpVE7BFYb%EzHpoQ?x(HC8aD{t=DvF=vB0~1
z#qp|Hfv($_9!u{yntyr!q60tJ@|Lbn<XgjZ>-%jh5u3R4@3-l0U48IV+U&Da-nTj~
zwXM~<{X)x2E7rA9vfD~8s!_80>??DwR~seX-xgbvyG6rrnTo}Ig&lAAKiKxa>9afp
zCEYk>Hu1B($${llC2lW!_;1aTFz35I${Vj1)OCnl^vp5clfG!9^4cR|hbz^j_FwYK
zF`cu1k)a7#K>uK+zGJfB*3LVNw05|L7Rb34{Bth&T=(H~U4i$M2h$7>{$ztA$J*v)
z4s}z-?>sWtaW%W(oFh6q&zdsfrjzw^1q(1*QGCH;&e8m}5mO|(??-IZFc90nF)1)X
zXP@7PwFi6{t&W=8O^Uyjso|@=(QZ;T+k%HSYmfLX$kSb(=&|;Q-^x5)^Bw67hIv`D
zJr>;nO+Mb9b<tscSQ7W!ZD}Sq)=a(Ow0o^_@7{YJCUTpm{xB(Drv2OY+5+i~YO~)i
z%ljSnSUtK^Hu~MphPIY%nR|}hzsslcJTCJ^mi9)~629qd3EL*IFW!{plE&_`=Wgs*
zPhZ)kp5fv>A@@5Zi~@sd9nw9%UYx6Vwb8xpLy-NH?^-#vW-620tW{ey9hv6|9lEku
z(VsK%)x`zNTMWKt>~M9OQI&MSb%v+19!Snm93;1*8zgtf)oF&1$pNJhr=ATQ#+fRv
z9vMGAH@Yh?TX?3lx>Il&i`1zY^DPpIOP?KlV`8BfBEw%~m$AUwX@*y3!k0!iH~ET$
z61k(Z-Tqz6b$6Tb$VA8>#Gi+i$2jvuyi-J|={9}EHLIlVmd{k4#;GuW`q|S5t}NJC
z&zYFjaj`y7?W=;MV(aCV69pGp#VRB@CC9xgInVLZ{?%rKL*5P|>Q)J_79I>LEqxPZ
zGruuz@5_v$(!8TvynGi}Db2{R@OFxry5i=Qsd~z5zOJ}=<*J$Tnq^sL3%zz0Ua@6y
ziwNDh@bZFvEfZF++<cxRaE_j~gyO4<M>#|K&#ZB=-SsB1<%?XbpP|!~1<_7D2C5ka
zc}^8_Me?z6Mu$RH3GQ0xRoRi(d%VYS>;0QeuZkCmfd&~v<_m9K@N3Qr)~nB5bXVA^
zXE7RD>|$t&Oj+H+ut@l3o?^obmvA=;fw?bsfkzZY6CFx~uDfV->vb9^SY2cl1dZv*
z90H9yI&-Y>J<<^4)o#P)viFr$g2NT*=Rs3kw{7QCcs13xn5m=cs`rtG7cR9fJRN>l
zxQ{fvQ2EuM(S6QEV#23WX=02^_E^~`I-HVDSD)gluFm5j{pv~!W2W=m6p%%lY|M*<
z=O!2^SUtSl{mwJNA#ahj&<nLfXQtGPe>r$M9+jFiF)ymP+kK!xBd%Ntq(zpCr^D~j
zKbBLAUaPZ|+-HK0G<Mmr+?(L@s!-rijm54Nk}srE0@gPRJnIkK?<`&>G2z&iR9Utq
zhSpY#S0~oq>g(L)HQ_?(Cx`1Ne?6HmxXgE#l-!G1<qLjYX%%BLVb3&<WlVBl+qurz
zP@%akf7V6C)zZll6BJi_r}3Ovx@C8QgVv&0Cgw#tZv{ABJQ8c(_?hv(XY=lxz1<Zx
zl{{&?ZEmcXQB%~u_`x%aw~+D0>E8XkvSL;_Z#JwvyiInSb5*x``*M!mCa-0G<(Skr
zbT4K(e)zuXBGVmtmQ&}XHqURpeEFhy$k!V+j=haGA8e-XT%)D_JUk@r%!E^4B!gyp
zJ8M18=FnyA`ZCcYRfKn?&rZduou*5SPPYZfF5qzPL?-Y2UN_ZUN1}3O<HYHb2<olX
zn{%_5MC>+EE4&(Lvc_e_r@$*~L8Qn=mkf3n$0nhLvH?zvH?O~(=KL$t;MbSGHAl=g
zKQK`bxg5Q3%dM7%O_>D~E_b)FAH2EBavkecnd?oUF~z4PTNV@rM+I1#=~jH0dQmvC
zC?wxoo0m71>*|!3H<nJemk3-jM{{Cyy6({pvy|2>lL!y?+1LGa15ZWM?iY<&Qb&)Q
zolNCydGfg=ZF}mz5_W+*7c{v;U-R8-dvP*A|MQ2Pb)OC9uIsFwSJ5CXU2^oi)Gv!K
zAG?IvqZS{#ZPzyM-0zoVHT!Mt_MS{s!8v}omh0i6Uk86y+HY7l|16jDg@>mSkL32p
z$f?brzkVOz^!QA@E$%0DcE-ug*E^nWl6UWKi*oui*Qo+b%TBsD9GsrMD5@ZOAM3JD
z8&5awv=KB|95XFI>s#9Prw8VpeslHTw{MHO9`n7ewGF=!we0Z2<W36~(MY~W-=6BW
zw;XRc`Dc^ETUMsq;R-M2KhNJk-C1xgU(gE<JY$DNi)^j`7#Kg$OlPxsbH;4nUh!q^
zym!}rxXX2a-G|K9er=mI9}-&+Yr55)Z8o0Ap8B@%$nk8OHcq({s{2i5wf|VQEk0gl
zo1;vo-Sf-uZ!auPKX`R+F8`Vw=MT?soXUKo{-E~V=^CCr=ezix%=+$9=l;Z6>AsMq
z#L>`|2Q1cVNIVvO8!vfdTGzW>Q?AxJKk?bFJW)A#b}vW7DzP1}59abO(o{XXk$v}4
zS7GP21@5O#epvpeZu0W4xBbgFbeH!mZ~N5UTe51GPU&;j!*iHc#`ha;`&^sjwxOwq
zp@KuOAvBAnv9XbH!5Z(*biOI2k>@tuU0nR_ahLsty$ufLi<xdTT&&LIQJ%&9Me%HD
z?Vc>Nh#Je#?U2#JXHPY)O;nTvid7QkD=|HopvDkof47zK!J?(vfom6EIQLR-%ZV)s
z3zXd%wmB&=bkE{=!8T>iv%bw2?&^qySqWB2i^SPHW$f;D!5l8+?CV>nzOLQJ#A3RH
zj88zt7Z;D-uYNAUr#hln^-OOTUdL_qP34J|E^`5cR<~!VM8<!fE#hn!4!!)5Td>vT
zz|~6|A1uh}WbjpC+A{sz&t>7x)fYQItZw`^`{ct9fB&4_-a6s-!^(Nv_I{loY59DX
z^8-f_j+%a^LeKOL=fh#kuQugeI`N~a|Hn@sB^&-w!z#PU9JyX!YSgCAn#Sw<v9sZ%
zn&7RAPb~!$ZTR=|@0F68_cCjXUD6|tg>ReB1S)N*JtC9X#8a%aCFg`>2aD|;&&m~U
z7nA3^{HU$CnZbD>_~w-MZJJeavp-$9Cbqp@;FewEJ$74hzZM92O$5Z=)^8*J?b)mO
zpFh6*vEumVXryt%B#$qTfAibhhROKM6P4X<Qlc5LDn!KRh12vImQxtrRX6iqJ97DJ
z>Ngg?UvEyVQ%$$im)8otnd&w-;nm}f{RgF%9dnjXuD#g2KHo&He}c%7<L3Udf0sKS
z^h#M~-~WF7iYdP)gm1rC^M2k@`S$PWmDdg_%7}B%sS?m}<8JXg%CyjWlS9ORkxtH+
zvya$!RkE>}t>8^7{_y$3kMBPpzxi(a=(f%F(=Y#i-DCfkrQdeC!F%Z|H}~1g-A_)x
z{q^Iv{)Yyd5`2@Jpp^NFbH~5uZ|2>r=yJa>>cRm*nMqG$weQ!ao&T(C+LM1H?hory
zoqtVEVt1Ss`wlMTkX=!~dJ$8_ZlmuTgt-K&wHvtu^lEpqY@O@o-O;#VLe#4Su6;$8
zT+QKLoIe;oAAMVC&~om#)s(h=F-1)_oi<sgZH|R4t*PuH?s+RTOpeWTN}eJY{cG0o
zkNnm(E(bm=oqNYpI^v+{jkBCRxs3;W#4Re<di@XKFqtR$LBxnz&Mhsuz47F-7-3Cy
z=OuG^CKegFoH{8W?oqaX{#O-azQRVKBdlHpU*<l4TViEzYqxmD0&b?Z62}#$)1JBO
z?lHJ>Xy@$`3D8jBy=?{?bZ1E3IClEYy2A%HKRWZn`I&_36z3~DBo`e!EAVw2PhkFO
zfsFK<ize^5G^KIcDV{AMX_LQy6aQBBgE5?$S3a!bL5&=jIp_84^v$jD_vPvjTvwUC
zTs_0I{O8vD*%1NrbL%U9RoK?=iGAq$UBCVK_2(8Re+#+qI&&s&wsHMGJC4o1t9#u4
z2c1Y};Iymv50*b7B&Hj8=ibg6QYJFWA?}@6M*lpHTyW8U^s(~viY(!~Od(BNw=TU{
z%}m_TU$RW)hW<$$H=cW?EMj?+rYfW%sloU;p@x}zn{TD1_O7N_zB6Hm&F`7d<t}9?
zJfHUQd~>~-9@6;VhMQXs`nH2d0Ou#~C|~g3rAdF0ji!Cm^FFQh`yXW;Jm8R!kdmO(
zs<i&1%)#QT6$^DwZcr|oHcvD|rD)mJ#uMfN>w0r<=gJ>vxFPM!qM_W@z|Jeen6ZGv
zf!Wo-kYQe@I|w^;bKCKEFyu%q=VB_J#$lk=$-q2?L&Bt!!Fl?LYyIbD9x1=__dwm=
zt?eP@mIWW5+RAU5I-$*cMY)ng_-5J4YuDf1ITU;1<=>}2eic^kk@Zgye)RLH>9mwB
z({j&M+wR-HPj*r7tfTG*>gQM5o%?-U`o=9!eVLbSjk8}0t8n>!DYM+Sugg30{jaL(
z*uK@<BIEPla^8&PyR9~VQtoM)i$%%v4ew7@e2~mJE7ZV0Fy{t?bn)(cS7tUBC9DqN
z%b9(uQ8&bL!(1))ZDCIjn5~xCqralaKy!u6nS_mT32faA5+^ts8hfx(4;Zst$}cRC
z+5ScHC!5xB-P&o92m2=dNWA#D`pw*Zx82SyTJULk(9FhV7uWZHkTS^qlgu#7o~fe$
z^=-kMi@iU~EO%}^xnoMZ`?Wn>hy3S=F>%cdtCV=b#m~5vLxO?#X{#(-Lc<f)xobVs
zZOy;WjWj%cobOwg`Q7_$OZympeDO^vypSusFZSVXQ9iR3O8xTU0c^W!L4$pg5uWL0
zr4l!iPVwX%NG*FlJIMZK_j#2Z|FDwUJ(GMst<%czsBP(wve<Y30K<#vf(>;u<Af8S
zBYh5S(TqlSxa1n99o=y1+X3NMsk<Tt|7ty0WFpho)YUw-@bGa_KKH<*4>eYS$Nl!|
z<X`)-#$R6l>g>W;zR=*04fk>?{FrT8Ti&ZnToYPzFDb^`V6Eznb*eYks_t;EiV`~0
z#`kLHqk!|G?XepkIj~o*-n={1N?qddJL%K*dOvHM-tSsFN51?QOaAls`TF(yH?|+C
z>X!f2H8J+)l07;66>axF$r+sat^0KAGnYhv+2DBD%d@Pu8}6UGT=f61z3<~|Pro)%
zpCP`-MV@PSW&NDUdtV$^)~NP#h1pMKYu@V1@at#L$E76>hI7pYrff175YhWxEud%q
zb+5wS<_A|7?(+J1=h{<O`9sZpdu(p7AJx!jIdGcM-req0+^e3vsrPI@%vuv4#qd3=
zU3<stPfD%7kNs*orxvkq>Y?|7AtF1nr@xBr_w!pZ=knD4gI{vP&fGf8yh`PY*PDGV
z$zNP8G}B}X?XJK4BC`4(%c6@1tkxcA*`1m6&b5(K%P1>kjag@>f>G9s6-#HYI>LTV
zD)zyei>#ZaVz-3##$CC0hvjx*;vO~LAD7~e+`oC{<Wb*AQZuZ6GWaa+KC1Dtu=mLQ
zyRMZof8WMVSay*$Q!4gLCa=y_uAJbV0@w6xuD*C=<#~4Qkt1wUwM&*?bghz#U9$Wn
z>nT?)Q&D!_hrgF_Gn(%bC<uD??b)q~(T$q(j#+23E)z7l6}fiSgT?l&;(s-FyzoEh
zTF<5TE4bj|{HE@IA~9c<KUie%DLD7YmVyr#=QnYmk%b7MsGclx!ZYW1!Ng~7;+c6@
zJc`#s#`n7GO?E|iFYZ2WvTKI#;_fddyD|b6cT1S=S`oDP*rF`ACHxVlyDY*Ncek4E
zQkZd1WT(cAdk#Bx%(z#xb4Ji&?w4ZB;@cLVHtUsc&|`Y=gh{~fmhLN`Tk6q`l0R>4
zj&9uBpZ)%%Ykx80rqU-{nJ$9|_DsJ$kp>Oy?L0Ow#^u>bSA*FxPd1)BDlj{S2SiOa
z_^Q`C{YKP_oGYvDe$h#{Q4`NC4tl;gaK`rlHStNsMHBDe{qpizLi#c_^V1f)O)b|S
zliYODGHvpFpS^dB1YOiicS-qHJXSkZD0KUAO~6G?UcYEJ@sN@tM^|<6kdl(*T<F-I
zugT)q+KXprsJ-vmX*N~io8Ke0ZCkhM2|aw_Gqqe)?Y({0hR@4%8{D5fn(q%C+tX9{
z^@3?}S9|vRrHz5NwQonQm>sEJxG-2<Jg&6J(Eag(-1jMKHuZf8OQ^BxJ$IYS=jIiG
zElkFzN@KRJ&1E^aG4%Kv(VX6_+SmQJx^Kn2+qKL}Z`WJsnBHe;_vhw)d0CT$tUI$6
zFGr_-*s(Fms+mo6vrus{Z*rRIvhBw-GIEu+3tat>6_(UIW7pADZIiO|pZP9g+qPM#
z+BjXv^v%4fGoDG#N!Q+9F0{H|V$x>MCpM9`i|%>lG|ur~rT#U#bML0l=3f}Thdp{^
znti}kd*joKd1)%k{%19KXm8XiX47|DnIpVY<Ghs7Wv?8;yIC=Z%c44U7u^n&xFZy~
zcp7L>ulRsz(W<F8KJ7Tm(0lQg)(L9?ss8g`Iq?OK^GxFsws9N&s!rX#c!5z_;Db#e
z+pM{?PV4xu*t(^%*q4R>+576x-{=0UPXBjr)_(QR-!uO4J$rx3eoFf5Z4Fi%X6?Vt
zv?>TpdV$F{Fgg3)AKrW0GUC_04!Ax0?kA_m4iTMiTk~ET>{#l2CE51u3Z>}AkGyvt
zZGRabm{7yK{nAwf_Ath`zjI}|<kmkgzdh~N)r88;XVXr7KeXV|JiDp6C8DZR*DX5G
zb5yOYN@T{y8*AzoFOcj0xG&f7mRq+_vRBh*-lp6CxbuGNTl{xyx@_HP_|MQJb@qv$
z{8A4td-ddAE~pPnTD>rD*~A-J7WOV(FI2Wk-7~&;V`5m+>W4PMz44i<+oaBgUzC^y
z64*2S!Jg?0Hg?={$qN*{vq;OLU&-RX;*LuDAC>kyRJ{sL&v;PN3`Yz0IR-22KgFF_
zC~@bNDP#-}ncVT5$>>DR!nwr)bHM0|WroVRN87`6yn2r2uZa+Wjq=5-{|HN1#xVDh
zwERi^w<c4TO^uL0Y0G?}U|v{~dcgK+mkpMLB`puxK27?Laf5{UR$rCCH*1cF-O4?i
zu^?P~V{2Kou~g1AubhQ<ugyFbm#-qlf77dGmi6VSf90|-^hQiRTNb>%cJ;@}YmfBl
zevff*cDij6_vn2d`=sLaCM8>^-Vm~C_hvSTKG_@?X{xlD*(kp9PU!qAR|031lpgf>
z+S{Qhta_Ebq%B1Lu4IsGMGA-hSLe$0hpweN8)fbY1`XCd&{XuXmHISAV3{0ml@~{F
ze_OOl%Sv^&n3kC)kCZ@i#S;aV$@T8?;0W$N7OMgp6V!21J;Kp#Fxf4rrKQbYzQQC)
z>Qv13=@N-v3l^R!b?z1n%aS|e*ZkP&=tqU;&1}1rTUJhCbCb_V_|nMk_B?P;=9B!p
zWhpJ1e#(r_UylSFFqqu-N3|t1H2JsCp;fCc)(Sp+c)m2gLvR@j?_chz0?Gdu`Mk0>
za=NluSXtSnaF@-I7PmcDPR<itWbrjZ;-RWSm$0nC*NhccQlG7lbLxIR$C&kEpOpAb
z3*p|qtKP9IpP7<j;O!K#aplD;rQq?si&wUS$M;MYdhN`-A`2ehn|XNxczka)czjO_
zG`_bKG`_dm#dg;V@c5pOq0z5}lbG2i#OyL<>C}5G@vzck{^h5t%04Tl7D{VPZGUmC
z;*3Pd@431h;8lcJ2KX$=AK(iG4e;%i<LRinT6v&hh55N<8r?bh!VXuoXFp>R__}I(
z2jj)gUmX$xb63cBFkbZh!W1Yrx7$GB>D042Odge`ZHF4Bn4k04==SrMm|%A0Bq#Hw
zHmj2f4ke3%dDvXktqvwQlpI<ves}VLhC43hDi?eowXvL9^c%c>Fpq`J<>M}K@UlV|
zkQQqZ34yss{&G%n4G-XWv5%F_Mg30n5^s@8jy;MquRK<0sVsa|EO3Zxc^tdq8TrL^
z?RsxPO9{EHAxjCbAO5vPR=9Mk!b0t*jq9d-J!!A(GrPpr=0fOuhp#KWb$K%QE~V{a
zJJP^Y6q}jkz{Y#uWU+IY`7r|p=P>cJ5)-^L%MUh$xa?+ObBVmAC~)DaE?e?*=6#dd
z%0Fvw6}nPUxgpT-=Mz5ngJ%nG9dy;-&myBYT~69cr$izmFAcVM@Z*yYB8k(}_Bzk+
zea2r<IORdbkKHa?*skj?pR_sW!{&l2t6xo^MT090C$P_3ZZtjg>61TQr+OrVX4*Mx
z6=!;+*6=P=b(m-;w6bTB;#5uZB}N<DLeg#utYQ{P)DT1>CsyCz`l*8zWwju)vi|1S
z_PdpnMY5+KQ(0%#)i#wQ)UIn6m{gj^@wP$3BS2{jvzLa#Z>zgS9`@XB^Y_i~zmX<3
z=UGcu)#>SBvjqaoc=?K|E`Ct3h=wf_JX@%{Vosk}NS+tB*70Sm{QHcml)U?1<s#Mz
zmV9DY=9+4(TJ(C&Qi-tOma8I<v${@}Z<LwF=wBdi8{oV6#|^ceI*k)*=7CoSzGG;T
zwn|-?b@$+H0b9vesd9GL^W~OZHr;q$n7<&odEJjlXZd!!xWY#c*;guVAHQ(9(*ADW
z`|Zb<n_KoQX-_=L)h4Pf5w<2FVq;U{QLea^5?iID-|r7qjES(fuz$~f?d#^qL=LMM
z%bp!?C!XFs1-?A+8^=u5>!%-Ie)^;5*xB9l3!a?WvqH$}fXPe_jUS)(gmCAb-hLp&
zt}N1Ex;j(Zl+!|9NAJDaCUc{B=H6TP@5O}_-dVVJ{d2D9(<K%)I)d#BlukR|*|+EE
zgbxx1Gvmcp>~%=^&BgNf^Pjihi!>Hpb>xy&6lZ<s;ksOp)i}xZU`|xv1tU>c-N`SV
z`I-D9{kEjH%crr~JU!FUKKJIa?cWc5*|2c8=)UNOw?*yNekg2h7qwecU~%-s`pSZ1
zpBJpL?fX&Dd)(k4^Uf#J-98K4zw+&M_Yt!W<+IOge$`r+ywlmSYxCR2skt2gWTGv5
z|FY~kUpuqE@q6UO#+c9ZPQ34^aeor6#J+fkmZ-#XQP$)=i~ede-wwJMJAKox{Ej&<
z%T$U|vNj7cdS7KKx83p9(PU|g#kYj*TQZVP2z>Fdl>9d({>6tab;hUo7CxQw@k&75
z#)*ER)0e*6lQ1J#;p=O|V`cmL&vJxK5M<z2WZK}>t6*SYz%Zp$^W&L=qr-bcad=N;
z%^fY+DnQjVzSIMOCv%!jKbF+av~6s*v)+__a_0Hd?Ovf}GXvFU{Hl19yrFI7#|y_J
z;=eq2E!}%uS%vlE$Fsri|6c}d5Ssb&{Nvx+T1)MoT+KDPzdQZo@rQpS=WQ+EIDV9A
zxg1OPMW%(p8y#Ns=?Gl2cTIBorS`EuiO`}y)ib}@O1Hi5KmPs3&9d4(Z<8&2xY`ac
zNq|rfcvpS?`1f{>b3Vt#@;PEA1uX3;mDf*|&%gQkj|cB#>22cmj+)*37ffLN9?+3o
zpy9;+>i((~4ENTZv3=9RbmX4b1Ewk7@p_KA%P$HgDd;SjW;LT}eN5k>2UCNX><-iv
zR?G1${Jf8E>BGgY9$k#xiR}||17s2tZ!#X8D6PfSTe$3k#M0x__B|{7bC^$F(4Zz$
zz5E<oSOIHUF;jB1g2820zqr+x>_wS+)7flV&os18ym=!rVUy-{(6AnSjPD5Rq`U9a
zZBO<%$1GqeY!s5IeO_rj_nd$Kc@4D}O$~~%0$IIFe+F{v^K316XC~VgRgt)2yDm=-
zcN*Kak4C#+8+=$}Vf=4`r5oo{0n@y;6@|twdv%?!+%{sl6}jz&$~u$O1xt;Xb*J8Z
zviEQ6UfH?>R~-(wi^^HdZ$IRF=<BVUIS;RIKQ8~l^yJf*oKa_E>)w8s61$R4#0tO1
z7x#;Nl5l7`fB*6o_KhvB-O$y2$2qPBD)G$jZEZX^L-Iw>%*JywZa$G#GZZp3x!7G%
zbI)kiEtl;KQx`OCGx_z(;nI%x9Or+Z=J~I<Z%WY}sjdq4S&F$&ThFR7rCq(~q$lnE
z^H}xd-xBU}Zx*XCecLHCQ-g7LWG9Oko7VvwiTekda)aZ}b*)R7&b;~Rhu-hL&z)o)
z?re_y^ZCL2-qTknH1FRNqjt8{OCiTBx8U-_4f{9Ret$0UPWFZU#0T9P{-^pM>|A{6
z_4*xA1_lBW5|T4K7M{p9asLow8kga1^rmCZ(sWj-&N-Q-3ZHnVWGCM)yM5e{;hUQ<
z<EakC2ae3HWUudgt9Uh*FQ%sQe0z@Sl83yn=5k!Pn&Uq2>sIUS1>!|j^_KhUV&w9V
z3*Ts|sH^N%m0X)T`*U3X`}O(l8H>|C3Z6MxysH26zmII&$}Vxc?@D}-_KT&nNqBE;
z-}?2Bf^O~JcQ0=G<5juWu18lpl}$gq?d0;5+eYqN?%YT}^F4**M?_Pa=$T*<X|n^z
z?yNH}eYQa6hSpT(+sld)xI_7JmY-@|8)~`XtQPxw?o~2ATw3hL5o^R}98_XpGh#|m
zP{vACG)P^PHPJY(TkE#3@l>Jr{M4`wi&g3(zWkA^UjBaD#LXHj{;Uj1TafwXwelV|
zAL;)R4bS=+?mhlh=JM^uC7a_f0}_n%o<5vty1way@bcCMr)8mXY`YFQJLod8IXG7)
zwlhj7RC=aoUy3|`wl+QN%%_dbdms6J-|o0lnZd4BI6|i6c3Xb<kG$4q-c=_aA7`D?
zm^U9ZxW^WDX{N6%+qM}-%+duj@BUnN#sAwQW6s-?MPudHt4!XrnoBB3{^4S=zW3$^
z48KxY7Q`>R&LROF*_-e%tbu2H6Z?Wx!!?_1KeSZM%nNhb&$UB?w|((~M+vESJ`}bd
z4h$)@=+Xj>^Cjw^o^5LP`tot_uW2#Uov()2EHIazcbUOo@!@aK7QofpC9VtkWOHuI
z<}AzRd^cg<G?vW^o2%AYTq!=9D89zxg5$kcZ}O&|<2<(G_pwj?-gWaI?9S7EetfRo
z!P`H7-#&dm|Bd3tdym@pC8dO$Y3ko*p7(J39(JD3b>5Y0Edy@^AHNcQe9N+Pxo7g9
zXCB>O_x^YI{ZCeBIiIoWUu-{=cTYZjnt5%2R{Y7uO;`Pw9!$s;Zm8QAQllvw;Paf9
zW$7DUme$k%<XTSq*6Mq_SEwjmu`bANduipx_74k`_4&U!8lK{2{7`h@{KWoE)2$Xq
zFD>WaqxO2b*nz#b9&)|gwa4S(zK#19Z04Mnzw|>jizw^6TT831FP<!{^}OV1vO(=^
z(aqmJG-z>d722(TQKDARuS?Q>&iPU++oP+@8#7Ec_-PwF%$qf%IxwNBt4B(7wQrJA
zM~{?M*2`sD9~(EjiCbis99-ijzBY8R_*QfCgJm%i>phwGZ4v)iZdO`UIC%-%GCw<p
z%P*1)y=r2VKbDsV%DLB9i!aG6ah&BQUK_>iy_M;9h+fN9ZhzBVJN$x*)eSc`y2-!H
zESWgZP5fnMQDf0WFW;k%&Ohv4IvwcMW0^B$=iZ&*HGIoIo{MVCWa%vnTdnp3`^X-2
z7%#|0-B4Gi=F9R2OvUV2#sO7Wi-c|)#w^)+(RG%z>7|(LV4v3w=iWY&@723@>BS?%
zUcI!-FO+P1^`x%6P;%?lTXki{#uc*!UowaF>Ycm#A}O&~k7Jp+s~*=fa{)cQW#;jE
z%dWg|+I93m>)RKbd>^wd=w`6k$<Q*ntlMhxx07KBZu`Ey2}^kY_*Qk%#m6xXIkJ^&
z512sL?EO48FDBW4-9~lsiIqiyXVk<ibBYX)sEIp+C>5W*-j7wciCIZ+%`&$=E!lt4
z_4b@AKQlz0*-kmx`eaVblX7#M>-S<<E_%*g2VcNvAs<rW)O>l`MOM+dF##7(vWm*y
zjgY?UVhmlv_v_RPwOJ>vlh^sGcI=(JqcJykZMTbsRq)f;)|0>crQYnx<bEKy^T+ea
zRbguvZV~R~?y%c+V8x?{x2iJ}u6#SSP3%?LHP4tAS5CHu&y6{A(IVrvwdCqG%6mm6
z=KC%Fyp1W?%#>yAfu17S@Z4<a#^Ri-hS{vqi=*!UTKsL1+4SA(R`zx0SzGNdEWy2W
z&k?+I@9+%p(mk#y@Y20Kv!>oqSeCbO)xsyYW>-#L(fD@Fk$Y!my72B!S6x=g_Wb6l
zw{wrYQf_;aBZO=1-j0g1QUw>iaw@mXmh61FUrOPU*P2sv4t57>-FDGCwYlxg7NKaD
z?NZk_%neKGeo-dEw%z60ilt#mryt7{^v=mr-DZ<#?2x==8`mek7Plvxg>H+-Oi1q)
zpYhhI=U?2-cN!~tVnuf7Onuwebjs+oaF%ZFy%^zx%|CzN`%|0#@7~P)+n>EZ`Nwt^
zX!rCd{--y0=`P?~^X&b$hE-F*<Rmb;5JbLOW?tXCUH8`X*H%})rETAH!9pM`sd{0w
z6;Iwvfv*w%#;Z<*fyVWI<o*g5k+^?gZHeib#!!dfb<yoj$6x=vyKQNiX~eyp%`-pk
zHCVA_xqqs3tgGkJ)fxuL8$0L9v8L&mt-i0Z;&{@Y{OAeWE-pGUV-jezZQFm)7~i@2
z2@k&bCH2(v_}x@1`q$j{V~bGoZIeeUr{2g~F*{Rbo76e}iAq+TYump2m{cv9dLzr?
zyo+)~ROi~Z&!Hw=%RmC}RW07DX6P(3n;0F!x_t%Lxy2mk>N)c6@z>qs&+8PrW29zr
zUjdF*<X;duvHnw2v<%z!D&BLJ9BAm>&ITT%=#1wwED%)6C)K(6$6C>Dq2!O!+G4E7
z9+`*faP=I$&bd!?hNi>vAIIE3d0X=?%~ZYSUUZ(pWX|%bH#o1XeQF}Ga_SAut81UK
zedked^UD?X6tRYm>%F=P9@pz>n+;kAyuEbU#_Q6ZZZ6w|=07`ElDhx6REhGnl+Aaq
z<lfI>N!8w%eA-t0!h#ECz0-f}zTNobjy7*xuIjdya}O^GoC*7s5E9mV;!VSeh@12s
z-(zzCkMEs<j_(~r8{ad4jqkO&$!BDIX>13L@0s!#DEbsiam*07wmwNCVTPykKhVJ5
z##+wA(5o-@b-bwJ5V|X#)S~I={NG9S$c_CPlYjO12z-5U<OIhLF$MX5zEf6BHdJ<5
z`6|i4DMUQO<ctIJnl7;!O&J?PV!4eE<sGqJW#!hhK{}9mMYfMyMC%I3$llu(<c{n)
zO<8c;{#@LX049~`dT%8XS6%*ar^R4tRzsfCj3D9`?@?uJuhj17;2hiIMjqQM2{gVC
zdSU^5a1UjlF!JD@WB4iXKH&}f9FA~&PF!hMy0Vq=tm7@8>kSeU9M@_4@|;-kaCTbB
ziC4!Y*iufsS|rI_r1@4)VnSEO^aBkV-qtKkyY?JScvEwr{L+KGI@_=&DSdu3Ew%Y~
z9%}5c^t0aa;_38;!%r_IJGZy;Nn7W<GT0z-HmCiIm_MJtU|Hrh>n}Gm{xOKVbN03G
z_jbuHxLc%Ud790hd-?Lk`YT>-{MjVMT=}3<t2i>$@7($oXJ#l)eQCVJXnI@7vFid+
zOd>CpC!+5Lb`We6T4;qJcM{$Wyf`DGGvMjs711D4BdPNOUq=&*s+0AC7N$+>FYC5{
z*_`m@<?o*zxoHP8{Z=g7UX!_%lQH%31BK<{y!=g@LyKd$VaxYUz07cYx@^mWqMWD?
z540DnZ+f!g-n1|&sa+zgG%s)TR<Ac$6kr*wwEbLESE8wFgs<VcWix8TPbW%#U@5=I
zeAT3@KX=k;A&!&JU!KW3UGtJpVaLK?u~pY(_wZhvyfE(B!{Wcs60Kr|f7^UyFgJVA
zg?sDoVUH@rs2*g9@4?irz||W+{)-kp)cUpg^XIyRSo_(cZ3_-hJ=}3yZl{f*rG0#@
ztls`hF&X_6B8&Fg*v0mp&$zSaH>dl#Gu>K>EIyMv8=Ca{+tBv@?$lWr^5$&b=|<b>
zH&_3C`_@(Txa_UpRqHlv^=UtRT&R#kYm>~8x2L1|IQtnV|4ePX#m%y9y~73j^Y`oY
z+m)hZms}7m3VhHPQt~RuKsik0%ra3{-Qd70Ml<ccD14am)TO%YM`GH6;B!0`H)iJ6
zRO|cjOYe$)xLb5z?8D1k^5GQ`4-a#-2lxD$#hz}%f9lrbj{fTvJi;~;yz4Se_&@sQ
z?%(H;(`<36=G^l8+nt}EYg%o6TQ1^e+k^8Pr(V9{f8h6>=|3eZ=8MXnFn!<or|(3$
z%Rbd2gRa#<jfGKx2FJ8-?Kj$>D|)w7lZZXPQzk$3|GAad|Mm0(Zv>+JB>j1xic7x?
zDUEz}j=Rm0HE6$Fa?bPLH+m9SB^f^mC`m|i@bK_3q{e#L6dR<*PTQRG{l%QWJ09_u
z=qp?}yMn<i;Y*y<;f`lawI0Q>^6O{uipBd~eH$yH!+d0MW#uV8-jf_xWH@gu?_jW4
z!r5@mzdVs)N5;#jkk=Ypig$7A8tF>BI3d`ub^^zNMQTjD7*&_=e5_Mq&fPlIujL+F
ztGIvVfk#Rc=Ur~8E>B6QHZ<O_cZQ^Xa)L|K;>E8!Un>gpo>OIG7Z#DT6%0z=D|~T^
z(W7ZvkDoqhdEL}!%em9<G=ogTsiYuTwp;ehpnbjtR&~-bx`G8;OLQzWqLmzkI~m?C
zE#CL?>V>!xr9W8<{-zaK)Y#X5&Q*L;RxxjRZvNl&uyZ?~2~-HMGTmS75OYcL(S;3H
zGq)<tHZj`wK)KFtGRJx6(4KkcRh(uE+0OS|x-8W>xJD^qlV?j=NhO~P$N9tWo!7Uu
zrSFnj+dsp?DdV?7@f40+c|-RZ3Ww)#=t>u{DLL|&2g$7p{BlEGuueYCEQ;w%h}qMH
zxn1+FFSFUQ_2^s0mTmqEzB`_86<!D-O<h6kx60>R_wM}lyr#y=?$yUP*Us+J<+pqD
z?gWosyM)kR#P;5Ux7I9L=zTC~>7oQD@m)vGEab7!nwh&nZQeTl&FTdg(%s8+Ek9TO
z3|M(e&-fKfuzY_l&ou*6xnB~myX9(X7k{j1S)yRKukzIkdlL8aF8A>9xUcdr@Qks+
z2FF~3+0FCYkMBP(pT7US;QePiWBuN*fByHSdc~WaEtU5docs3o;}1FO+w1zb*YdP`
z9xRw40;TpimfG#BpPl=HSMgWh<tDbi2NQJONBw+fTs=>IWn#_YZ;$jfQa_4|Y<eKa
zRmj8V`oiIFw5aHT+f#S8&kbm}5zQIX0NK5366M6x(t0I`J@~`a(}5Cug1io}&(P01
z->g`2@A^WMnk^ijh6&)EyIsmUI@Sv;ikw{+8lDr8TKwh4rRz3*^*0_WGqvfzeRA&R
z0j_li^86BVxLMM69XOdC`fIt<f{$k!mQRrUU|=L|&EsSCbQW~WE@c1i4u?&@|E^J=
ziLrTC@+eCz@tb##Yb=ymbnGm6bZ>hqX#1{%^=YxWN2V?Vt=n6$)M)me?fW-uKg_>F
zD&xn7L(I=V2kh|N5oe9LcQ@{E)32Q0hc6$0{qyl#F8y8gmNxtBj(gslZgD9!?c1$6
zKR<tWoRJ%qYV&KllN__hho9B6ekn}bkn!=+w{u6YEqExg(8{YNaq~ul3zEjna}A9z
zNE*+sIjrKQ)TUM?*nd9SEp+w^u?0dQ7pA$z%X&zCmwi}SKl7pek?BEw(awVJ9gLT(
z-Lv7Q%YijkQ72L#YuvBMFOq+JqPcd83xSQh`#$b_8MA`9quQ*yUhdE4!ktn@AEr+~
zy<}$qXUo}Tym?kK-^{l?|0i>JFEe!W?oUCR@{r2EqT$?ZY)s6`%)*)?mA%h6=B+-)
zo$J{qJ8|=uH4e%Xjo0$I>}xE_&AFZT+k)-Dy$mG>NkbMJ$htiSDUGI1#t%L=OduQ;
z^P$p#CE>6|sKAWPE)9mBED{S`4lM9wk=W(3t*+#p%D%<#*uTAAYrACE>BesT_dh4B
zZ86#7xL25Q*Q~cEHt#EYF80pJ@4f!<>;0!6zpY@JEpT3c{YI6=TXTx<z5e+3>sx``
zD>`;fmX&^A3-8(AJ8;df>Y;}@&mEun3V{WSwx9m^H8jSn`ug?TuZv=~p8Zvo?SJB3
z(G9boQ*xr8bxf1~-ScxE52L*Ig*8o;T}g-8Zp^s-b=f(YDa_GJixO^!@Z~H#)%Z5V
za>G_F_HB_*AJjTdZT570n!wimmB}~)=Z;;_HeUV!<z3BePj@Umf5JS#GVkT)GhAg;
zj_`NSyPy32%U!b~G1jT}Q%~`j^w!n3TyMyHEYE!5kKlponEQPv<YH$%w~*!XIkwcs
zX4A7!fx1Uq1OiTMId#|}yn<te>j8!g4gEI;49qI}FLQjYUhmxb{!CY-UD<~4Jum;6
zX_T@YSf0<+-JEy(!&|QVYYR3widO#F(O`7ptueFq%QFYOtTwMad_XKXErD5Yv-SNc
zzfQ*0s3lf!TJ-wy)Ral(p^nb4lxwaW`uLZP&0&8J!<W-vW-&0ID9}cX?tNg`qSF?-
zjeTB#{^he>FTxqcmp&{I36WXTBW<^?06MG(TE6!twQ}>Z^x9t)EAREZE?P0Gi~UR5
z;bN%=nkqH+2RBSh_$u}|swq?VV43d0Jl%t`mo86AFe?GA+pAh<@uo?X-Rea2+`DTp
zSss)qzaR0h@Z@{pn(Mhw|LnM|USVBdU;XoUudT|p=sy?2d)}N<dzxB(!1&L!?+uCf
z@-^3+s&iGpn(^z^jB8hR_Wpcpv-ZdJ_qG3DMaM0-Jh<n9>aTzsXMaCF^Jy8s*Hi7v
zkPW|9nn<k4Wx8;FdYArE=B}4}8W&92+qj_M=Kbb^oh#q7zLaHI&v!NS)bnk6`o9F^
zN}fDDXs6*;smKt=_rvm2;J2XklGR_{HC|8odx>dBxOL3I*y-Y$cg(MemzW*=v{t09
zf5D;yvAaXwSCtewJ)N_zhG$v+=A>(KasjFf!;-$MN-fqu<Z1Z0<MU3x<;x?!stNS6
zUCU%uyW=Zdtk2~WFfnj((pFC&mWhGR?z(qFRP73`y<kf3)thnk#iFfQUlx6Prgo!`
z`>|=}f$86r{+(Oqr<XVB%7H5<*%PE<b=*4pvsM1R(>wL}MgIFHlU_ZK%P$uBXD6%-
zOPGDCbwk<1m2TqKJ+t1asF_`Op={f$cL_9_=BpQ=7qoJvMFz)x^;nJ_7h4-98*Mkg
z-gk$~=cCEJNNt1O1sBg{U1gESxo(eD{I6%%3zcQ9&HevGViMjxScJLCZ;69nl670R
ziTN&%;>B*WvU9sKWiRZE{j>Pu(I}}{n`IY|=781_UUY4iiaoO8qU%&CQ?XEErP>3l
zE*{+}6|1x6BJ05~%TBl+{K9tV=+Q6FP9L2j73;BGV#fyA*ln427)k^g)WsVLu<Xm#
ztD3_-VXg9d(HXE2z5B2=d`d?CdQCT<DE0g5wcU7<)ZwewHlt-_dFGxY*PPN9hrL~v
zZu!`7XU6QwR`R`v_Ou)AoG@o{_n&vm{LR^|jZJq(9=o~rWTol33BKnHXa7lg^>$jf
zg4N2)S2GStn+Ntyz9IL#xyui<HFwv7MU%O;tadI~v{*WO@$3vY^NT%sizH*?CGWZz
zSEirYx{_sMxsdsWwQEZ!a=53j+OyiA@_%6ST=QH;8zu4oH9_ZBnNBHNRLFSIU0fp6
zCZ<}Sx93*e&1+6~&scSG&$Zh5Vb$brODXo;YW3u)rAxLmJ-(b-axaYVuH3?lx$e)+
z`_ARd%)M^`8siI|JmrRG4EM}?TFu9MMK4R5te7!%#_VhfV{OB|rf!be!aG}6w1saL
zl0I@pH_UWOOto>okm-iGQ*X?+oV+6O`<f#r=O5Ta@7y~%qV~vyIlfBIPrefT8kWTU
zq$bFA<$bR=Q|Inq$i8E{kofvYW<AhSyfR~D!@uFoE>WGKGmR?@y;5hNaIL&HVJ2uq
zFYK|@Ht-t0zC#bDosimk#Ve<B4)eN+!E299JD$sy(Q_@#@m}MFj+(Hf-%Z?~)_i2z
z9NTg6zV>Zd)~Or2nWit@bT=>{GOf~S?b5Tevz00g>hI6q|NhK<>(Ae>{jr_7-~DsB
zL4EkYg*MUKS+6u+{c~3=VCp3h844y%uYlNhzdXzTu+8;%QEdCIdvB(ROR*pF%F!?J
zZa=6iyGZVJrkm(ZuAck{V*7pncY(I(PF>e#xq&O=e*Wu#4;8liZ*RM@j_vg9T(jVP
z;%rmDeOZ~ac(umL5>e2Y-p0#|5A+;O`zp=)HKONxF83v~s3{V`LUZy3?tF_cxLtqn
zC%@2~d!9WX`wwc~b~#snVa4>Yq@3AqHd}?Fc}tCZkAxlmsTky<zVYgZd7W<kTZE!{
zpU=!-@z&mWwP0VD+eNUzUY6&3S$bWss1<dudLR~huu|mUPyT~ve;+*m`=D-MQ}i*G
z=h6uDm3J1?&(OGn?!ynl)*rNFM@P2e6CBgH-_B`%07eVWIVu;5?cbUdn50vee00%)
zh(E`=TpKt3usXP%$(Z$p$o(H4b(zZ-nyfwY%j2D7L)+&qLe`DDw$5Qw+A3tdbl289
z59To*Ik7TVsWT~Eb=!r!Y&Yk<QJuP5ZqK?{uo=8Z_ngVMD&>n6C&C_GzB6;(o9_|L
zc}HgDY@5C7ZFKMa9M!U%ozI!1gl;YSC{$l=Jz-Au(u1ql9+`H*GDfQDrk7mLsYe}G
z#Ap0Jv770W>EXkB_cRwwDc`rQJ}$rb(!=xL!z*p<tvkhXv$p(LP#UwmUi;eYW9yV!
zp8hOPI+cCG*QsKjL&vcm)s|S_$8(()C2}Y}WSsTC*W>uziu^ZOHjiS2iw(YMyj0wz
zvvR*<hQnX34CYtU-J}Edr`>A!b@U3`mGzs<7S#RR%J}v5Cf*g{47@i&-oJBE;BJ__
z?`F_iO{pz9{GZHOCO+GGY_DX;=8$`HcFD#6kKtdhGJoIXt9?PU^H)0Ft(f~`;nZJI
z0V~(DPW=_-P}Mif)@KFl%OmrbC;rK3x#kz(GpYIbyz8lsb+X!KXYa|e#Qt)$xhGpP
z;nkHyhv}aWzu#4Ied%qzxw}f<T&kM*_T__J>uP?lJRa@*)8$FyiJM=|SHyl>&aSiX
z_#<nbciC0WUR#ceE3>MuRM%<S8_;*N_0i478<Lv~YlPYlp4jt3Mcic3-;eE9H`o5P
zx>e0n)W4}%sC#msj>XnMdEM3VMqAd@)mm-(vGC!_8F!8fZi-RdTkzMhGd1`tQ_@R@
zk9CD2(p%k4p8uK0H>3Pl(Ja|XX=`OCPS<`ceq={QVbp>(bw{@*{_4|n`&B67{H5vb
z!~nKlnZ#%l@xN7ddrUUY7rMKA%}Zmgw#z#u%9LvBYO>G&T_F`>zi8clflWED4DL5_
zamzldu1?%1D`xh5;R$VP<@+v@KikfBh&$UIPVty7Ephe!PKhm#SNwZ-M`0arrg+;9
zvn8PttL99Tn#t^wrF2YTU)0^h&ho!IoePSKVg*-Q=G?c^FR*N4zO&Y@aj~%$d$`!l
zjJ}E}t4EnHJ@g*E-s!*GMdI|1@9vH!2lUm1K6H1o{7F(`+&{zP!0p#yX8xM_aYr>y
zc7M3HN95UA??foIdDYpJUtg-G^?&f`ud4bh_uO#noMoTw_a1w1Ht&gP&1MV1-!_qn
zaT_}dx8-%NOPX`Ju;<aYU(CDe_D<S#U3@xAY`w?z?Yh&J#og~0`tVnS5tXtxywz+{
zb>sGiS2tLlF38?y&#>)zw_}bsBikMscjluv0`1;ykf{FR{^tMbN{0heuRm^BAfxa0
z#Xv#hc=v*D8VjQKl|C+(KcD#N(){)^{|oQ^e&<$i*xvC?{;%B9`^UF@|MYwF|CM(m
z_J3d1zq;qhJ#O1?o4z~spMR5PS*3X-uXpiu?>Az*s|7^w*Bc7e>(4(HcRc^_;<Z)L
zX<MG=pME1R`=XdjdE<Ad^VV<n-YK5^;GPzfKVPiwgX7%atk#Kr?B-V9`1kl#rn<X{
z@1GufQ2%B%v-u-8bFIhA8QhYx7y7U1J#tOf_UE=zcmE4(=Uwb(_-6G>=CVgl<%P@s
z^|H}&|Ce0tz4PP6b&oftcTVuWd%JBx{(+}A`S$+U{8xW3$C7JXf8PG|t?JV2HU8KA
z&dU614d7eze0r<o&lt;y`SB&GS57`XeZ$IHrp)W*^tMnB$InJR{<HOk-|RM3teyDl
z`H#BE_3fumKi)iN@``HN-VL|nryZ?q=ZoF-GB9#!`HR}=_d1Itucjpi%x*n+p*fj9
zD?Y8@cJpqzH`hMzWB+~Y?RKB-p+_ga3Anp_`Ig()Q}mZQmmZ&eu~O#A=FhiGlK!Zi
zj9I-^WcH@?u3(!rsypJn3${;NV8zX%zQRxVAn3H4$5BlirX@spZ-~5F8sWR~-lEG{
zU2n6x?q+rEzAEy4wWi#)pq9|y)~o-d!%BDAc^z8icNi5N2cM2B8(wO)?iKXd+|1DA
z%d4&}|GIn4w34W`Z?~-4m>K%|a@Faz+y7<pONEzOowJIK37e2qUs3(%?@E*M`5wjp
zJ5BgsXS$TH&TR8v!a3i=xK8Qpmrj%0{!4zg%uAiJW~pHHzFE(NzfankYI;`vmEPw&
zS+o4NwEi$(o%w9v#y591nee~%e0P2Fowe#W*Q(!Kr#|!A<et}_hpHwe+MZN*n-}rx
zs>%Eprbe&VEc@xQexhUn$Q0GhAG2opM_7F13A<dARMItl)2wI0Rbu^_rf1c^=-j)V
zHOv1+q|M2zCcDbgGM&Dvi7wc+Yccd>+>1NzE#DHI7WuwB<Ex&?f~(Q#4Pp6J47aX^
z#&8DTx;k}@>F3iI`@#e#*<4ArZ{C%7U_qX#!HU@;JYmtLa<7hYH1Aq^C}FGnv&8M@
zo(i}4-hSiY^tiq7uACy{M(ZuNl~oMN+RI*RGj6ipVqK-uP}*Krs_MAXddq5UPQSYg
zV`DuhyyAO{I4HMu-8!f6@XtBM(mTWcebUIE6`6VS>ZI+}kTKEwUv@^k)!QHcu&N}t
z{q@Vkvio8mYX1`EzV}r7m$3G|r_z;&8$P$&?R)=tx81$3AGh@{x0CyA@#W)%<G&{P
zch9ezUmj5PeCg*MjNu*UL*L}ifAZBZZn;#m)m4crE067P`Q<co;;GHjI&%N2e!QM-
zv#)ZOP2GR*`yOZN|5?`mU-<vD^-f`TM?KyNXST-GO#NJ%dVYrU-20MOe$GAkaQ*KS
z^X||4ck7A$zWe{A*lI1)e*Qk6qu?4{|Lh~z(WODX5nEp$y(QxJhhgdWfK_wPd2VgC
zTDec~@sg}}b4yw-F)U@ClK158CbwUIe}67_)I1}f_$u4++b5O6#xh--{d;A<SmrKK
z%U<?km#EI(#p@2;e)#d}`QtaAuUYWxxWv1Iw%RN9IYuwYzkYiDXPYzgE;n*dS#{lU
zWtGm9RogFLxT*JN;*FM1ulAmgzFZd<ziL+AliWQ%=Qlq&fB9uH=kvY;piPQ72a2BC
zzrSwg&YIEp?U`tX^|#B%Pk)cxdS7mR`wGTWSEg*s*|zLcBd1XFmCd}<-c5UxIrrC3
zgT;-?MH?OC=AM33*)*f`&rZdnX?6lXJ)ZnJB{$)<^+E@^$9jqfyjJNeGwp0^V)|sQ
zbe(^4_n)5{Z<ACO*X#{`eszO?oLEB+!=~Pv50_4T;eHxc^4|5=%W~~ol^3sde=(iA
z%<tE&0Od^f3rVNagl~O2!P;@QFP=X^#3Ji=VYAsMIUSc@vTK!#Ki*mw%owFut1ib_
zJ6*DI+x@VFq=Y4+JC{G{n<T3m?WN{bag#}vSv8}@%S0<hI%tZPhWj@6C%NCdw|&!?
zueH?SrNq{|>m75yX9q-!&n=sGIs9Ll)vmKv8x&T$?#aBN%_^`y^Iu^{yq17jS;YHU
zTNK{ziD<mWUUO-u%d-L%*(VaMI<?*RwrsUKaE~`mWKYJIMJKx(_A<9JY!i;S!Je~j
zF3-%;lz*qYTvHZ3S?7Q2YuZ-d`Qr8xravZ4G<yI0>)!02v2!B%Y7>p$SY3Ekbz7+R
zmixDTub$UFDT@eT|7@(Mxp#{G-{-cu@xSV?^Z)PBz9TiyVu$_nkCV8!S!LE_KVMN+
z`=sx<x8RN&^Z&1|Nzu43X!$v3rurSLpwPXyj;&$1dHY9wX7iEiKdV<Wy>Jwk_uS9i
zrc!sLC-C(OlNFC+4_Dg${3zidzVNl<<?4X?8C4gqJb!lY!*urErzed5?vB&_JmJ4}
zOy2!}Cj*Z)SXkV*f5;hp?en_-ru8#Kr21w&$z6WzX8ifh?0Yl+?K^S*WObFL%(w0N
z)B7VCm%4Tre9O7Vr%@@8xa;TXk58AFd#2X!{VO!<UzQy66qn<{Thr@oWdBP!-TXTL
zf8V}4tN)Z*&-LanT=7Mq-HX3)MZ^g)+qq9fOWGnh(~o#rD2E-A+$eOeV`_n(jrPNy
zQ;Yc=k587ceJMKI{`by$0gEpQFAf?Wx^vBVj_;8`ui&o@UQuO#voj@mw{EfVU9t4(
zNoQT%$pyN)!ezR;ldE-g`y6zyUhbI_V#A{%_e6V%PT23IpX;WEwO({{EG*2FDlBY}
zD*UJ^wsE?Me)7aAt(!dhmd`lbb?TO7w}V@K>0go4T8Ygq0kNq{LW;8rqAF)Dh_c+>
zx9?Hxs|D|;oIHH8@@VD1irsQ0%T{Ga2A%N;TbenQ<-t1HnW9rapE^-%f9~nN(5}Cm
z|J|N{kKgzF6sr?wZ(ZEzaeLwxkK2LUJ#L%LylUK^{c>&G4YN$4ZEL5u%-$0}@sxhz
z%gsTPa>}^+mV?&vsl~rA)i`}NRi$UEuXcL1jL9LBDbxEdo{B!KvNvgt@6Dsv+?K3;
z=Bja9$}ZEv^Jz?v_vIy1Ez71)SZwO?XxmqnjWRC}>b<??6|~uV`dXEV*~cra_RP_1
zxBvaP-<O}yR-$Ud*7i$g+gHogGatEs&*Z~u@%a;f2>E~4{<`K^yv?_!-gB18wYDE4
zpUg6RlM^ji>&)G~cVgH^^OstBwGOVE)Bc@k6A+j-F^n_uTarlEhqpmhHM+WYS4|UR
z4)Q*|%5jxI@5(=&J)7Y8<huB8#!;0w&u2VMwO>4S`rn?gIEaA3S1oQ1=38#pRvPMM
zE!^=|Gtx)z(!DPdN*#Q9Y!>b%7KS%;-ak9@Y3GHjc8w}q?9`mkaR2*%&HsJnS&6d0
zZ`UoKS5x!k*uU$tiEk2;(`BsR-8lJwx@^vahA2S~);W>yHLEx8v$*N;W^L{H#&*7f
z*Ey<+U*<1d%~PBI{`Ky!4cjYiEjK;=7#GnOz5na3qGqGzKlb01T<@*Cv`^1e)A#8j
z=K0_EzcXsy^e4N|=G(hl(^kj2=;WtAycPX+SF!AkQkLC%OTJI|Z~SkE@2a}(zEXd)
zXNUaFm~FC7*2DbI^*w%957b}S`{i)(zmy`AGf(|Z&OAN;f6i&PKM^nPIGIaWo%vsz
zXYld-f*BRXN4{&%-l_F3zvxqe^q=<y{g*i^e|y`V^z6J6eQA^D%T?~qY$A6h0^X%N
z1U520O`OE|G%<)ZP4{s%N5gjkhS!P=*PI!2rZ(hO{!hNPa!$|R=eg`D8h2SzlqcL}
zyvcTgO@Vu>&zpyvtr+~;E}35U-emM)_BrMjU(VwFUpD=YGMoPMM_$&Pl-{t(Yc6I@
zGL7=xwCtGYwJR1UXGy6?t~@^b%VB%@?fs{BTPSUD7jXD3@V0cz{7dtCcd@=oTVcH@
zuX~r=t3|y=)^{(Kb^W*Bog6&P@49nrNes_dSKd;i|7+Lk1Xtd+J@w_%sqXBHam#mz
zt=+RjY^_Y3{kighxR{CCY(DHWzq_}gC@lWMXZ;24@eb$rHwYFvUT0qHC?l!Pyx4Kh
z3?=Ws>owyinLhR2w5-PS+7$hhZ(dBA|6*TR$w~hU{<n9jO1{{gdv_AgjE7;%J=u;0
z-gfg0D=9TxCRxUQ&v(O|QuAxJiRRZ6!$tq@zvOBa%U9}qr8O|zJ(lm}zw#32lx2b6
zonkplEvJZ=KKvhL?(@3!_QOxH_ttov_WWtQH)o>IpNd_7V)xGY`1t9kAF=WGXMD*%
z^DLEL`?9&!ozCuh-r4`mFXStN4rs~$Rr8{L@n5qwQ}V&I{<+;XFX|8fHG940{BqHK
zW&cJ0p7N`mp8uzPuj%{x!+)>+Z;Osw@%rIE^E;1DfBSIdc(Q!Eo!qa8-kR>zaMyF^
z8;VT-#p&&pK6P~l^G>OHh3hAoPIy)_Y4`ssaab440KxZ9RaVZCX`FK<{M^ZgqM&%o
z$;_ubYZvo#KUuP`=o-hA$-hqRR15HXrJcUQVdp#B?Vom8zlxO1*8GxlD39xI{`+MY
zHgi^Mvf0kwFx%<8+8Ypex8|2U$Md*s-*24lbiVq{vWTmhHYc~vP6}O~)cCfLBe$!4
zE6ew6&Ue>@-dq#P0k38~wv{DO_uz(U4ZUVYv(#(f-8{8em}AMV*z6^HH|yLn{g5g5
z;|ag*<HMobtO}IFw#{c)vD$aR?i=rpEl|9jv+L|#HGy0v-wufvzDIVQeS7rCy6)-h
zPmjoThqFIDa<6+j+tU;~!Sy_+I{%94^PK9u^kw6A@$4xw`uh$)k`>F}Sn=u6=dZJ3
z{#44bt&plt+7y1d=9yL1k$C%FAE(usUrrpHYEtzf)xLM$<(vMCme+op{9@+9zbf-r
zeE-l{b-HBpG`E=6ttLhX*Dbr4tQLOhh2doFOuOKD{#&NX&A8>ih<(|&$uAmL{#BW;
z(G3#VH1YT$yUw1YH;=vB^<|y+``sb@9mytgN8DdtSh~>rIA{>!_R`qclN(PI>Mvee
z8tn4@r|`-{%Y%65du{#cqqVVpzDMc*l_B#lwe<Y)UvjHX>*^N}Z*N3{|B_!*-W{FP
zw|b?V-Q*Ylj62`iP0si})8+Pgm05O^GyeE2`Y_YwaJo?G+pZn%vb!dlH%ipLIQUy`
z&+CJ+{I6!u=JB(&_?GbE;6iruPr3`+H=D12y09lB?7n?cdC!D9f#0U8DyOR4-@|i>
zZDCIj-)^IyE=re{zrFQRxN}#nm7JV+X8FF!Z`bm@J-7MehX}9TseSFogKmA*PU+s@
zyt{Zyj(b@~c=)E0*BA2QZkjzck!$eH+%B53@Z`#z$7LDYS)I4DrY^j*@UEE5mp!wl
z#XS<1o4xWzS;*9`rd1&#Axi^7rgoKitG=%H3)~!D>3BI*q-1+n)4Q;X|7v&7atTgx
zZB*13@tMflq`Aq#z*UT6*$JkDB9RI+l(<=fQ?8cSa;U9gx_M9G=EI*=Ny1N!5*;j8
zuJ`c+C2V2EDU*Nwi~n+D+4nnNU*~)VAGO}~FKk|S{oLGh1uq^i?7#U!aq;K+U&0d6
zSHGS3tjE@s)gSG?oyGUM+{1UPw4zv~1Pm`s@7O<Y&x$!3Z~vc|JpIMiJ%3H_<^G>l
zeN^YNy5i60wLdGv>)xF@uXQ`5uJ38OhW*o+UtfwpUyuFub3*;y=ket~uj~E4^(;R4
zpXsxB#s5;D^evCNf0L5CpBMD)OYo_AbzV0w`)gMJ(oFTYU;5_d@+r1|r@US^No1~%
zt9tOolOZKWqA=z<>uF*V-rPM;^OASoj(BG_ZFet%*;=$c;GNj1yG}c!HOjf4<}JLV
zJ7srk(RPLM$#<tL+4m(_XvzFZx__s1FP&f1{UtbWPMufbCI6S2@7wQQei(Yo_TQv^
z0`;E%cgQ*Y`uh3#lsefEwOWIJllFX^{-k%mSKP#-@ssraP1^H5-}#s5dYzY#^gYGv
zr<Q1GTlVPu`8uKQZ{>9B<2FjY`zL)#Uw3uu-=(R4c23dxcj=Or?*1up#ecs{e|9zA
zFm}(W!|~U<@BLg=`DOdFi}ADE>oeta7hei_x0Kan{m-SAUcO(#b?Uvn`))8<x?f9L
zlfLIv(B$uTsy>EKJSsP-bV~GtzqY}Dms)-af1~YfeJp<CdY!kj^+&gLTFU*i3aRSe
z|J5oo|Ks#~>!(<4kNC6n@3Y#APJcwbCB7QoU;0j5>+0^5zsKXFZmn7WJ!aMq@AolY
zi}xqh$DI<W&$K_A+PmMYzUOJY*AL@({ePEaUhd<68Ggn0jPUF2ciz7}KKY#F?jPPR
zyIxz*{`xk4{bt6vfBGrL>eG1deM<h3{p<DH**4o|{uh3En}6=9ZNHpZl1@IaRQY>D
z&%W$*|L&*lHKmK}mw5b2o*+Kus{(6fz~|@B9qp#ARc${WR`mYTo#iICbtKL7ZqGek
z*1qYci?u@GO*WOTpKM##oSwMv?0o0n=^6iJZrd;ac0Tv_^L2ke`~4Gn8@A<-X0KxA
z)~8?EYqqSkUlRJIeaqy36Wp%KUt00YSt_*tiQCnxsjp|-Z=Lnje{I^&VC|rPSF)zr
zr@pGHz5oAb<sO-5^X=!&e|+BB(5C%+t&!X3^(k8>y_uEzUQ2ubB*Uz_m7P~)GC!DD
zPKo<G^=tS<*TuK)nM)q~@_It%pU{+x9LIK_m0c56dvd~7Az6)Hm;C}ORQbam1l@@~
zn813F3w-)+wDycO4qJX2zqbG9<reTYAxmtIT~n6%)6HkR?}(<$-|>1k_sKcWGQUlw
zlTLbi#b5b1<+jzSOC=iv{!U%iy>x!cFXPinp|;QBH!goQb*sMEs=vn1d-rd-KQq2+
z`}UgDze~%F_g~s_{mF&uCwo3lzo&b-!gg)@$%VG|*OlY+Uu8{C`8fUCv_-4eT)z1;
z>eTmp|1NEMq8<PF>!bA+T+5$@=jbln*!yJqw2=Cv|8_0u-rwbO+xN0-^~HP2eI-9d
z-<~RZ`eXGK=l9F@cdeNcx$@to_hnsE=hsfPf3^O(lKaw?hl0ar8;fNBdT#YkbL~P^
zzghEpz0Z7nUi*3Ziuw=ccYb=G`emr){d~<0ug%_zzIV0WUh!I?`}~USzMo{}zMQ)i
zQ@`%;{15?8!O!RGk2wmTRt@p~^SrjB{@Ai<O_{N`HywL)geR&r{L2I5l`DLgeomLk
zsb8lk>g^t*7q%u%=Zo_<rX$YLb>00l_cp&OpIf)8?)4Fg;~wk0Wi(dmId9VWdHx35
zN{`v6L^J<&S#D)_n>s!E-KzVabZ>q7Z5Os9X77UbEsqN?%J#3-^FL}h<^TIj|95}+
zf2ef&lihEEkN$W56(1A$Gyaay)2rtkr*+ydvOgk!QT|c?3;vJpUylF%^jPuljA?Hs
zS6KaWwvqj-IIr(t#5x<@@Ojg;UvG2K^4OXm_%<y>b#0m5s=pJmrl)8wTlanG`;|Pp
z$5yLWP2CoCF1-6s*311NW;&vr-mXsjzAsv|VMWFnskjgmy|<fGB&Vqyp5!7f_AB|~
zqYW8_5qWkx=JL~K^PfK3{`8chc;(Kbh;=eo1ZI_%PI+p&HgfXul2qF{;VV)_=U<Qe
z+GQTEzjp7ft5-KYi>jD4YgT;btqUb@{~g|>z44FN<;C|dzVVzQTJQN&|NWLJd#7yL
zpY%QElF79{TIJf7mufEjx%6pft&-{Y%Cp(m56)V=X8LEY>c~d@{g+sF#w=ZP?`&6G
z@sz2>_u@BH^L?y(s`d2L&%Cs&YpeN6yZ@ZFR!F_R?2Ye@zg)XF2fqC|W#5#~I=@}h
z#5LdQ>h4d<|8eJ^*Ui!m)`A=PpJ*?>yKGZ;$k)wFU8}3rjNYCW-s}1A{+a)_r;U~V
z`k$$<;S%|;Z!LV<&vi4mV)%sZsn7pE)6_j8`BHQ3Z}p9>yMN5rYdbBIe{Fu+%-n11
z(kyq)U2pL}HSBg;<7=_|v(!`PKB`at<Nsws)-ustz3i|*%cPd^q)EnRrpz`|I(6UG
zIds!WmD#IOoCW*UZbqnbyUO@%3Q(2quDGe9S%18C%DZokuTEt+f3f_pdTZ|g+t>a&
zzIP}Wyzq|URwP3;&*@vGKc}|&F3RwKV7!~7@PS^rb2a<be=awV8<_C@s(Bi-FE!Lk
zd;OY-v-4Md__VxlIs}(p`n0_4@TcV<+AQj4@ZD3No;Poa3gvk_=j#1i+^hF*-5pwg
z`_K1h?{|NDKS53Os?Y48>Wr`7Q~q817aDu=$@IJ3&+?;#BH2TCGgZFL`_6t!KlkyT
zGX34`srn1vh)lWLyy=#{HEZhK_;2iQ<~2S$q5W->ctF_iRQ3gLB&Yms+$0`w?Jr0v
z|CG7y|JFG~zMVd`;wHC4_NT1#3~w~Pg#S(zjSu^lA;0jA`IOq0P1^&$sjj-qvH4j4
zWBa(Hx>j4WA{{e6FUZlI^0qDY?tYPw{B3KV-c^#%k<sD)zw~bD#TcI4JH-X9b8EC-
zK1m5rxXB&yjcwYr;7`i$IFC%ti+eWPw_%4)nl;<RfBm<FcX)rE_~!bN_)X`$H_uyh
z_tN=EL2Hxu{)=yT_58Cnqqo`1uFP}i_NP2oyKC`ZOEn-l?!8Ec=;^6Xw@#nDhcR$3
z>&Mc*GuJJRV(-+)zk4ks`SNan-@UqDRoa#(J!}8V*J)QiHJP-&IK`)HeR0Yvmhx=S
zrm}6>$YbaS+@vMX{=a-bX`A@J_&0lR&$_*1(%RozUpbUk2FGcs-n^!@bcyE4vda5E
z{@zbAW>RRBFl0J)v$$;iySUQ8_?iD5CONn~;F<U?yyq>;k(eLdUf&WPdHmVBOnXzr
z<OnB&jk6!@_czw&@A+2$)Wlf&;r;*rWEEG`|L-dh`u|Th@oclyzwaHkpBL=;-|hZp
zZRPj-`;~LncmMfoeW>kDocPb*<t_jB30jDKeScr@oJ~gff4R!~KcAJ~f0ciJIrN^K
z@e<_|kB`3ZfBp2!o_qE2GCNOR+S73RUBT7<<5#D1d+JYbzdT((oKwdCOV5G{%lA(b
z_9`l@`u}g~zwdKS-Ak-Gq?P(mA@z6do+WF=x_3#|eqWikB>j+zag~?PKgIOTLErqQ
zYr21W?{|J~_CLK}*J{|sn4Uy=)m^e@Ur=wvIP=d85vHI&DHGz(%`aNj?0cx*e%}52
zPos@yO}to?+;#lj-<mm}=DmyhXDj-}O4PPCO!mJ`=ySn;l{WYKzMpF0$qrev;@4k`
z*nOvD*UmQ7So7F$*_!Pa&unc@`!0BW(~OJ?jmYJh=}B%`doTECYo5wnQ+2yk>*>qw
zb>X_xE#sehO<QMo>0QK5pW3q(k-HA%dB2?fM{{B9sm%)~U2!hD9=F|zGc~i?t5kbp
zz$E><|GrFEa%FavN6@-ck3V{M)h<f5{`q@f{DD&T>s8+t?UMVm_)3_Z(BhISYh?Xb
z-&2~hbm_5oR}A{}GH<E+Mn_%@m{#U{d0pnMxA`~Kre%Hl9rEw$Nps&z_SJWt{O+&0
znU?liZsDyBT1VM>i*IfTtC{=RVB3txHkyBfKkxqW_s=K0wA%gF&6h$?P07C;Z5ehd
z{g?LfXEnCZYdpVqC@#x$*pYZ}N22CYc9uy~ZXKyuaa1s4|M8bMWEj3)xA2a*GXJrN
zR&SQ?`SP{$a@nCCH=Fc}sw~c=*}ZYk_N(2QJh$e8p5dE0pJPwI*tzPv=^W$GgsTUx
zZt%8!CUL~_%D;vCHH}^BgVQ?rUhrJJKSgrUeof<)f+gikylqnz!)tjLGvqq$zW@95
z=i~4G#M%|?$;ohbek~n!{eoCnw8^I=lM~$+74+Sj_ekiT^;{q7{o&v1nfn~)@>mr9
zUGVDq=a)PGr~OY6{Bx4&zlv#*C3pMX;^p;873Rg3YAgOIvPK*zO<!Mq;qrNfuSU=1
z4sR@ecw=Y5n;45SJsE59zPa4T&$T9-w>_TnP+LSHw%ka{=lbOMe~FVr%sj8(YP)Iw
z^Aq28$=3(%6>fH@NE-ZKKKK7+y}z0^jz(I|mtHY`;?3-ScU*q*M71wFqK%Fndb4Hb
z8Nb={&30F*ZT^{Vv^zuX^h(iroku>rZ2DQ_b#1M&>U_<ME*^<|yO<JkOs}<WWc{Bs
z|AOk{C2Xa9eTFM{u`Jy3!qocDuAVQ2Hs?j}a6En-vU%s+t+P9B@=TsFb*1xWiOo|s
z&9t6**<#`(gLP-E{x0;L8ZH~7)u~!wZc(nXcW>y+AIp3)pD<lEnA?_ic`o1c_@Z*|
zhyKB?2e!Rd>AfPMeWT3x&b?B_a#Q~JC+&w97o{>Cw%|Uq_KMH5uq?G=ZBt>}wwaF%
zZ?E0==FHL^8~4p&U+ipnaQa4;&j}(GQ{uuGX5C}`e)7&;_0M%&M^5>?4?b~g#-@jn
zyIN~@e@<L?`ZBZLZ_6L6^*r}vN%vT8dVSpQMp}U8k1)wy(NVMSwEOYT-}P#;PQBYD
z0q<I!(v2qlTxl;$ekxw}Gg)8~S0J2im-%Y{shF#G3JjzEmF<#E)rq<vu;c5AL)9w0
zazVDn*P7GzO-{QfvazRTj%7CInMm)1b5Tsi*Mw#i3(hEhcxI<S@hve?t5vIX%RK5H
zw5~WT_W$pfnmPXFw@)v<x8vXKE2+2ba=Xf|FW<>$_;uC=SEu-U6ZgeP?cS9?Wn;^0
zY2lLkU7PkkUGz%Va3#NtdD{WC(uJ{t0tW9ENnD>5bhklc?Zxoz+Itt}_D8f%T9&ga
zpdi_>*EIZYOqkDY-P!+L^2<03Ba5V*W^8m<UA6SG{Hs4-Pk*i3b#B?U_dicvI&o;C
zB~O~s>?*Z?cE1jD|2Fuau021a%+;|wvobi{;9HhK_}-nD@<P_9guAF6{rWU1OCj%m
zS^wj|-#=UZySioGtmLbnm;XM!-emN3-MU?`yuuHyTD>mrwRFngUfTyM{0TFK9N%TU
zGxS%ERWmK}y0v9T*1EHMt72UYt`|ohxwmN9opZghs%2Hz)K9qm4BokMo%OWmCBI~f
zb4yQ@M|GQA54)ba`d6XGZToQbna5||d35<|_g0(b*XG`R^ih9z_p>FtR+;WSFC)Ec
zTV(9jS?hLO?ceZdj_h5hZJAr&Y@QynW}TI-e}Ssq>wmxgs=0R7tg;GKb(?%VG9vBj
zjD==ip|xLDU0YRfW%ZuZMW=Gt>g|`;+y4H!d(>ACPwm1bMlvV%ZLZn7>1}1y8T&s<
z=YQ1Ao*%d=STbt;s~%_D=vDTsv%hZJxowx*#GTu$wrG2EyimQ;uX<&^>XrQ<6tY0|
z%6-)<6I7>^H~itb!S~8({myMKx1Kb+9(G+TVclB3Z?XsWG2bX>`28*5p4^*@&Od*}
zoWIPX{?;cf{9FFRo3>BZw(t3#Fk3FSeH;6Io(H!XYwR6zc%-bCZoPc`>h$hko3gqu
zEe+hN@pWn7!i!<j)4VfE3=e%hczKCQu55mIFz=bz%74W>FP#mzlh;vpPU5L`dd>ar
z_XU|e)?VKoUmR}w8@#L5v)n$@>#VlZu7}Rw%S?Xf9bbH}<MoMUx6;kdKhoX&vhuC(
zhN{D--%h@eEA}@2QR`=$rnzp{|KHdq{@Ho$R?Z8zY%+4Ke(aUpb^LPFsp(tQesJ4<
znG*EG{oHJ(2}ZKbt9Z=cyCj_Qe<!(d>c{KcFX}ehtZlmP{cjVqspy<__rD*l{K@~u
z<y6+eFE-{e&)9xl&)IiI?OM&lbGk?NMlj5|F1u=_PQ1|L?(~|ax^v{zFJIYQpisAL
z9s5(Cp1;;&`41KAPBMgLiRYP?FFdW3!YdWLRJbkl-~W}Wsu51@sx_~7|G)9>Z2ie|
zK09PY^-H~f{*ZFtpm1oK-wCen__Kv8vh^3cc)Qn_ZrylA!n2q4)Ui!z$%ZEXwN`lq
zi>^vHs${&*_Vj1NLu>u`RJ~;F%{fPY?#W=QxtGLbR~=uuSLetFnQpP=laKLzmtpTW
zIqW0*L}hj6s?4RF7iB_OOXWTuop<F$xN=^ss8?Mf=ie^A{VUfgT;(j~nBk*uIniFZ
z_`A{O^KJ^-TvwUem*|CGc5>adUaUGxIifH@H6e;A(<imyRa3C&oU}tG=X`$`%<8!8
zH6!ccmTSJvg|~Vx=gisiz(j8O-&y+;JQsdi9<9DnTgzZ#lhcx)zNNj{`8%$)b*C0o
z+lOwuZn5>;>A02iy@Q{6m0tEPeyKL$nb5zm&vTCnICq^sUi<&}hR8=c8+XmR-Bful
zBEGEd-{PfTHz*66+SM+8Zt_1`rsV5{B}<H5Bj*16yZ@<+&iuFkUoVgOdFp_DwaM-|
zuhyvTONn|DIknGs<?7GNKW1L7*cIn@Fl_SggkR@(POHc>ICV0{%{%hd=Y3Z*6L=JN
zt@*hB$BC@03(q#%MDG6RGyQ__Vc|7bo&2lrbh}Qg|D=<heRL6XY??^Hj|=B?PcL+v
zQ+#fZob2>siSTlnD;fVi-Ghtwn}lxtnWOHbeb`27?bfO*QKeaH!wweBw4L?peB`s=
zDIfaJ2}W=(a<}@s(ZAlLWZtRsN7lFfjkg!&wY<{5;7aDYvs*njf0%mi>dcCj;Sb{G
z%UvzywfT0-N-R2bZzXT<n%#eQhkd-XFuE%5!kP<DnA;9~sr%^EJ>_Zea{l7UcBz^3
z&+ng6@~`3X7N4hKn>Xany1q9-uw(x0(=#Vrop+@^<mM&Mu)T*S@-yB1?5(MI<ZMgf
zLTxK1t|N2rA6&Y<#KXw+>*n)n-by9)yZX-GJ+x@bwCOtYubN*uYF*(Hd2VjU?qEAj
zp7Nsf6@T`s_MV+I?c@IISvr&a_2xz~d+yxOJ@@eKSq|q**<bg3GfbVFtfsg7($t%+
zAAT;;dY<QKYsD!&cTVk21O8<z&uu(E^WBE5Anq5MUMlMjJ-no6edhUh9TNe@k5ez7
z*X{FrzUeXR>3}SqVly#!Y425^J+h|#>ty|<*`<E@>8;e$zkjJD{8g}h!=w3JXJ*h8
z#)Z*->r^$Wbw$O~PR@O(yL{DK$Kv9f6%~(<Y|i5fyEgl`SaI<Uu@|QrjQ?M(f9kLC
z=5FlT7d2}IShhZzZ*wZU>hQIlx6bEjEt9^|I&b%cYsb>6{g1dAgiU>C|8mRD+?=%b
zW&8iGx7cy3(uCb%ujZo(=P%b-JvCk)zIye}Pdi(yBPS?EGiJUB5pz2E^Lowymn~VP
zq1oS3R_n;SMRT;5JlGs{V(Lxn+bkzmD!%;_qM2X0DsJZQS1-e>&%S-kf9}%Z4QJ}|
zv{|QbG3EctWf3#$O6J+~G3S^5{ZkpZ{lx$Cva@x<{~uX-bW2G**RrD8%+sMKKU-e;
zRaAFr%g11s`qfq+PF%e4Y8BtKSBh1sMF+mw{@Z$Z!?!$H-@hjhZ@fCU;6LkmtH*Ub
zGE<VDe_i#fNnJUy{ptUAm3GCsU&Yna?sf+1n9rMaWUYOTUEbe^o#A)5jtFnwVcMad
zSGZch|IMP>4@dO#R3EJj+VhWpuF=|UFW*evD!c9C|5uzLUvzIA%l-Z6WzrqCu;?e|
zFP7|_x+dYjVa_u@i|@<6hMK#sj`_P+lw-n@7OCIg*TuU=-r8UO_ut&zb*b&^-*~jn
z4hvR%Evt9_*@<RZ6aL=?@#h|EDHNVQAGmgRj`BsrAnzBicfF8PyZd&@??qR=>;I*{
z`FwDT=^bt3TlKf<;-((YI&WBR75wy9_5A$*_Y3O#-dSIBy<Yru!jq?NDYt$k?=DQa
z+?Uh2G55f&(6zD7+b&M~_P8K@{npRnp~otpe-OT1m;B84&h_6@|IgF4-4*@ge%Ac+
zY)pE5&*m<_qJD!l<c^-lm-^Fw_v&kYZm(mzeW!Y_`KRw}3zYM&zuhd|5OAfwa4~<i
z{|eV)ukDWC|6gNE*n84IQ2fBN<to9>Pq!JaI=lB<XN$<{$zN~ms;}18xwYfB{3hXu
z{OB!aH==&0ObmInZ&l>Wjd{P1N}2uDI6k31x4-byFZ-SLH)c->wYS~-?$!T`w!8oT
z@Qc}?-nMmTpzAa<r^_Kv;{WaxxMO6_e5`+|a`TyKaT}aAXP>o;KIOl6C0k0KlC*Sf
z3U_|q^0W8k|IAf?a{f)+y+bjj&rU6qd65<(WjnRBc+<?|+xEX{dbYoEe*fE)l&x_W
ze^3AZwaDkmxq#~_8HxYrR@ZJY`}_S~{H}lL-~XLix@?{Pb9V2k8<dVT-sYO~|I7UQ
z5^LW5+Mo4)ea!w_qK9IQx36y7owq@DMgMyJUM@xE+l?C{Iifprq&Gb|{FdY3-oI(`
zakp<je9v%^=l_#u@_BaqZt)yB>wZV3WcI}WkJ1uvz4?77P3}I&non)K-<O;H&I-Tz
zWJh>=b>)uh?bVG5Qv2Rpij~Fv|DUY5c>7Cj)A!e(zrP*0O?2Ants$2^FBEpH^FJMa
zt}a_NZC2RHh|8&09WFn;;cz+ij>F}r_Z^~LqwG7(0^Y7wefg%vyYS%A)f>g6Q?DAF
zT9r5@^svV2w!mG>#O@VeIkcxIDu%7|SVZ^RO?h`VPdvXabl<|jHpRMmdA7%+V)lip
zo?3pCY3<bMJKod=bHBZ`^^ZDl^_teFucOar-&~XUdfn5-VK<}AF1s~lKel~bk@<7Q
z)7!bq`nTQU#CrGWNL5Zer*$pKe#<SZmlu<C&zxS-n)+hPP2Q~+^d4vIT&kP;$u85r
z@B8!j^1I*H-?fU}tx}`d%{%Y-%`o9f(NE3K3ZIjoIPKpJWhqBPvq^>LuJJandtCjh
zME{ytq1oZQ;Pf|t`sVg{C+nU$x#DQzw%mx++kbZdsO&ZRB9*pKEUHS!*_->lWmfYV
zBi~#9E8p!qb+Kvjx{#}19#>h^TFRE5U;pg=efj+<qUlNJA3y$S6C-H5uFB?)lI@S&
ziR*MDmoPuzlucQ=avw{mxX<aQ3HsB&6{YH5|5lW&zx~@M-(x33%}QiqV_#eB+V$>X
zRl{r5nDuA2?upoH^vA;FzAWE&)<oGv+eGHXWv#-G-}C)8^t1YVNB!Zio&JZy8T;R@
zfBmK9b)MkEWtMApWb$!eKVMt5X8!Ll6^B30O0Io8>9}_vdtY^*b)WdA9;=7PKfZqd
zWj3F)o>BJf6JK9yPvw+#Wd9kpIo<n^&x@2aCmmzqu9><9+U?)X`?txxe74?oSAN#T
zQ_9!h$vhF>y;Mtn{V%1RML$2(KZshoQAKX%z370l#dWdM3v72Aef+!q?$aV0>FV^f
zH*;rxj88juZ|2Oj^zvzwtDfC5iGIA*bN;Qe#rY4ac&{(JDYf2rvsv74wRpb!kzZ`)
z2-sfg3-0~4`}XJGe<QxfHSNF8w|n_JLI03;mt~ih9GtAYS9#a>#Ey6U5{IO$Z$3`G
zs4&Mg?oed1`W(}~Ly^f^HmCTr*R*av7Q18L%!vizv8B;#*Klh7D*N?FTDI~ur&4&Y
zoO<|8Idyqc_3*Xw?(?;BWBoGZrbYALyC-vTvwGW$pCZm*N@gkUnw{3sJ#BXPirL+}
zW_SDie6mdU*ivKNSf^tuoRX7Op7<>KeWGK|RK0Uv;^|AfH(yG9`>WyiKmRqGk9YT|
zT&(^n<I*eldv4CNU8|<<TpcxYH-yqp-OT;df?=Aue(}QY7CWJm{gXJqJpBIn@sBmX
zOJ06nt$nw4-g=Xcn1(wwP;^3MyWH=2Z+84lIeu2%I?wKA;pdZmv;7V7><;eusj}1X
zdfA<tNk%hYi?U5Oi_Z2kpOUAU+I*r!srli$Ji+hRPxo)!@AdqL&Xi^i;gfdjdcFpq
zulc!q^T$V?+SZ4cERC5oC2GA!S#16x9r37@Gk%IqDVy?b%BSCYnx?uR75%3+%m@qX
z%<P;x<62Z_=EbQ$(q|f8bo?7<8Om3EMKmc<f8naH-!ApU@L%Gz67LD)O`7Uk@#Tl$
z(KD}=)8gdcpIzpzoHlvoM>WSKn@(PtF-v?of7jvMOYD}Ma+z%2S7*(8X{dGY`rVkD
z96H<eGJP|-%$922NZFl`{I1D2lZ&rt(-EKLbHk@yso1Mkp3s-E^2!;5({;;Fw<b61
zOsq~zbDQ}y=;W@M>B(~5!hhdZ+5fGdb#kNU|62Rnzu$VE<V`v)t6iD#_v6~y_tC%a
zZGU+$w0PdRnu^OW%r+<M#p+up&#T;gs;@Eq+!bcSQhn`r^M3AE&3m6Z_5SkWO1TvG
zi^ku0jBQs<yQF;1InpZnT<pDRD*L_9>aPqen-OS`FPp+}*dSkaNqEYQ$jp_~l07Sp
z74BLbJ~MY&|JB8=b`~kR(lgg=U2Ocdpeb24@lAg1ffIV|>2~_N()iRSueCpa=kK&l
zxjpAk<TJ8AJFWOzWZuT#JD>9vsU0$&zV7;FQ@y$M>*P!d&)igybztnDJ#o5_ylC2!
zrAMBc%GMR0dG6ikTJh|y;&BC=;?$@~Gh`#0-K6%ZzMk)4zfI+DtkrXt^9qJ$8zd&b
z&D*kK`#d@JO>3r~wKyMhlI`Y_<1&-;H0H7upP4?b)N)B`MAk`}&*u|TlTEv>GT1JB
zCh<FZetOdW#vA%`i<<vz{Jn8n+YP(9kBZMscfOr+yse7qccImj<hZg|k^C&0d_nAU
zW%hesdAWym@sjP&Bv$3GI{WeZ(#q%FpU+KydG4#z%dgYc?wWdEXNHj7G5fi@|3%2S
zf8*Wwb^51l(P<ZNZwu|YZBv)}YU$7I(_iLKuaDU`|9rj9v84OomM@l3`jfmfqIA>K
z^#^hS*4N)Z&cLf``|7~bRGqa+nX=xqBW@kLH2cloV$W|JPQK^)S!T_%<}k5cFOYG%
zZP{~nCg1aAY`2yzeCd9V>22@qoX@}iMDw>5Xm$uqY|;?KMd=m^sIFoSTofgsy4vl@
zO0he^Td&zRp9t3Nu({fVMnxZ=s2(Ixsl<w#ih82p6~ev9Wh+i<@e?`sleS%xa^HkZ
zWLn-OViCIJz)B@nKT*x1RauR}q1<y;MLh_)%Cvlym__K;11ndt`i1HifTXr>40h$3
zE5+yQ!nJCjhvQXo&7MgG8A?GCst+%?sO0sA{a<h`BQud<>AuC^XW8iST;CBMsLP@I
z_HWbv;I&`N9X(cwwJgbkQg=8Pi?uEBaP;UBX~9LQWK3+{5v<!`eYL60d#iz0)Wy<#
zmy>%1RlmDUxg#A|#J|`@YO8|Rmc89e6}()y7P%}rpyI4Nq5XcKf<N<Nk4Haev@M({
zG9_};#R)%nLm%A?=uD4Wy5LfWNQl(LCNJfnfJ_%ItzI$96(*}#r@C!jaA}2Dh}6|X
z9G%*|S&mBsb)n)4O4AFMqD5e*`$?01eJb;Q#$`R6^lfA19C?k~0XJJtS%rix6ndV^
zA!?Tvpxwe{?w|b1{H%m>=k-&WbyA*pw$H6tsrXTP)sf8$h0gEsN_;$lN%P8~V-N3S
z$UG{Xo|X7mpnrD=w{&~OouyG1EOexK+p}zZc7;mBXnmAg9dx0fL&QWziIunA!;z&^
z#AO14%ADBD5v1FpaJ305r4gvxGb!W1Vo+QuxGXX7I^@{lkvOHLSzzKJ4Ni7NCDv;b
z;`Az}c^usA!ZlAdNMPcvYIebuQ34ZJvErg$G#e;w-PyfVLCKX%DQF3lGVnUoAp%Mm
z5o=ue7C*VyF{xVF>s_zLPV+@7ydqAzJ(Emy6qOaGI<>YtDpxF<(XH}smgb?(C5J=g
zih}2Ms>p>0^Y*I99o914w2{L{f_I7QL*~ptrEpi~%)lQGQ6hQmb%NLQr!tjy9GSa9
zsC=*2p1PGHSJp52_-m?3-2O?&UWZ?*`0Evw;~{7IINr5(n%Baui|o9YUWn4X;iS7I
zjO%Rx_u8piK`TC9)5zRo>LvAl^Q2?H*DU#%=5Bjjdi9el5s$vcF1>I+aK7o*yAzM(
zTYMFnc*NNLtG7wm)@`g_SKego<(snN=0!1;fFFNiMeORuTcZ<a@wf?@zc%^Wqmp;+
zn2Wh<kU+!T_Zgd(3)Ro?vgy_;bbgvywV>d8*P*-GUIBrok3Ys2Uhh6syYzduhhw@*
z(1kr+A|~sVjxOuqmEJNjt83a!shRw<ivDg^xD>)YtLSRfO`cgrR}U?-k+D(J%~|*U
zR*Z16SNqL&FOw%dT7k)pWl`6ARpb;dYZa*!*862&n<%o?C6Rf3(CLf4O&^cNFIiFA
zAypr^s7lWC-y#=Xhbuq2g+gs}|1h_@cWVT0ne#X!Q^M4=e4>b}cf{}A+f%N4fO5)q
zNiEh~w@Vcos=eDKJs*RZvnQI^{qdH{_n&m^_T(iWAI4v*Nb&Z4xYhA>z={tY!maKr
zHEcGyKHTak64&hVaI2q4TySyyp+jz7r&b@nGTTKcf7Y^nDw8UVR|Eyfx;&cdu~b3r
z%L_Mw^i`~d%c5S-bDXnM?8c9`<yRb6irk1;a^RQ}tC^VQhK(MLy{=q2Izcz2Tqg%k
zJ#<Z@HD*QY+CZ*d0o>7xMamY6xpZbZPFVq?+&r%st`u?eH2EK>*)u7_XjPDeYT)*H
z=H*PS=HZpnngJD?HMsJ<TpylYud(OkHQs3f5BFV|>Y7(DH@f)wsw1nry!JG$JhFPJ
z*B!UJ?+fO;{Ob_+UaD}!<&kQbCWqm~CV|tH{VH)uQ+Lb_d2}tbGuk=4ZHMWlMS(hn
z*(;8$T`07@&1<4*khhTM#SLz^CptX*sK>QldC`X|Rj&2ji;irRy!ta^*2HpdX4QSt
z+gDzTk6W<kyrjm*`vr%e=H764Sa|)$$GK)*%AMDHGNWfbaf-S4WATmpUY`#f;hopH
zr&i<!bzXOzTG7#cgjeIeEeF>cA^mAy2OZp22OCTX)Rj1~LX0ORgqzK16)Usk)uzUt
ztb-12TN4x(M}edbO8#4Cg@-<}3+;4o^0KK5c@(%@D4#imt4b14MO{>42iHc>N(o9`
zUKF!}_1?638XvZm1#J|mh+cBwwi4?-G0hL#JQ}yVa_!L#dXPJjDL;E6bN*_vis-Ee
zZm(j!7pD7RTUO)tQ106;#fyC{+5;CwNvH<SUk)mUp16UEp_9EHiBn!AG>NY1m%i|C
z=`s1`LgpP_cNDY=n^zoZR(aaY?s+My{&=%drp=7WVj_Mfa>~Ja$}1B+;&y+H+{3l{
zi1t3OUTL<Q0-7iGc-Vx5a{XD!`goFt<1<&TE*+uyh9@S>;9p)cbC#9mS5=!2lf||u
ztYX#e$g;88BJ^p7*H`0dI~qeD<pp+H2dm04X?;8p*jeqP`p$4_Q=MD4%opQTt#RI=
zvwm1fTLk<FQJZZZ@MDUmw0XdfJ<7Aq0)D(PkTwg5Dtmf1@lu8HEE75L%M1pSDxUZq
z-tX~fqBZY7rIiz%<#q=9_NmD247OF<cD`G$@z7!&{hcga{hRhY5Yn(Y<?=94jjP`%
zuwqK*q1#5fn*AJ8D_m9_QFc<56V&?H5zy)0;$@>0@<?!@Q2wpp@@toV=q`V|+vCwk
z^IS1EA^n?MOeH<<bZHgdUVX%NnNa@X-<gl?uT=b=Vsg(;wf8*hq+`{emVBINliBDU
z`dGKYgl`Y)g*4@m3T_3id`6dtx(yn8SOr?QGc5Wb<{)y9spC-c_S3!0hI73FuiKgO
zFFbZwEz>4v5+=3q<RQj{nuuW4IM&cdqT#A>cS9fbws`Hy4D2-b(E6C>v(x}o=yOU>
zU(y;VWTxlIy;vnDW|EkjXI6~I#pMnPTfP2uZI`dwAMo&PyT+cs0<GKS7k&8VEOM`|
z<IrvXz>43BT<dwFg5o4Y9!+&r#h|+G)b;-=nYzQ&s#7eFcj^w)kVn@7I-{LcQ8@R4
zmdWq<aA0aU%f~a{H=Q^-f2q*<g<gANv_6I@KAmto{QsJXM~}sSOw+Ypv-IPKfL`{g
zUN$18%DvKTVq%@<d_PU48V}9CQjvW8%c6ddM?YuBX$yJUFfE%t!$s)(r!S|bcsw%Q
zcHY@t?PSAb@krIUl&KXHLm#<@b;3B8Gc2c7n9qMSi=ThewJfV24|Fp3?Dms-|7^0D
z3CrcU#1*VRLv&9p&vLX2<^HrXN_&3IkI5!>IbKm0zO4{zS(CAcY4wrgESGkCp6F9I
zJ7vL#i9L68!+zX#d3bh)Moo{hSBzX9OYLP@^SNGo4y`z{dgi`$jn|{6R_qO3S?D~E
zcdFFP=jVeue>>Nl*j*j?sN=!iE{)zhrZR_aM@+4dUM-ZL9LlAgWg&b;uIW63%cIR~
zffX!WhZcv4+&i}9Yur6E)!yxyp54VKvgc?7hPZ4N4_vfjM|<E!nQI^JZTMKX^X1l(
ziAR{zsyO3ROgA0W-tM*M(8?nqs%W(cYr+?8>%c_}J=3PSJoH^Fqw=gzywy66U%s8I
zyykJl?-D7yNju7yZ~i{<$X@Hc9flx|xRaaE_jO)(b}JPozc{^U$IYkxJ080}1Zxzz
z=hbm2nlrFsLx<4wS?t{!fg4(U4}Q56qVzJzV*7TFJ!YMUdbPOBvubK==U4KJ<b?$8
z2y}huc6Cu3mwDD>b>_?Zyh@$d54<erop>bs!58f=k4L5fs&UMrk4yuWYA7xG7CHq^
z9^9gFhtJCPX=`ZbY!B5s=iHDT%fGDOu{`8a{ZjKMfeVHDRj2N_n96coc4@M;72Bmh
zuAd#R{<xuec2e~BCyzHS`B)fpsY!7~*1?#-tqJQ^L>YvKtPN$m$Fbs=G~?7Ak3+b6
z79Hb}Q<JK{@g?q!$D>5^y{*=hD!!~f^18=s&$5+AW{Xa(*wS@GIY#8(nvO%WMFT4~
zD{<wAxjdBZ)!4IGP_<`s!JTEvmG3IozP$6K%KcxsX2HrO=1<;*W~#=Ag}yA?B|E?V
zYx~_|^JfhgRhMf2bcyrrfByJiiG_T6cWT}4e>V5{uh0J!ILqPXgSf;0ZojLmTH^00
z9JlLJXS{vh^ULox*`G46$a4L3%XmjZfPGI(!AXU*%Fs<Yo3^dtmehzi^EAgR`$wvo
z&EgkJTrMu`{5GX*%C1i>%dUONxLP92c2Se-;y(}y=h<htBdy@@gK3sh@=FBXuM~K{
za>BjOygqZ4vK%#csRouLE_(6K#n43P=r3!QujL%C^8+^+=`{bcF68N$`h<hoNzu1c
zGBVWgWcJd!+de-Z)>+3a<tzRC_3wx5f14jawETDSX_1Znv1^;Aoc?6@!1=&*Mt!>t
zHL@Rk4{ZPRb$`g4&kz5;?tfhO^u#5>zg&wIe~CIef64A^3o-q3$9|uE-tp59f2DJ7
zEUy3m`rF5fck@2ES~{&Z5RbaGt90S<zh94k{O7%oH+<vc%$DQ7_nr!_w~PJvr*5+T
z+Vd%e_Wu^&@qMgNUwb#C`S-u$e-%nnU(9g!__1+!{n`7v<s#YXi++XrEIpa_KHI-5
zRXu2p{lrAQaHXUgZYvgBrt*H(CebF-Cf+99Ci4@&<D!feVq;(OU2omXvUU6P=Djhu
zyo2ZRU*Ed-&W+P+_trEoOJux$qvT70?E%wm+g`8CHGexJ=*>Ejr1pgZyTw{>aB3cT
z=cV{<0oTU$p&h*ir)-;VaI8P<RuSu#JLU1*u!j>?YTVeorb#jQl}o{iDH>H;fp46J
zkKUTEaqXYW#p_-duXACb_QxL|f8$-VoAYg)$d=z~8-BOlvJKo)y(r_m%f)w{i|z`$
z?$&nQt?hz=j#y1AI<Ii8$2a1*nWOA%i+h=}zjkT7cwPG7^%b`T>xEt8)w}ljiPX-`
zFfV^9znuT&eE#<8mu&83|6f1<XcVo_SQ=ZH!P@Pt9U&8S@X-Y>vG%YH7F(K*E)>;K
zjC!!DC4<$wBW%LdjH6y#l2oHM3ayRk3|r%(9kxhR`{Jaj87IBAq^L%164H(AoVLcr
zJ8Y3ERM=#L5O1{fEUxP<YdNBY%ml6pvxPd%Jo)v+l35<TpDu`0HF57)dcge9h7*U(
zS{6!zP^09QvdvQbX#s4{f{wjoKOf5WGnnmZ0Nc~hw4}sS#y9L84N99*Tjnh=i94rv
zM*c-vl6dMdz2FexW0z+BPEDIPTQY3Y$`rXI+1YDS^JboXHN&tz?U?UO$?~+cJM$!G
zHO@Rev-Ffn;swD*{rAQBPbKc0IbM-A!BYP5Ovl99o^-ZjpAF|pZYfterq1ziZt@-T
z>1)=?M?~9i*jAU2`}e@DUyXLMj{_9jHij`?yY_v}_g9gfRbh+fO<j?$3S#!SH%i<;
zv_X#J@Gm8v*HaC`a!M+j&P=)T)ab(J38K+${c=XZWoKT<7W*Ify0Tg5>r$7@7dKzt
zH|}75sV6h3{NRL|M-|ImEmZWm_)dx+oKRzUb4q~BB=s)mPcGG7)?VF-+Fh6RTP^+u
zbzo4c0k7{EzpxScRVDbN>e03Q@|gki-5*AZ%@dAiuMz!_dZ2w~wExnz)?cy~y}0Uf
zakbaQ)m+$+=69Y&;lD4eu6=N|b8+sL#sfVXM|8B09MW_#)^KUobh)hMqOMWHe16%r
zTt`he$3+r`ok_DNYHZW*d{h=Jo?~A6uq-$*A!4oXj=2kcFO+Z#o5-GE@eMb2ysYVa
zp(I||MD{L=?{o{tS(S74a&CD%fpe44&B7Bbk)3ZWQkrt~x+YsCTKp6~X4E-(Axq@J
zyF8(a#>-?)eI|$=?~_tH;gjOdE1aUbX>l9pCZUr7XAf^O)Yw=veKG%*yYHCxX}qnp
zEpKUE|7VM-_Ow{u*$T!}!Q_50*#IV2fk^F%vAm}*th?tk!S?Pt4&Mn=PpmWYoe+6)
zU5xL9XOpL%SU21EXo~8l>_U)Tud6|1cAId_`P<Rwe?P5TvF-S!Qugb%G5iI4TV9LC
zJpOD}u($QKd9|+S)fnf0OR8pNpP0X;^_kQs^|h;Zw*B$^owH7{{?et{*(c_Ik=)tV
ze?9)&_I&0hNO|PTdoJ^^&Gsjsu6)1y_x8)33Tu?tsOKoG`J&Z2)iOIV^<31Aw0ny~
zSL?h<P1mokw!CqA>E4)|r?>8Hd7k%H^+%ADLNV{Y>C4vaoA&KoO!NDtPfe~1%)Z1n
zJG;X-^XysEC;q=!i+O+EcKByDVL$Jad}d6h?lswpeLZK+nA*f2t9T|gPk*s%G4J{5
z`;Nvm*Di54ygtGHrTZDvC;nCZ#k@Z!+Z~QcwsHEmWU>KBLJA~d#ZtWYU-F5wC;pwj
ze)wa%%=4fBei)SrKYtv1?vl*+^N%0)EB+6hn^C{_^vSuC)aLVgW`4VV`g5Ia{dAS@
zkKb0+&(n)PzR`F7Z{^9azU7uz#@Q?VEUJxJcm1{of6Ts&wzJ8fG?gcRNdA8P?+)ub
z{r_LYtG<X+jcDP_+a3S>^*aH3CG`&ZiNZP+h3d~|JbL!*nu^W8uQl(IzHX|P&oBO)
zoRC$vuF`ED)2x!+@9SU6_|+scX}<pZxn}p>{&>Ioz4O=qwtvoi&Ftb&P4fl&-ut(|
z&UiO%&;GfGkN4mD{H1VDUH!isbKB3Wyn5YqDsan5rAhy1AIT`4>{Wk1me1b5{rUFG
zPcxObdTsgrZ%@*pJF<?4j=xp@zqxvD3eT}gE_v1a>-XEfZ;zk;b4P`4jg4P@?avjw
zSxZDDORroh^8V|QbU$SGvZ!fSvqJr93gga&M#YJ#>*zl>)78IhdHVFufYYa$COz%0
zT$%DjSteQg=R%3<CA%O0y?vuk=Kb^6*N=bfJUCbC#{1CQS+6oCEl+zNvGw5PgU6Ti
z2<e;4o_;Ph{o?jkWjo*ZCmx)*G;ykOw)>e4r837)SzKu1W8|BkYLWpWUBF~W#zC=z
zv(NtaeeUUCus$h<JErTOac;o(nJ(Nfrq(Vx{PJM*_d4@$+m`>mv98YEZr;kTc}uM8
z_R7omUug)>4V?R~?pM*?|95&PR;;|e=<nCZ$vN-jl6$%Wr2gyF$P{l~e|zPcx$7cV
z$ys_9*IL^KO$rh(p7i~Fe}Al2^sai(k9GF4`{at}O>6C+`l)X3sky6Hg??`coh`TI
z>gO};^CsNe7}26SCojAvIB25WE-tA_diU2D9hvcB$Nu-n?M0tYNjO#W|Lu<d#UTs$
zyzeq@Dt&U++_hWkuKf4CGMCn0`26?x^t=3%wHBURd~fl=#Y^3_n~!aJb?{Tp_Cl$>
z_SaSI`X5i<e<?0TZWZUxP4=<JEI&K{yY$en@Z0~JGRx0rK0Vm}`Da;$2Y>AODf2mJ
zMpmb#U7I&^=GOGIw6~A`9sXOjdb8!R)0N*}SIVmPzn2SF|H8fR?)vku|7kf!iN9WV
z^wjD&meV<H5Bna^d))X~^|*H5b(wsNdqrowHM5y`J_nuRVmzE`WArd2`P7dSMZyYE
zwO1{#ro6BCo0PR+i-|pd>3<V5A^BzO!U^ef_;;NA@!@c?z4*iRmw*0FeIugx$$NHE
za&vbtub!FNjvG6UZBjc?uyNzTqsQ2$dl>C-nRHNf63?@xQ(RrzDm7C*CniKjrp%Zg
zbWKw7+0rRfML~jdTNC5FB1;o@O!+jY?&)N<O{(f_(`%$-yfO<mb{_2BrCWDj_Snl6
z*Sc-o`~$KkUXuBFKQ-rrg!|LrO}j)=8{#kbU7B~P@sjFNZLjN7@-*%SonrR;mC;st
zpw#Apm0ZCl-vb*YSD(&nVNUy?cYx;}>x_fD8_XGk47kr6h;isY;A6}(BeRX+%*rhl
zVhMgrr*38_*3zBLIwLsqtj>WsUfgMn&qAVfxxGGUoVa`8ke+y6ZhFCuZw0)k`=cZ3
z?i~8`SZtd6_6;_>TAyCj)#=~o`0t)Veg1^~<|pn;KY7pl={wuc>gGRt9sk|CFq`|!
zGp4;e9rE=q%;vV+wDOm7^Uo8@UiI@lQ=YxbepTUBdC4>GW})>d2J-tu|4ux(X9|d|
zp9&K9)~p7{6(8f_JySNtA285g`bN&+jJIYr=Mt{z^Hwffnr<YvaLX4TQ(fC&U+?oF
z!S{B2_*1kz#CGz*JDRfPUdQJKef420ULJBds(EMdl7s0prf-tECUwR;k~f?8+0r#u
ztzTZpeq7DE^y$e3TYM#_T+?p8w6FKUM*I8!T|U$c%n_(@{vdi#oW-3bRc`V@xr5eC
zcWutjuVdd|wnV4Y2ZW!j{d1@0SL@$Hi{JI{TW~pcdiK-j|Kj`GUq7|DZ?g1SnWV?b
zQ!ZX*>YIvEUY>a1@!sS438qdL1A*!3D}^0m<}IDZSXlWkX5P{!=EBN#$7*Iyxo6pI
zBz1a0&vhe>$4hv^KL2dIeZ0T$kClFwA9qgtv4wAc9*=y^f0^m+=R2qKeB-!p-S2O|
z92|aqUH!S&d1k$O-oBsT6zdm%{ri^V#q56qtbxx1-(P%i@zUa{?%Dlja=Eru+S($;
zVd5>NEni!BTXZ?21-Gf?I7d`g-+HUFx_bHD$Y;}b)o#zoh;`P^pSf%9`Q4{K*8M5m
zV_){X|FvV;ey6o1Pt5n;y#9FU{r7pFKUFN+e)q5CzquX#@698nr{ylZBfmuDe*PvO
zyML*r`=39482>bIMddf2(+Z20r3wUIHtJZB`SI-An&02N&l^tVZMj{!D&+pU^BbMc
z7XJ)f5oh}-G5p~1k2d$r_A;lKIXB(x6}i5>VpIFs3CoUrdl}2N$zj9X>gfv&1?uH<
zp5IFi$?N2~-<WXw&%0lf%dgMhv-{tZyI<Acud}Z#|9f)xYyW$(^`9`L-p9;~t^cIw
zz0={&<<vrnj_O@H=FElu(^+#A&LwS9;X4xPBX+ZKht25_#*WjQee4=`*qkn512HFn
zm{Yhx%ts9g^Gfp>IP7A}rF9C$E?Wu<)a*KUsA0j;k~nr2-DSqE3VP?yC0Tj0KKk@V
zXW8du1-<j@{$5;ZDKI_#`o9;e8dUfFEquJS+&r$j{PEUune}@gSG<)yp8eh;t~y@v
zK;(Jrb5-{WuYJC!SfDzsc&@{SBfA(`j@&)I)p^%<mLrw7w>s~7%X*};I;VZr+^_w|
zudm!}D^uQoJkV_F{N2BLqNb+!6;w=3_^);F_iC2iJDaz0KFc$In0e~m!$}7l56(My
zX@B?zo)~T&<~xVBwM=bHOH6f$ijb5M4P)EUI<>JNG4()9>MVoeqBoCUAOBqUqjI|B
zO3O(q?wsFVt~mbovBq+Jy|0!{x7+try#3LC_n&`ppTp0<Z41x;O*q#Y;`O}sZt|X)
zT$V|pH|*b4T`}O_m9+NHshn$eeVNu7axGz9w!anr&)?SnL?L>?l>46-ZJMF}>*T56
z9d-Mcd^SGDI{Al%o&59H!KVxCYUiBVa%tJ_+8)Vuw#i!_f8Mn@T_<o||2@gv?>pZ7
zTho6r<kd!*B2Ky5Gwoqbi{!tGoO*rj*$1nW|4Ju)uY9HHd2GV9>qix}F5i%xdh%V*
zsVNn1sgG<H8||GJ`26A`ySKq*8v4iAUoWh)@Vi`c&#*=-^#96`yUT-gv$jtU`LzCd
zt@*h){rK$d(?!|~yLQ$0T|3Ua%JW|Rbmp-0$KO`i>@Z$qcarJ+)nzK5GjGN;K8(p-
z^ep1-lnY{7=JDSbPxJJMZGJ8C`}>3Zr}IBI8qdBy=h1Edlbz3;&fmLf^xWn6{$tNR
z8(jMtKfU&Yisj+5y)QbGFQ+YM*z&d_X`8)QVCL_|M{???%y`=`wE1r!Na)Nr_s*OD
zGz@RctGb<1{OpusWL(lSWpUI2O%N%d1tJr`<OZ#rhp+$7c3&!zD!A^+5`#@Gs+)z5
zpAedMra(s7yU-}QXw8&08<H9ibuHymemWs=W%3dkqeG=VQ=HvSpGfg}E1}umb6$2e
zU##Zu8*}%^yq7P^G75is<bD0g-8uW`thaw{zvJxc?M1ipqy9~K-2eM_B%hVC(#=&(
zwP~SCHG2N!hMwe7Ubj*!H}QyRsB(Zrq3_idB5tPwO@mq|7M)mf*)WK2<^e0WQ;L%l
zk9%0In!aBY#4b7RVVQF1eZ)+SEQu2bBiv72DOCEm{!~exQtB!5iKVu8{%)*)SO3Po
z%-k=0ik_yd##_y|8nIWN?>#Q}^V5WhM{fN5yG!=<$86Q5*W-^y{ru2z{ZzaAo-jL`
zM_V_Ayf-ywX3P!rkJMgkm#g>qZ>CFu-Mi!e>t(**_j~^LbItF%x*q4Ar0rS%zWz{c
zzr4!%dC|MwU$pg7=dO8jUG?<xBTwHLZwpE-v0iM%HZM?8^ZbgQ<>?`Aca61@KTGD?
zu3Gi*PF2{|g0$2-wuX@p++Bix2A#@}6Zjc)YCV{IAGe@r+MW6n-mBl0ZC$Wo`!DrB
zGjDD$^x2>j60$)jGh~BKUC0KV$srqbR)iFseb9DLw|R}rrQ?++yh6Sfu}-_TXTzme
z;$c<ohrINIB3|aMViyjJ`g^D=`SscdD;+1yXX#OH*>j}PLcjCOVy@=Z-%q64ox7dd
zHbJ`UQ+D%d3xk=D-mckyaL@btE0aGj{>yo*>Z;Im-NKB{T5lb7rH+iSKnI!jTHeCj
zLgu=KcZH(GqPFU6$%@Rly6M8I)P<p^olZ|{ou<pJ8!Z;KO=n9^WX8=+7dE9XOod7C
z>T)Bay<78sU)%LI`tvs3!~3`L{J&=OC;Ie<eBHzO(QWs)@$Aoy%(%Vj!nV|fxu>0O
zPix(#%bgo7c59o?mdwbE%bPANOI_%D+G+N*Rx@4h+$gbITVN8qQWut<c6vRn^;%jL
z<D!{d4Qb1m7R+o_xcIIA^q#pEiw-{ysufmimUC=RV04(~q@z*2RC3DROFXCIGTF3Q
zyjf-hNAhlBDAs!I#dhM+WY!tMk;gz>?j*4UztnlTjL$;S-hi0z@)@6ngbC_ogl<|G
z+TRvtD;4!MBjf7gg`xew!fanfe_fG(b@9H`mVH+*ebMDUDg8ZZW9q_vV6-lEAy)LN
z!btG+N*Dcordx`tE@eLrUKN+U^>Eruc9YF#m~REVPpsW}@B8s8`Da<%c89L}mar~<
z-<iuROP;^~&MlEMC;6mJy!>;vi*7FWr3GvAUB2uUy!ai)StGmk1=~fpBexQdOlyr%
z&H|C#*+^t`_qtZoq|;6ivKGdGa8rWcU-@}<tMJVj>xmHR=h>~nk*;$l+OrpYdd}eY
zPv*eM`OFqmuO5_~YI<Z+c)!@!i;|A-_s={2_-T;Ye4Y2qabcZ0=EvionJ+!DuHvU-
z@!A=SZLZD^Z8F#E6?<$`@%U1P^*P^4?YvXbg~9i_X5Y(v_R_+ma{4<v^Vjm`KUsIS
zr>@-CWKnTH{{6GoSmmPp6P9v%Up1vYld2y22FIFT4GoSpySi}YH{Y$<tGcIYDX(bT
zmAlF}`lQ0Dg=V>`hgY=S+A@`AiB#6*Frz75S6wfMbuU{h<{Pa$Yg?2_?$(T3Sr@ll
zU6i@n^>P^Zn~3bMyw+jL+pNOOmzXDg){l<eBz;MG<5kt&ihBi-IJLTuChWUb;1Qo~
zv1Gle%%$s6d@r+k+rMlzGck!-XV{vb(Y>o%X6x;*AFqh*EwT0T?|<!D`7*12lWz6-
z`IctwQSMKZ<E_}WecXL~p4)I07d>`)dtcAGw!ZC*<EdB9s;feHXZL;jw*I%=<o?!w
zb(a51t>2~cByeq-e_yfPRetK--=WplK0Rwz-*e+Tr~bFvL$j6VeR$TPzQ^(rXMSG=
zx!lhDK7oL1tBo|Yqa>%8uID+mDuONbu1@o&P177BFP>VkrZSab+LrYub%(Tj=YQ~e
ztiI>c4^B+(Ded03rcciAyY!oL{g=8!+I#1J@OrPlhkWkxeV2CciBs5n(y#2$beZ)Q
zam9NcT2wrdKAK$ZT6i<Ze8=;O2Q#K`dcO2crRLk+5nRufZh4<Hb7QTz_rZNrF3%P#
zu2-<w|MjAUSRB9lWsM5kJHqk&>IdB9_sG4o+$(oZ>8#)1@bkZGbl=~*HUD(9%BNEM
z?|qVY-W~t`KK|LclL4ADGdI6^J)b2Z`BowbX))$8nk^H$B9@S>)tJL*_FHI=Sc0Ew
zg6@GiUK64kET<mWl3;v0+_7(&k<_Y8_sow0zU*Gpr>xV64L<dG_tGih3cuZEHr28Q
zote$qIZ0;6?=6fh*OwalU0=FuNw)EEOPeQ0Cdi2Fsrg@V-7!aJ)<fH_?y42#6*7JH
ze!r6^P1|U4BuL&nR%hnYb%!7S{jFTMc}oax{7;$na{p}wqwZ$TnMV({oOzVslvZf4
z&~@*{1uxD{T&pbYeywkf%t6~=OY7W5@5bG$u5QmY(l{H+w$#zv;g>OYL3pT?GIzoM
z?O7L$4$KMbO=6pIc~#<BhT<sGbCL;utJ&<B&tzWpOlh!uYp^^td<x^UkVQwfD5ZAH
zSa({$D0aGH%q~6WJteVi4KJnII)XTgd7WJhn|HP;u94WZPt1FEw#1>X^=C|Z5|7>n
zjn92dTBlh5t=rH4$D+%^`(Au$(YLbw+U*CD`g$zJ`QMxH?0Fxq&Qh=0`iwJvZRH`X
z+~sv!*=}_moOo~IxrwfOSMRc3#>y2r_kTr=Z1j!PXtU^Taqn&yU7zOd{Cf)X&Hl$X
zCkHL)oZ|LJbA8z9{159cO#f@aQhV-e=!K_h_Uu~bQjL|nFUQ_@{`d9Mn*Basx5%HU
zy2YPj`<8vvyl>2r{=Xa7EdT3p?Q)&M>rDFzaOthhM%M+ZWLxHK$$M56dGFn1`~Dwq
z!~Y$9W^&+^)1uTVX$6u?CGVWyZ6W#p#$5mQ$|Y0wU(t9UYi;ZH^!3sz#X7;8&udS&
zC%+Z`W#jj4!m=YgS~3zbMmv(A)qnoy1v}5xNdCIMW$_lCzu8f3|4enA|IeDn7`N<c
z@FumWUm8(Wa=MbqTT<T4Ik+fw!^NcH{R_5viR4bzxTO`iC3I27YL|;)Pn#cJ3+p}+
z#+|pi=VF-T;<aLD!am7<*^m}0@3~y&wf8?W>qZmRv<Wp!ES=XxK2bg^nRHf3KJ%PF
zw%AXP&r>RU#J){@)|s?rL%)f?$l})8B|qb8m)uONIN7s6pcjEINGb-JI4#O(ak<FR
zxkymRRavK5Yje-4Gn2w+_G~<r(j&MtB{c2oDkG&$TE>NIIJ7l&!z5cxRMP?!!z5cH
zQbm%sXdKB1?6|l{V6m&BoA)UjH}6HM*XFI*a^*~vu)8$RnJBJIuPxJ@u%NJ0Hc{Gv
zYoa@o?s|#54qFtlUFhg8Q<l=~j#t+Xybe1SvE8WbTtaE~%~jD#n=)ov9BbI7BB8so
zGEu;Ig}`Fh#Lj82%DYsfv?3NQZGuwyEVuZ}{5MQl(X`Y>R7Z7{g7(C}EI(NyJ5!u>
z-iOwla9=H|$MKruHK#O3w9q!C9AAmk_oo>ozt=o}?4Gd6w|NUTerM_3tDf=3)-m}#
zr&P871(ypfkt`>zdfLz2-lX(c@$<GdXDdABpL-NOEz2h5qjtBM+$N!upL*NZxNMs6
zSW$bT&dZ7uUMcqF_Ksmnzjyuy4cVW+>px-YiMn@nO>11f6@Lefkk8*eALO(<e_0|s
zKNNp|GEX?=>xp~CO<(4%k-Isqq6tc-ZqWV664{yKZQ~fGbXy2y%(f%KDXOc^se7oa
zRd3yPJ$6e~tYGAN>%uqQrKh_0=FMU^?%2D|=}oEVBMD!{zKbGA)aR`_9o9ECGfY@o
z$REjY<5*>r4nxjk8%HsQZH4m`xHE5Cq-RJ!2f6rIWz!lLkf8R&+&Steyiy1YU$U6)
zdqV#BX~$`Amm7YMi;FLw816MkFaE6J!Q<b*A7Ah8rC;3O5ZQK0K&y5|$-+%5`a`ds
zidM-{P88;R?GfSX6#0fNv7^OKXqSh^=Dm>~x39EJlZ^2_owI&fYFfnQzDq&cT2YJE
zHmT}qM=0%RQoW`fk>$$j{deVqfPI%I#vL#^?{@x|)3W}{#`Pfyx72pc-G5*1{O`i=
zit=mh@9`gheEjY080$QFd%orJ>Ob9@onw11vA3V@p5pf9g1OC1d!G}35}i`nKHqq+
z_xbFne-jOUO1N0=Hl1cxo2~MF`S};o%16EA`=4k2f4=@p+$_yic0E()eSQ6=WOtU>
zrTJ4YAGNeQ{buC`qtjYz&K%>?PSsm;<{g)E?$z1u(fwv}Yi*+{w|vR?u;TlPIb9Ru
z)Bo<dUtjk7?&E)#=NvwFsjz%U+`0W{-cOxWvd2Or{-$2O$f*w>g74XUJN9wfW8UN0
zec>|tmU0&FEZ<qgU2$CS!zHf1N@=~l?ZoTz=bd=%?{9RhaE;GzZezxIGdVw;@o7j;
zW3n+0;*(@jn!IsQ@)8+YO?UTGiP~9dTR3%<XK+p1=*KGl_1yovb3KAKm8CpAacLsB
zJQg%=n3(^-=lD4dvxjjTIKMaf?hwy8v^PQc9qa4@{To~IxA)Jt{weX(Brxd9pSt}q
z`)hWd>b{me?;cxO%_;tyr!5|S`<Po^=T*KSKUhC`xmSdZPj$)f3-b1-v{wI_apm4x
zk2H%Q?(SdTCw}_>_uaF;+C4r=cD@HDe_20y#&*BYDyl)3rsSRtpKE;V<<nVft@5Kj
z#|z&4Q~t<e_1zN^dwJGtc?2i1i9Y%hu6V8<joNo`o#Uw*$*tG6^yp<rxh*o)U3_iU
zG{HRI!u}-TH-R~eY`b{xFFql$ST*^1kBsilO?S`z{i1lFc%9Y45I12zE%oBLEoxr3
z(=yJvR7RPkFUmL<ns6>O<(#N_`mv02Js0O_hyA>^_LREP>KftWT3e@GN_rX$c1_aL
z;Et*OieYxG5kEy>oPAR&*VJ?-J@pn@tQy!e&BZWE=x9bH`^8Oti&V|`byxBg?YRFX
zmHTUIy1wtDU*A<8r^*RLZ*bh;w!vwGi-E!m!4i&DHP1Rj`JNx%-Lo!bRrtRrf3yGb
zEnt1Reb3X&i#{1L{uDcy`mc5C)g`lPrtZ&K@w9wX*wyo<Q>xOpygc2p(c`_AJj))L
z`b(2i)_yI2dVOD<_I{ynQ9nyJUO$!pXz#bjKBwo~XII#rpKl^{^!0h~s8EmBoc*uA
z7p@T5elzt@<z)ZkYX7aSRE8Wodi?O`-??k5j$8ICSI*IkKY#pt{Pw$#4R?JHaVuNA
zV}IPfIs5ANzyG3oHQ1#~!Bl;^tKRV)J7ms3-g~O$(gUMIW;=Q|@aie&G@s_U4db?b
zOV}{oyL#uQ>DkpgH%^bP{%N-U$cxlc2ea7ng1d*mGF<oGA@_OO?DHR^uGOBpdj8Yh
z2OHm<++Lh8$8O($(?9$EPh(fodT6}ZsCD***~-!Gr%dvdQfHY@G&(!mW9Bp8B|e|k
zoQiBKLb6WxoKia1b1LY3&#9&hJ*PHZ>{-J(ZU6cGYiG8U^i7#%AsB6=nEKE`{@b(p
zW;>R1ZuA#Uny<#vyV9Sz$<NaI_}sb0=k*xQ38@^*Nbgl~k;qS%pPK&u{^sxRZ~p%N
z=5O`4f40^C=j}cE{@kPT=kaSLuJ*P#%oM$8q`fIMEami?6VoCm>TXtwPIKLMrYi>|
zvA!;O3g^aDv82U59lb`1W~W+iXb2-X5#8B*YmbU9T>jyw)0@w&H=c8EJTKlPSAIUc
zMXo$>9f$3^MKOX^cU*TWec91n<a(nkaY}ZCMbladvrA5Ej<+s2y3tvnN$h&x(o<hH
zJX@jDa?az<gBx8NOcSLKEwH`)=h_B0mfQ&n8O<z?f*_PAIK>f$b}FryFR)W-#d3k2
zN*4MYht%r7MmMtxK5-Iw;v}%;PLqN-2rZGaWNQmyYYW+?%EPns+eQVBE(H!A%@eJg
zyICD2xKG7c{Ei5CcIf8urj{MrO`n?-Dh^D$;UQ`B;O+J@o{k4In;y(;I`FyaKn@69
z_<ZU>&Zz|<AQZDQ#)i9SY0)&1Ctgn<MNZJ3+Mk}fF2ru$RL7NDrtNY&`hTs_^%WKN
z`FrQHHoCGPqlK<h99K@+`QmF`*fX!Ee{@bvJ@pf0z~{{h;acxOrko7F`gRM$wuhl9
z?uuP+G+ZX?K1+#l**0Txrr^y<{>R+Dq*c{wPLOTQ5R~1xc)}Y6g))&zcbSj$Ic?hC
zkR!YC<3dZ9?)!U>zR&vjDr{#lYm)JV>SzTs<|XSxQ;Zj!*cCIebf?nmPb()nq{P~p
z3U;hHz1;1vgvfF!De=Aqrwdmpvc&c|*DAg!l6l4LIQ?-zd^L0Qjg^-jQn<ByH|VZ0
zJKcBV(6c_a4&9u0X$?0HJ*#5}F-v_6V=6Q^JzRRCZMu?lTvra9vt<XjzUz{*q|MKa
z7IMrsozCfcW6e$<4X5qNJ=r-HO?k&9#F2^RhJAVEvYkpLyI*^VEW91-zUV|$jnoX~
zO@1@lUUjSyPI;0&cR_NZ`x@bv2=yn7_5!b4Z0@ip&7E-kzrz~gWf$$0UbDDf<Lp`^
zEE1`%vB@tWMR(nas2IVhJE=|+&$cMd=5#d^?z-?+Pq@oNZOv^}p*6Qn&14QP)yXMp
zjo8k;F;6_{uHKPdu^pwm1zwk(U0AwX>2;ZFM&b2anoixzudO@PtRr~i(=$^(g@5Y{
zSeg^(O}A-y!11i-X=sbGgm_|C0n1~hoG5EY1kZ=f)a+2njK>}Fe-|D#Jk&DbRp(nN
zySV9VWDb_`%FhpHY)IJM#;C_~+mxq3@y5EH4B;(rXK@#}LYQs47atZ)++F70uI<#G
z?7t}Rp+|mNcI<TSw-N3Vzi&5gP)*c6bgd<q<F-&*?e(uKe{`&QFA{lQbJM=y6xpRG
zth^?cPFZ9=JNzumjc`d66eM{gXeRHHiJ7jhPu{fcp3^nw?v|`;{V@XJrT0Rc9!!Ly
z9MfQyd%{q3O^}7}>Y80#k7ZY#36*#skh<>B9M9H|eqqnFe2O+DpW{CFF>1X{QCvZv
z_>(;ids~#Av&QrUJ=xRH9VmNK_3fv58cG@y?{5faQ5D*vwcg0)zh<LP9^X?zmZySG
zjNadlNfmH9rO-0Pkwa5^Z_2r~HjExGdDRZR<8xfb)8r#LBmUd_15Ue^KA%{dv0iec
zt5?rb<?YPZIhnR|Gzm@U4b0siqx@b*tHWf`y1U=)!k*o#4Zm<CX7~J>Hxeeor()tC
z*skE2#hH4+$HTR_@ckaG6QNqqB^@uQaTJxF*m|aEO>K4VYK4p`ju$kFzn(IGcq+mw
z+_^@09Z${TGgp^fi3wNUBx4zxxcq=)imqKNuTu6$%?yD^bsxp%6IO{_5-zDCyXx<q
z-x<yrwAFg%jWzs6MjH?RaqY5Jc<LtnQdUytWBE2=4#hGi#UoZ;9djKxHm<uY6Lnp4
z)4Jf4)9X*{@|##XchRI`!=+}OeIm1cj@)utlbgF*+1Y(fZtIWV5x2QEZWB$))n?IV
zycNa~#+@GR8lgKUS2S^J!M4zrt4dcBTq1PO?TeaISfpmksvB3Gr<76n-f|8fw`2F?
zk_e8dJ6{tm4+uJLH*4LIe4)h8@tuUp+~673&z=dMl<afdzKmy=Y3hZw6CcK`^X^y>
zeR?aWU{4*ZqrW;wm6hX#%bZ1V1<l-NSAA}J|6+=z{*t^yhR<8C1X?`XGhr9k@f<@n
zcBM^E=ig~RaZPQf?Kj?t*)0k88@76KpfGQ&&F0&f?;+>hQtSLlT<MMUr0oI=k4|1M
zu<+>nCHr<HF0eYL93FqiAYj63{k#;76IaE}jm~sG>3Ac|F8SuRx>nN*wcSOt&e#P_
zG@YTeV$tPmyOI+&?Xl|T(7Lka+dGMnicP=P`KzAzw^WRAR>P4s6NQ?2PQQ(KmZLrS
z-<54=I~!jvJIi={M&N>tQ<i3Q1jo*Gt557G+2ozQ*5rhW_@j{TMYUSeySfgzd}^Pd
ze^>0&30LE5@_TJr7R09nv<S!SoXKrwZaVLrj`g#qw>^sU=5c+^x>Z>GGRJ?C#Odzt
z)+_lt_Lmk;d8E7j^yLVN93|;JVwnL>6&`CWlao|mE$eNtN?*frS*|JhO`YDHi@&=T
z#EP)Y6JzOJ@Z_x7mo9}JMI8_J9&l<}z;sgH>8b!rsTcQ4K@scs>55IKUSxMKD_Zug
zm+z+kHG5WNt|o!G-&SWY_un5^z|*!YisgBN$;5w}ju(<o$=`aDrFFeF@sCE+zqog-
zl~;?L;yznyhO3167|b?WA$}?$XBAJBr0LSvYu?@AHHe#Ac3Fm*`^jIE>7p;pw^lVT
zU*5)^e>}^4OY*Pf7xc86%|icAGqj#}cJ+s^cbCn~`#U}OIe$H;*u1p;6S)2?{hq{A
z<B@i<GV#RUrLs3~vo7s)NO(5;df>e0=Voxda++rw?s0wY$+rvRCTf~~T<Z7x<k<yU
z>GcXt%X*_skFu&Ymj(6k>qhtGx){g^w(Rr>Z09hZn5CqYQOLSbF!t)3lPO2cOS&F(
zF1e`U?C4m#_q+2W?`X093!Bf~%=&4hHZ#bP*+{p{Q7dEXvX3T9KADO{IsCOYuT%0r
z^3_gpuX2R=%KQb}Lq9vdUbW_q&h5DZ=1+XS9ybin`My2VhQC+T(qxq{L$%JA%*%oy
z-y_U_*(NWac*(K;#4r8S4>73$`Ae>E5cBJ8UaOiJ%)7{}wduEa&YIFU94v<gr&qNe
zkZ|o`Y?gM+^K<<#aI3=6j{C;ulV_KIubHFg|MPvt|4p~$>*u@g`?L7|KgJ)wzHa$n
z`}beXAG_~=x%XBt)W7vB<Jq=-wF~o~=uX_Y?!IMr>R*vao!9MWk|OP!#ZLbc+!_(L
z^=WBzUEsUi=(^Oe;c?XuzrJ(5(xdAVT`xE_SvTYL>uvX@Z=Z3~B`EnAm+`V!r?gKU
zQtAGAbzb14?b9{4=?CY;EsNOiv$1Ms(odtKAB<f88@YZra;@}^os#tPR^{nWT)PZT
zX8+fVf1X-VJvZgI)yZe2lfJ%IS$*B}6!*VFD(lx;@7b33|Ci(6*KfY9=f1n&EcX8`
z#=q)!esm^O$OhfbzFfLq_QKw6dEamCx|{vFZ2j8X5YFH1*WcE!y}f_iJN2l4PhUIf
z$Is6Dd5iJ%#oo$Wu9Ic@p5N6!`Qf$8-*lnU`JN_LO8cK^_<fmaa##J*m-!yXHA-hc
z31^1Q_t^Z;W!72sOF8xvpS=}6H-YikYnS<jtG8IM7p_&ivgB>$+>Ea?U0$D8xmBZf
z@tbj{U2@^d-ypfiLI2~`fAtuq?)hwWF<nL{dEWI-JK++eca?Kr80Ssgc>cA^{Ea($
zEaTLF^+c!K`Dk@9-AFIF|9Yog>KXr?PmTGeJ-q$eWj?2sq~AWjC7<sYO!N_rF8X=J
zV(RJkQ!D>Gx@mR1FLiG6@lEG8CjVTkdHRrtx1PM`@}k>Wf4Nt0&DY!fKhv`3M80q7
z?GSU{(z_w2v+ZZ@%30+c{C0NM^^n_nG25IoZt@*SwXT`|_Cfr%=7gJk3sbG#roAoV
zfBQiGR%m)gbZ$oUTi0geEo;q?(6#Mrk&#*1y5x6jg4VD2TNl6IYeVJKgx^|?>y~X5
zS|YkLjVrb>YuSa+HECS2oY~7RT-}n!6+1C|*@e)(X<V_AIm<3wJ(|`v-E6Al!)_7%
zT&>TS#WmU9|M~v8^#AiYNguTwziT=E*E*<>@7d0>KQG(MeBP<`XEfeEaXsPiv_kCo
z-2KO=-)+59xkNu(b)yu^fz>QWvdkDi&hh<VH2LDcE4FX_*B-W?mKflCb)I!d=@j9W
zMpfA>tWI%W?cH<FI_RtDn~68J9@(eLr(1YaC>%5iIQ!|HZAZ41-kz6P6}n8AH}rVp
z!dpG2GrdhhWOut|W!?2?$$nmS_3kop&Jy=^6CKLGNbP#J*zC($?%47xQoG)rFfF-z
zG5f_X<r^1D-8U|L-IwBgy=}w7(-}MGI!GT1YYMlUVpwe4*fZ-kXGzBuuLaF(!kA>2
zZ53J*r+VSpo~aL}s;2VX^_jpndo9a)BhBW!WliC2lMa?yAM{S&Tk?H%!^C52=02FZ
za;aywdPS;d=8apNagjk*r^}kcr%hS6Yc5Ftf(w2hPE9hJoUdMysxkBIc43q4UoQnE
z7XQ{#&CF|Bs&aPimMKdrw>eJU<9G4STmSybnTsl4IRu;d>t%F3d&%y5(Z+G1=B(ug
zCa#}V+LvX#YgX$v{q7h%>H4|s_eRTA)-$i%dwR|!6LZVpNzcMEy)NA;@b#Rv`;6wv
z?AP1M%Yr9eznS~~%`%nszSr%JPMWl*Ecv&Hx7R1DCAXGG1WmfmmoY!d(=#r5du@@5
zYUSI8f5QA#bMJmTIdRGp;bp&DnB#VDtbL)TS}7a!mf1nG^BjA#ijh*M>)d9yMduuq
zH~#d2vI3tknE2zGK<W{}ZY%HpBhy+_rU-T!vG*K3`p3}(G~bx(eA{G8sdKdHmQ-hH
zsi^xGcC}qAn$mMKX`^VEQCRoUFpbkTMq<$i6+a)3$e9}atasy$RV+sFJx8at&N|z2
zMlg9}s^U!PV@ao-jP7zB-IuC3^VLxh=PXD?O4n_R1-iR6btAV|CGD*J^?PsaujsPC
zTf5e6H7SW*o%v$dwaXVu*RELjIx5)t`qou#>#}2cW3Qi*`o;Zw?~k+1Z>DegD*nxT
z_wTUvW=1Jz_p6rY?#w^^U-`So28XStom+c0-!|G9rYr1!^;7qnwf|1MW}W{2`DgiV
z`%g^IKUe)u{=IFEy|7i>|9=*>cmD5seZ0##(X{^d6}Q*<KhFke@NT?vJV@NT`)Bd@
zW9Rli{l03VQHz(nR_qEF%K&Q@uPMx1off!Q21pBhexd(rhjz%Lbtm*cbEv-S`qS6v
z(52+G)Id7WWrawZ@|Lze8LC`qFDrL$=xoVV*~8k@qp{<HtCKvZvx*Drl#im~izc0p
z=;IDNnR>^ARWi3oQEO*U&Q5`;F>V)QI=u9h%k*4S<K4i>RW%-hroQasc-j5(^rwZt
zU-fTNs~6?^_H$~&{CLi5pQa}0!l~4f7|y4~AD^tRdvk6%^ZE~;u5~OZ^n72$uljcB
z>B%21-@SGG_^0mu*X-=3ZSC)Wx<Jua|IUJ-s#0GK-p`qwmG_eO@0QWu{e5#y!!90y
zDW{Jg*RSUZ6|g)1_g&%dikkX6ebW#BtFxIi?|1(3zw?jvCa=0Z=SAHg_c_xKsJ>J+
z51Hg<`=PL^G+e_pPeP(d`g^ic>rD=4-z5gp3+*qvt2$|(aI2c^ufclLL!XaJWviQ9
z&@`!?6Z|*NmrLIIr^-^!W40>iBC~A4t&v(A%)D8zrL8=0OGh+f_EM(o%^?Z7)40~0
zjoNZ+jceZKIWL!(uJ;XBy;1+OYR~=o&u{-L`&V{Hw(on^XFHF8Z4%8aPoHv3O8Dos
zH~HyNuGXn{k3V>(FMQ*HB4=AT=dW<iUE!Q!`a&lX*3Eq|RXl~^rr(Bn{4LX2roX=N
zEWTdm{eHdA3-+%ts<)HVdva{|W81r>YgS!VT%d3;$?nM^;VI4zG5YNdJJxY4^TvvY
zN$t`*WAf!GcdWR0+TV(begAj8mE(_OUh)6c@f*5x`5x!&e^t)^K7Q%$=mlR((`x_M
zRmb_94%2SGyn4kdv;WO3sh{mVKCCJ`S+Ha7gV49D_%v8Kt+F@%&MmjNW6m>e`|1=8
zv!WGyJX77C`WhK)y_cR9Sjl**C+^nssr_xAil+Qr_Ec2MSWCZUrl4j{WwftmPNk<$
z;?uY0tzXqTj`zs*+55GtE;W0ge(L>#?6|&9=k{GM*E$;XA-qyhZPE`9S5><p&-=<9
zOAVwi?(l_^3r(M-Iy#!UaBZ3xH&4XN(d<(6^;ZksXL_8fG7?{8xpIv{@xi|JT8guJ
z!*@$4_2ho~SM{^#*RQ|(s^_gMJ7?H+KmW13>~kY7+xfqb-$-~b_t?j&&gbdU#aoUj
znOe91YyJ1?z4$U_gMPNnDQ~}RoZK0Bb$-W$G}q~`{V(TvmDa>u+h*5)^0?Tmeg6+m
z`o?oh%*y%pMb#Zu$pxn$u<bs7W!4&txFVZ^JH>0df6Bf7wdZjDlswI=vaIf<-w$3C
zjji>4zqWgdUdXQiNvBlLsb5xY@_1+|(E40qFSA+DA{iGmkNls#Q#9Bo^13{<^w3||
zCo+#Yu!0Z7$)EnzE2Vi)g`h?tpOW;GqcV%0h%Rt=__w7lyWzX%ubamACNI@rbX4g5
zx)3g_or-_7r%KfyHNJmZdTr&i&_^@FHP5)+a9(ac*ZA=Bg@Fq`ED&gAZ`H8TaOo@(
zXifdq;<e<1%!%FlKZ`GU?^jmcCw;zA!{++NwVRunTAwSlgNm4roC(UN0U$?$9o%Ie
zRKfS@SIUA9UQ_l3i~k8snYU+?l*XQ-m(5Z^Q$9{R#Czq2?3sy2msrSrc1u}MFx`Bc
zO|fp*5`*f%=;?8}Qr?YcKWnH=I+Wv*TTwSVOT$&HXRk!1U4AUP*Pl5G#f98gZ(Qn2
zpZ#<7!i6FgTJ!jyukUONn$TNuY+cZV-aCe_Akwr@bBo)%IZB#m+%kH1oXyEOe`K!1
zy&aq)|I90Is|7UG9N+7E?>wi-yq$YyJ5RmfTeyAN_i$!aldNE_J@cxa)t(C0sj${h
zeJ(UnWQX$kC?(AkZu8^|J>SHw7q@#qefgO%!JDcst{Ylr%!qaJS+l2Rx54i7lV{w0
z?zv`<^d5uV`BNe)SC%C{o%*_UV$qi+GMmJX@=O!ownOAtLCpu9`cmyFhjLu5+MHj(
z)EYj0&oOb0J$mWR0jgQXn<SZ3PdQ$>k}6&Bxn-(i-1!jA4?*+diZx5B=bdm5I(bZ{
z?D=LLi&stQDpRGcR;C>Ge7a%D<ZBzZbS}-++1onlO}Sgp$8cTScfWr>6yKg0r`@IU
zF7>W{hR2^atwR0pA1$0z<=VAcZ+1KiSgIghD85uEb9(iqd*7Dqed}3$d-At!>Th%X
zZ{2R(vYq+leTQGYjbEf~J+DtLVb*-_aEkl6kdX3IS&z!p+k7`CO$qmtn^Z7;mcsLo
z%%0o)lvWx)U-2z|UtP`L3b}R3Rp)*;xPRA_UiR|4k>;I<AWep+-yZYrRJt_f+iu~^
zLk%jYUKl-C-LRf{ALkFV2aYS3o?X4izUb%opCvzwt=3!*@Sbn6QvUbxzuyXN;_lo}
zO||-#yj@^Y)!)iJ{^}gFcl}-A@oNdMQsyllFWm{wdQ(lN^Es~C^2{(~@dd-lNz?v*
z{j?|ke9;^I|C@g&N9L9}ZhC+I_3w8@KPs(k_MhbJJT2dRH)xemvQzd?t%q@Z2YQxm
zYZp{J`}OhTs*6*4lVtu^omzMI;m6mT@}Io9?XTJK>}^4n$vmz9GQlT)diL~dU9J6p
zZ^!Y!KlZ%$6IZlZbo|(vYpyRRPq=a3=;iS{8@RmLJC+o){P$AVad<Pm@6+-7T9e9j
zC*0WYwOi36r|y!$1)($kQePAgT4!%%NP0gft;6HZ+{-lroqLP_{aC6v<GINsr>62_
zHqZM-LRECnD{d_J<F5C3GxziKsKy)VOH~b2zC~t=ig4bO^qzPvf8q`6=kGr;Z7e?*
zf6bKT^Bl2b6CcbqJfAsrxmso^JLfg-GsRJ>96{zSFBMm*NIz4Y_XK3jQ#Ft=jO?8E
zBCGi=YzqENjNVaKZ*A`{f4s2bPqO(p`T0IK>dg`>3mg3_tYVIDDcZF+PcFE^{Qs-E
zKkX&I-lZIv^5oB+-@AA5Ssq{Fsu$YF?V>mJ^_}9&(TneNDHW}}v$tq+g!27qKkHQA
zhA12~<4D%f-Ku<0jQzF$El!6`M=Wm2zW@F@v@iYL`tQGg?EhU@Z}Zne?w`aOYwtt)
zk0<<#S>9sE=Uut`-u&gKuN!h5Ix_wB(fgL^`{(YsBjd(rbU~8c@BMvw`Q68Vq`$l_
zZSm~n%2m#*DkmiAnyT)8w%cJx`p?Hd4$cn>ZNK~X%hRg=J9nSXcbirw5v*PvXYcp>
z@%7&yKVG=cy5Gn8+Tr)dLoV2}Ssz*Swvq3K-SxbL<PP7eTRkq9elO0mU#=>pH{t$t
z)y6+cx|~nUb37y54he83mhJY4U}-vIyi-7QL-#4g{STizO^LO<dydswTd!%Zyx6-i
z!FTMI%I!XVCqlPAEVJ8F|F`f?wEF#L!nNVn74oewUG~omI>m24ufF!pyLf+b>ziw)
zZer*@bx7u-ck86Qu32q=Uj(pz`|T=v<GkBs0am%+nOQ<g{+s6SS5xG&iM+B(EAbzf
zy1?z=C0kQfyf5#48d}?)vwuc8kBpQ}(e~qc=K52tpXBv_wsbA{U6OEGaYwDyf~ON=
zmufBB)@{&w+jX|q5vDxxRxNq<J9<8%dE#@q(ibZ2x0&$m@ZUxboyCV1f0?^J!|I-~
zV5{;p8CwSfqr#FY&g@B7b#3o0U+VrdvPfm8Y>U#)+IFR#ce|8!+V&~!oI6oz=iezx
zJ6mrk9d(^Hf0lbt4)0Ib7?q>fCYTvaO3L=ImhjyBKK5SDy8l*l_s1VIJoR)|oNRRK
zlVGvA^5=g)yq@5<z~A4)+AP+&`|Peh<Gp>cJ3wgu)~?tcFch(O^%2jF+)u^7PZWuE
zwz}!jwRU64Z?W%(+j@M=^5j!e^7f~s<i)3^cpqXr<WZAnb?AgfrthhZYEL637p?KM
zytYIpJBaV+%9i9MGM^@Mq~xpUqaz*tBg>+bF6(Z5Ic-X^_o<DlPj5^r%JH<^wnQd6
zs83h(__4ZtoByv)Dq62OY0qBF@y|fE-1x-$rsr>OS4qrg`}nEP$UoKVh=#8=do;(^
z{nj&A{Jne9<?VYvwJiIm^Y|B8AMx7yI-zBTc!0pc3r4yNuN>~T*~F5>ZdMt?d4w<L
z(nSyNf}a|)EiO&v#}4i(-9PI=opp@&$+VDD_KS_2=1r7!_qRW^Svtu6+xfr!e~-Tl
z<Ga1UsKu+s-1_?JsjTa+*}UI<I(hqa`E$1Qckg8Rs-EV!_V<=l$@lE+3)A(#JZ!zU
z|H5wZU2kpH_SqlXS9|BX{k!A!Z@$$}>b(^>yKY6fp}qT-tm8-joOb>leRSn9-YMUv
zT)O5OwdmC8nYZRYPtB;GH$CyE<0iMfd;cA`q}1<9D>$m~_jBXHd5+f8PYJA=^LJzT
zw*N7r*A^ztK3!9FRWU>2@4SkCADf*o)cm?u@k^2MoZEY;M+L|Cyz1qUn{@rWprhm`
zFB_%u>TouBrOSr(acrB8F+`qRYo&Ce>-~+-m1XS@zWub|Qrb~#b?=eD9lQ7G(NBur
z^G81^e!;i%_U=2sgQb2x*z8=^r?~&$-%a+he&_!M?sY!tHP_>rTb;J%ey$UWC#vpU
zJmaDL>aSWl<LkoMSN03fJ>47WQt*Gz>DPJ3ZaB=4?ND>8D*At}E&JrVo&)Zc>tzK+
zwBFxRR`QOGDtz<8TwbF5gzaHTJ$auMfp+nY9RCdFZ*Xv#cEx0Wima_E1Eacj`l;As
zN4D9#{MNrwy58oP`u>wbf4ua+oPVNjF2vRmRLSGzW~#w!m^~$ILiQxS<5yK=n^t>T
zRRm9-s_gB~=3eD)<-Xz1?fXVY9j4T2CIm<^N=(sebX+MUF-0a-AgboI?!M6OeAdwA
zapJ!MoM3CjpH_M_Yc9w%U^U=f#*ioBb6BMza|TPn$p;4#&L<pKXgfNazuR11EZ<J&
zUQNWFpBpNI@6Wh!XGhn@Leb>MTE~us_VlinkPbIATbGnGhxOV$rtJL2t=kXWicWZ|
zyWyK?@>}g=x59h2=67A&rx~?-S;pI0i?(k5aVxS$SInIM_OJHbyN9>EON{=$VQuw|
zYdgzd-`QRI?ylAMyt&oe&+V0df3LUv{juHUkMHjO`0j4S_q;vT=J&wD+kYI3tWg#_
zzAQ`T{lsTQOP1`E(ah9y_nLKj;v@+7rBnQtq|Ei-(ko7XY<p~aT)S_&48P2GnQ|F@
zkDJvWg%_R)-+M*CX(oI1tAq2Z3Oqk=SW+2r$wntrZkh@IX$5|ru4yJv#3tv;+`p*N
z{o;Ye84m(YK4?f)i16BUL4~Ud7RbpQX?}N%J8wDb_RCGTHF@_*q?LIc)^D3R_Yy--
zV%l;Omg3awh7t?U_*ro&PjTJVcbFq};yPtRr^qF*BYFaYwmorh^1M0e_k_N+%%>y$
zi?3at^K}bfnawsUxoFw`wSC9Kjwi2vyz%PC8?P#IN+H6%Yx|CcxhJn)yz%PA8?Q2Q
zO0P7_eob(<y|J_KLXb6+i^Yq`Eb#^oc3bb<KY|Yzq^~?{rzG=5XY0Xu=_ygw$G5fQ
zazqPnQ_AtY;Sk{;;XcE7=eFk^bHul(x<5I!LFs(V#PoH4jKlxU)c${F>i0jVs_UNG
z?*B9|zViI~J<r4MefECzQ}yGYNfmXTHv3iP#S5L+?|eS}$Y<|It*RfJ`i|;cVw0G-
zxzlX#w|^_|mY%t(vUNwF<?JQft{J9JzH-XyS<a+e;m7CrZb@~0q7-~P#6{0&t0|Zn
z=yHzxR+CcE&g(lxl)k&nbyY0#m-!=H`Y9!MMw(xr<^JWClatTA&)oK8#^>r;>Xy&`
z%uDm=vpoIs4#&jLyU%qASnhva!!hx5Q(wcGHIdQs3VMs{mMWOqcEq^-^>WNA7uebJ
zYiiS#7YaoWABA&mIm)=HH0AM$TN6Q<=)%5RSD78VC->Ruo|_Sp{e8>U>RY#LbKlO}
zR`xHp^8dO$_2KvSYrnrg_51r%zssNg-TmpGudTJxm-XLXJ6za*Yc;du|E&3ZEV}D#
ztpvjVUOu!edEHA7@7aAjmnrM!-7>g-)z{_4#-;1>m7Mx@|8hMKO6C=;%2|1_jl*`^
zm5R-(UX0IYWFH7VVfjqzgGfoArT&r)#b+uN*EU-{`!p%cNw&DsGkam*&OJ-61{{xZ
zS@Ja?J1thg^@)<xcL{-=9T#HRT=bMm<}*(0?&$63xSO>>HEME4gs}daPVs9;xU;`9
zz5A5$`Ay>agyRnmo!7WLrRRd~QfaU2pmn)HRspwyZw2o9z<MonR(mv?InO#tmA;FN
zi`$PxTV;HiP~jb2!GH4d*16v&*u+Lx^S`|8xn@bD$U>E{O9fp4LfV-Dt{R<FO|~da
zIpQUiAn29k+8!x4DdOV6X&?ihd@6V;zV=thR*AUcJ&!DQd_PclzVNtVXUDvCIp0fC
zcVG8%)9CD&_pab;N&D*M)-kqsA5KaN*rnj=_t~UvyNogaGEV8kDrP3~dgWWLEsYU4
zmE)5gdx~k{nPXe7Ej_6WreBI&at?Z3BK1;nWvSKFFB7&*w3-VdZL^hMX6<s3=$_U1
zOMC7?#VHkPbB}<@<6x5gz@e8D8TdQXza%uYA2F`7IpF+A(pKex;>R92(GL@@EH+VB
zo$^DqcN(YaJul@nr_Sw{R?0Ye%3Zn%COKuDD&;(m?77%cxmfVCo3b1K`AQEZxBd$t
zlK&Ej?7v*;q4ccRwP)Vy!$o;3w{N==9sO18UfA=Uaze9T#9Vhy5}y0M$|Gk{aU6fA
zZ^^yaiW@y={TA}dne=Rb%OnoJ;shs8mgSWO3ssuJO+ctr^O*A^-Pj2SXD`z<T&NPA
z8ResKa++VSt;)?yFE_TUbd+?xQRGybt5)sN<gxse+$06B%c)MD0!uZG7pinz+A=dh
z$R+#LTGOxBW>sacy?1EdK5tvD!zncyGkW-0CkyUNFghge<MyNJBuBh~<U?+?b+1f*
zaj33)W%3V9GI4^~Y@Dj=CM5-&ikbRoLgKT7BAq;&KV_ObYn*m}l_8P#(<7Gmu+F4Q
z36m1VJTom$8gwr)S&%ZrbrPQ@XX?Zy&0bD3mzG9&YILi}MhKl!@@${hV#J@fgFSfu
z5rfUqucqD+-Wshm<z{c0&)jvYf~VdrTkY9mwEJb1(84o$yB0m^nlo*RMB2ppVpBon
zG%zVPO(IRs$J?7{bI`K7&ctbxuNWJiDVp?4tu0dLWy6#JF>fms)hS0O@p*BkZd`IW
z$SG21ZKlr46IVnnd3`0*Hcnw%&a*kf>u_+}O!mtRqJ`)A*Kn$>d-bw$>pZ!L*Vw4Z
zNdaClS3f^q)6}_|ML3*OZJm%$jOvUXUIxXY_UE)_R8EjjfBV&9wfCH`8xm=fp4mA(
zn|qdonoSq1>e{<}`HgBcs%q=<<>5;urfdrETE0ReZQ+!2L563XH0Q2Jno(&mcXiSX
zpJ`k6ReC&&in?v{MnZ*cQlp09goGr8gGU^iIvZJpnK{+ignW2ZX0+@`5PH<)*-^gh
zmx~7HN5AXyIaC$zz2p*EsB*+QwpnRPtA*{AdzYQx+M-i>y^>4Myeg5Kze;Z5lAM)~
z1iem8TO|u(UJ~>=b?suP_}e<$J4QPa3(h{^;uBu7O!DHj#l7y?{U_c|m*bWDDpx9}
z`(Tb!UXAQ+p>2vezBjrys3tNe+9t{-a_h{pKXmK2@|wL6%C>M5gt6EDkXGf_6P1d&
zmX^=<OfZ`%TO8+c`!uNd>rFjANBq(>&?1e05w-98uYUg*QM<nSM8_QQKO67elYjm8
z@5Z>3tKH9W+kSNN-*Z7&|H}~&Q_9-v*`5h*y&y9_F0vF{5z2Vt+QeSvZ1=D}9a%}4
zm$ENq9B-dqz>urYg9~LlEMF|)62WXGyI<ju)P<KPSOu>&_#M~j*udryXX~VY;soPf
z1s<H}!s|V}f>jHzZ<JE{;<SFTiOY-DxZ~*^7q};d_Zxg)>Fw6C(_hv|=}hgbKEcFy
z!Rb95#q)P1xy<+-C*CQT_^vuu&aGwV`_e=S!Dsuft0saangw@CO_<gwTWqS3%Vzm3
z%i$JV(V43aTNt0rSk0LE`nch9)_SS!-<KCwW202p%USAAxaTnAGt*1n7EET-d)})1
z(qHzOzo^Z>@cZ6^?|U8JS2y4L&NeB$e~$R2Wz&++ac5eeHhkW?W#ZErpPg<UDmqiC
zm>XyLY|n%GikrXX<G%fCpT771!_@bv-1WD0I_8L9V(SJOkbM+nz{*D;1N;g>1}w3F
z8DRJQU;FvJ{~s2=um5r9w>*ry`N>t~=dD{Vz5(e;Dg)`!0Hu^|lVpnHJZ5|JS?d3|
z|Mttja_hbS@A|#3-+uWw3RfADx&**_+Q538Kq=#`!tpucVMn;N-?x6zVs?4a`b7v#
z{$c{LAA!kJOfD~2D>K=u93^m|8K0ZxtCrMFyYMs8>3KTmTx1S7<W6L@8a!v+6s35k
zvT;oqM{%6OYSBY;#391_>u&x0dimV?`DJx~w|suRd~Uq`+dscDpI`R}N7s^*vp|8i
z>)DLYPO~<EJg_VW<aE#3$;p;&N4`C`cUQ67kkFmboY0%ln$Vfxa6$QnfX=h6%B(Bj
z!df<0|DV5i`>Deu>BwEt7loRZxVIcn_FVN&Y?qr*$koNw^SAtuy<=kheZg-_d1;A+
zU*{Nf9rVAZYBi@F_mJwf&r2~j>M2n^+fiYDe5SR)`)3lx?2qos#J_XcGduaTY)5%<
z%ueRFy-(v@Z%Lm%*tNxcTDNFszK*)~rF#*1VJ69sU5w5AjFKO#V54rkC<~o8t>c(j
zv2LSt$C2V*X(yF=*=GclKE^z573iI06|~A@@e;ntT9-6dCNJqS@p{FuQmJ>6mDQ>f
z$8t_QZN52!cV~@(^k&8B{AtN%Pd**YInx$nH)G|4oi(3h_EqZLx0#+l@3i^(r?a1b
zI$QiRW3_TH<0|fhKCCPBSd2v%>=HN=((ua304lh?+zcCXi<X_q74V)XP0L}g@r;!W
zU(Y2hW4dD93>B;>U08_)DW02bWh9#L|9gew<rym(e(yhic;+!5)*JtA@0~VD(>m}k
z-*)oK6Coe|-hcLc|Jm>Lv)}(WyZ=9T-+$@c(iAO+(uWq+Ss>RPDtvNf#!7~(tqIGR
zLd2V9a>c#R!G?OaSs95gxF>lgq@gO^AeiyhT#z@**^EJgK5r#1=r?CZH=!UGp7+xa
z|9<+R&T>yYAA$+;ulmAEf<DShGu&JZf*G}BK}MB;LhO>@nUD`&$5Bw8{hr(W@4p@1
z{r3Yp^ToG=J}GeG3FH5J4fkdD8Od2r);3DE)s~oN&2!$M?YZLN%~Ky*p8aqpJ*6(?
zo`R^(ltclZwAjVhUK~5)8doNZ743`)U$S=nMvsZx_PHs!-uflgE|U2=TO?@7+VzGW
z7oAV>TQYIp?A_D5r^lu@$E|H*-V({ce*X0j_`v9x#G4aN`?L&><uI(!>M@kASS6Hv
zhHc)eV-lO!g^5ZgKU=ouVbuH0_3K{c9$Qlxy4N`EncV?h{(}35t<QZp9&^~pec77#
zX}tG8OYJi@tNEYvL+|#7&y!}db6(V&5II5MEz?Y9&Wm~sk^*zuIn(^wJ{uhLF|ptm
zKGVc-?l8;d1x+A<SbKisKQ?DRd^R|I{xA<R#|50^HgctDIULoRv64Y__1ayXhJSk#
zen}tvV%~Hyf5oMPAukhFl^BFt&CuHWQ)NFgs<gEe*LT_@p1n9@;}hdd-Mu1_2|w?|
zzP5GEN?5v}>q_K=hP-+6TO|6ne3eddiL;iEvz8CA=3n+v(&w@9jAQ8rz2_68<{vb%
zYtF1;yZnP^S)LR`5eC^0PIN({XF?jhLP04d6qHgzSdB#&1c{z`)9|at;5YAz^X!}N
zH~jkZ0XwSMa&=<midUb%?|&v8e|Y=xw){oB_p_w;DVo>Z&inD~-U(fYZ0)W0Lz=AW
z4T5FA@is*+Ib`Qz(EX?U+k`oSekQ_`JohP<I4%znFUcx!T+W7tnxE|a-$l?NX~FCo
zzbTXFoP4X2USxgJ@}Xam+IA3kX{+0n-BUv~gQB&U@A?-!Rg7bz+cg_?7pX|&Wdfzi
z+X6eRu0=%z?-eM`Y3mRu&0(7jV!gE$)3GRH&1cnT^=F;kv~Yp;g>%8lO-iLd{~UOv
z^6JyiqnA9dJpJ6gbn=SR&&9pf1E;6gskkKX+@$O(b$Y6_<|V@gM#`>M^Frd5cpP7L
zs!L?$agef$+pb%=O*Gr`tkm_^g;`fcGo7>j_M2wcy)6;q?ftp+vZsm3v+I897cb0+
zx1Q_bth~HPQA%oh=bxr4drfmSgZi(ltnjdn3tpx4v7+Xq+bvNK48(Cub)tZWQsQYx
zzYdo}X5np<Jydcw&ob^jrwSo0Z*WfZ=+OVT;<&!*6Yik?aL%9K)}PmJ@maL?jIN~O
zty4eG&YgUvu16_1_3bf@OFLHVm2j03-@1H($Kyq18X}o7XY$|qEGjhIztgz$S9x7=
zy3p4jf1aIFdG+y*n9w4*^^4xU)jzxX&g2!6pS8ZLWF6ac%C>Ws;rb%~MQJIeVI8vy
zHNV77VDr1WUL^BD$XBn4Y<^eQftXLdCRWwRDb97Vwd<eYw@7#Yo3}9&w*A?(z1Z>A
zr_=Y&v1Xore(#*htxr3*8+RT%b?5d>m*muUZ+#YRH2Pkqb}?e*UU63`?X8~|dDzDN
z*6X_Rc<XBrvs&-^G5%;z9vHgvK;I>0)x!9W6Coc}T~b!P5K_urcSGpYj`R<bk>wk-
zCrou|yDEFaOR4!4Ut80<1)3MmTxdIf>z?4E$_2drTW71deBJ(VquKhF47nGFlNHV~
z*H-W>sa(L{-)O@B<)Pt(mKue#dul6W%xw6i<=T1sljm)i?_z7W{Vjh{3~!jqG8yq^
z(N4|dTOUNvxUIAZ8&aB;;nJj@ci#I0%cKXKDhGu<n$#z-_$z$fp|8ZW^7#FCAGcq<
z_~_csZTD73HE#JDr^vlz?f0x`h1|X2@0c=Q+so-kYKbiRn6!0k9xBRMB>45mJGuLJ
zAMdWNDer%8_V`MozM>V=ty4<p_+E0n(C&7yV)}JTX+mGazD*W>+M1UHFNBL77hE~p
zcH1TiY^Xy`DzN{$)c<eOi_*P2%nZM8ZOIURuI`|IQSI5hX#%-PZ!<J6Em-ka$5l#X
z>+Gc-&5OQm63Gm>;x|o+x9cb81y2)=XX*~>f&J(G8~hf@&2QK9f3&*e@r+eUTaSbb
zmb!&@L?x~6uv(zyqPsEFW$q)PMH`b>cUT=NH9j0J*l1E#BP!^Td>qcSja$v(`s(9P
zu>+oa{*}!M3SH9s{a3U??w;%SnKB>m{U_!jo&R-vA~uw<NRW4WR1+wRDfvvS+A}S&
zYun?iw~v2)dg0B<miayLJ@<RckIldTmHmOdl~F$TJ@FcyAKM<BXRX)$QGM_`>;DPT
z&yqhkNk2YUVc-^8{7R)~)v*+wZ&$Xwt+!RLIF#YPjHl(|yq|^M2Q&PanYI{u83!u$
z1kTziUfgr(%!?VxQ_|+0kXdZ9+5g#!d)qoL<t}{nXHQ!~h-q;Cp^WTxk7g|9FUmb3
zQ#(Q1@7asysN+?ipD&MOS<GL=>novb9sab%a8vPIe+gx4ZT1$!O`9bS$|zfV%bW?k
zsx!yHJv4jc^Rwsg#vjTE&C@V;UtKhNmSxn`+4s*}Ty-ZXy>H{Ms3Whk1y_G=4rwwB
z`)tFQ*mKopp@T%S>3JK0V-aVAMOp%{>O3}Z4_$5X<bRFWACBb9n_E02X3M#HW_V50
zpP77lv&JbIW+U&lXABiKH=LGX{<M+f#Bn8s=f{;<k{!~%&N5(2xptUy@v6u~^`2Gd
z_#ef5{1bC<uGtdVmkzrWYn5shYn4;AJyvP05msBdD#Cxpl2a>Oe1cMal+;!lDG5%V
z`ZYr`anq)y9W5tMi5WTFKXFvN_|4><OOpF)jl{doTx9*c`$^M9)}8ZId-P6-8YK$t
zii=S2aoQepRQT9LGj8THg-gyBF&E2ZZtgfJ6MFU%M{!7LLCkiIvj^hOEAbVZn0q^)
zxp+s%!qB~VbI(zkv$@?0dEE;0=I09aIL<M(IV5o;QdFhKaZaflh`CdxXH}Zv6w6h&
z#8-WjnZDk1MGU9b#90yR^rm|F>V&WH`m=qB+O*fHs{Oy+s`9e#99;Kc?SrKcRwe`+
zh?KDUHio?47G<S1=~b-4%6qIJDziAO@}0x18KH}<CoIaCy0FEAAtlqhlf_}$=_wuz
zDV%<tEC((#J;-F7AT3d@z&K&*aq9^TC%m4?dN4e>c2u^beDkeB_D6TM=HKa<8-1_n
zsg{fNoVVq<+wO0@S$93{ckFB!CtT*>6@zseflCr&3KzY2nNe&aQ|f+pVpal@n(Jos
zB`rO}mhfaA&Yrr`Lwa8J_22xrmNuQ$6U`}GnXuWLb#|=QjW<CD)24Ho?G6#}HCePU
zh?T=tN-Of3!IFiOjtV)y`lxeIBB+1=oyYp0E9O2EP`bBfvy9V`*`<@5J1RDxE998i
zsOMjJr;(?mvh6Wn%7W9vGUW=)vGeZlV3vIG>B}+Mj_0r5v<Y-xwc4rZvgh@4vwxF+
zDLm``x%-S^{G9n7;ypi;cC{Hi>wcMaXomi>k`}}GGXV-cKa-A$6`v6|j+^;dOW`*|
zaSUt9{-nNDbz-aPbly$ySbs9{3*QpyrwUc<mwJmh_A<Y8vuwE6Sh85gZoTi?i@_Zw
zi>0hvJ<L~44DR3wGR@<f=(x(wSJB8L)d|!m@<|8viB6u8^~f&%?a;ZUZ2Pr$*KXN(
zRI*>Xb;ookb7t<lLw6dFIK6vyr}4<4bsHz__PoCB`Yp$fBTj2&T0C^F>~id=*uF_j
z@zLE=ku4qN#>pEUGdHFNC)*}UnQAj|T<(Zj=<tKF^*j&P0_#iWm&7lrU*dh~aBHI3
z5|hcH5`xQqE@tX7(2P*lPW<IwbjIB$ae^^d5?Aw_^#Z{XH5NS2+~>ZZawwth%1=K{
zRF0hl+nftJm*$_DCNR0lG*v+D`SmA`Gv>^{%n?vj=cnOt@~7oi2G!@!t0Xln|F@od
ze%X3!otn?N^`$0>uk5zYQ}emI{MyyF>^=pb{~l+>S~PjG?kRw5=Lq0xK69%fNFwDQ
z+mu5J#+D02*z$Rp@8zw3(`V4?__VS`lSk{ZvQBVqZY<}-&b?-b*DWbK+u~sq^t-@G
zMRMg_Tg6L<ZfT1s<<><QecF(cQg`wRx60Mo@kT$HJik7h?z7J!Xzx$e$@&5RZ~a}9
z_I>lLyXU)j`IP&sIUnDM4c}s2WnE=iWpzu2*Zpo_v5Mi<H+5l~e@C8umu|XyKA5vT
z^p@+g&!3I%zpyxHZsqr(w@<ic@naS!H^KgQEXSM&y9F!`^4ova{&AxI{ipl+Ki^OP
z^F8=q_2mC@pYF&0+kLYB@{O?5@2c%5i2D7L*PnKc@1E=()f2XkjOCm~(*qC0Hr?4S
zQZRkNN)}($6T$Dd|KI05A>B3af9-Sy|9N-*{nm5jV+RX5AAGytv+3OKX>-3@JWhVo
zvohdHu+FoP8JvEta~_2J;Ph)<^dKaH%dd6CgOD9uey!^syf{5&j)Cvhx%}Lvc2QS;
zDg-@G_MXdcS!!o_@#h@Z=fRS5&li-~eZ2U%hGU08|73&X7b0ZBIr|oFwBQq#aaR7m
zWoB}Q&bKqo$r(@9Dj$-N+H?2*&jN?%i&^IK%UyYXgEu|g)ra>?U)Kz&8FxhtO%1Fy
zlel)jS=5oaaDuFehOWq_YNn;FPGx=zwgjA5$2moei&vbhd*Yn)*2fZ#O8ib;W^ra?
zeA=PIGQU%o*@KuyM?g%sGZ!;!YBqkhymM}Onf3gSZ)=oxr0coPIJEEc!h;*w3+7gD
zY&dyX-$C=uX9=DFuHQBS%u^28^&ewcy5YRDk%Q;KqoRwBHg30(Z=WG@=5l$5=Rup>
z?K4DVYzlb$E?XUUnDK9-)%gUzGse6QnmnI!m;<<)Z5}gBIi$dUf?=saMUun^-9<;|
zF1DKCHsjEOz7>MY3|1V@YSH9*bweuA$VixB!IOV;{xweeZG4;E>)rVnd5v?@H+g41
z-m}qSg_O+m-Zx!m3h$jbcLK!hJEM80Dvn#t=i#-VJVob)Pd|J3XL)0g`Pq62jsLqv
z)Mx%?UTSgjjJ(EC&iUNux5yj*>fN3B>`%wa*=fi6XY9&+_PZl<!P=brIp<U4U+8^R
zt!7zz(^>PZTyW;M2VR@qBWKy(x%5W%g$3Kkf-5qm?uRcvd-3wc(-&_u%D)I!znQsc
z*G>(Wy$18W<{#M;@cTg574EIu*Lds=_<a?`EM4ZYH{f>RqF0AKj4!oDoZUOE(&@?e
zU01(rbBZeM56xUF(D~78N^8fisa4z)&A$Ki?DOV!z3Z?jPa)9!!j5pI;;W_XzK-Du
z|Bo$uu=2su2Wu0;7X&^GEx!G8#nUYUvb{Oem)`XA`JAxstgGvX?IusMvx6r}r7yqu
z_}?l)({p~N=GMAb-jtMV7QS-UFVft)^X{|h=6bqU9G98x%3m=l)HQ4WT=DhXU!1Pg
zRhw+Tx!d~ey=R$a^LE~x`8@6UqT7Fb9xrXps@IJbd8rT`eZFhU!_UcYC*R<|Hf@vA
z--EZ7g)LcJm0PQQNnm}kVOPkb?cO=ZcO|_rUnF!}xaRD<m)V~`%c-v{mgu^|zEyP%
z$3NY#j=3J%LGoABwr8xeI}ux~_=?$j;=MOlsy0u4d)9BixwYc`XY5XVm-gnDy`Fvd
zSK9V{H`ih^w*)Nrct5+br0dH*NsmJ+6Sm!%SMmBAPt5k^k9OIrUw?aYXWscsrPaIE
zoqxZ=KS^#y>Q1Gv4!U1OSF*3FS>R#irSMXt(d5~lY_?1BU)D)_)L-14rtrhHx9Ih(
zk0Q$iuin^|wtd~rwb^B_XWiYEww+t=u38I+^Va__zuDjYxBPa#q=&`Hj$8SX9@}}A
zM6+GeWqvuWvE;PFu2hAKPQ4$eAOCSWc~6i#C^q!8t3#F)zWkZ2aCPZUy|bkok55WA
zKiBapDgVpWV>1P=9=*rB#&&nKaIyd42~`T^UOWf)FO{p<!Cus><YJr9-j=mFqND17
z+=O@EPLynKU$NUZ?Cx9L0~rg=ZrwYz+H0o3U&HF@>$^&}%dak)r^Nd~>!$W4hVz#e
zD^4}%p4Ap|@8KuD>E`7QEi3kfrLJ20{I-2;s<!K^R;^aeApMX^--%TVy~?_-aNpf~
z;V$<#&J6`q1$m3Aqc^5M{roGraARz6RDOkmZ|2^IjV4RCwjJNh;|8M5t1UJ~wr5^T
zoK-p_O?Fvid#9M!3YJL$oJ+bn-WxPtd3-OCY31>~hgpL9t3k?=9CKZ4^KNEr&e?La
z;zo{5j+va9H2>`0_QIB6+jqqU3SW1eKiGKXu^l^mQ2%ck84r-)W=jjJCc&>e&K^Ab
z>_J*_!Dh=HH+Szn+jcnZaN?E#+joZ(6~6A^Z*Sc4a9cqp66#F2*7p05>IAbp;(CoH
zAvF($Je*a<^=@AHw)^?=_s7jOZ-0FL`1lXghTH@54#XX}ci=_2e|_!Enw-Z?e)X{z
zUasf1`djL_e81j{FV|V;{@b-+b9U^7n_Kl>EEb!3=a|$6zB3^jCwpgdsqQiiPCPAm
zNaDuDgMEBuCBjP2-CdWRopVe7#FmqmK@&44Z(gFbdF3<D<h0<kQ;zw}o~7M8)66tl
z$~ZS`p9x#p4m0L0k}G=+H!N<Jm3{Lf!JXYYqvd77aRzI)8-{24RwYSpHVgMXIAiP1
zRb0o`ob~_kSGeXs%YFNU-*GU%>^(2$KSOt(L9|Wcwu(bJA6srd;@o_+=JK<qoNY7a
zTkKbmp1A2+@&A*&Dz_ar5k<_tpR`k9vX1wME}u<0hqO0&s+|7Nl_PZ0X^&FyL(a)3
zgw-ah`zZO(aFJW^g?UO{!zKQJUyNS%4lmg?{xU3;hYEg<yUjZDQskSU6tDE1-V-(F
za@)8rE7AKXGLviT3F9=aD@NyMtPJ3`O<v}t`?Y5#*VY@x%e20Tcu)MdcA~nw$jq?H
zGM9;&ru!F_IWD{UC*~>Z%&^KPmx-C7=^i~Z!%Dl3%*YH)O-x=ED%#dNGb~ifjIFGq
z>SM!~M~p9zGCy0zekPQE#%ei(aNC4+RR>~1Ul$}_+ZWX-z4=>c>-ia5*JsrnTT%P$
zIbYqo2k1m!-M5*G4*Oh8T=v2sxMYT=)fo}lv@Skl*Y=r<*yNMVew}&$IA%iRMTf0y
zt4ssdHfHgLWG{$fzACli8a|=V$`Rrz#ctDtL~qWSc+|z!EIq|CaN=3X$bgU(tq{+R
ztCG}zhq<X|y6u{nm}30N<de}SvrW>5qG^pEn|xX$6ZR+sCyGhvp9q=3dW_phG)?zc
z)1I#vmx@-t-g|1w-^hvE?W#5_<=1{mb-nlN#mS~c%J&219_@3Fn)}Es?eE@mh0>e<
z#i{S?jjUh4`OdL5`>$u_B`37^@0XXTw>S7#m+<HBfgiscKYnL^{GR>Tef}PT5=DRZ
zZsgr`AmP?NbacV)bFsnKVuKBb|H$yXF|cQ6wmx(I-~qnb&&}eGAKQLY_NBzupH^j`
zOW!<uedFx)jkDJ$o5vr|+t=5-Y!=sszP)vg|58GGLs{y*&#pPE?3$I9E2|k~oc-8i
zV&@a?8)wBg2rlc}@^!X;f_eObynPLKYZ!O`WGeg2nqzX+rHM7i;Dwf%D@*gbOAA(;
zK$%IwNBGl%T@1D=pIZNG_rWja%`e}x1%EwWv*A}$$lr<Ta-u7LDWA8|3i|3kuPS88
ztNu!rpG{Y?FNS=LGWZ-N+h)G(6?^d4=9Rw=`m|aJ=AQ{!Vf^uw&)<ZEcHbC~$96O|
z%Fes|)Y8}W!*-udHmg>i@L79!*0D2Jtkz|o@mZ^U2*gy$Iis>V`OvWgeAC~sPTK3R
zN!;Tb)5$o6o2QF=6B62=N*z4FciOb6QFdB33$wM(b&j;ME3yvB*Y?T&+0#6~{KIFy
zx_bxDTmPtJw}1cOITpbe>GKWBW<b%Wuw_cK4L-$zNw*D3(zT!bz+~8my+89Oyyh+1
z>$uMR#8<YR_Y|&6KdEYtK@t44YpT*@ZT%Qcqn)2lftmW+Mms<K+N2b`P|rZxSo9Uw
zX2alxdWImT)MmrbhZfJXeWqIa{nFaps5|49m`^VEvTdxvx0_bx9=x(GA))>26x_tE
z;8!oUD!tV#{Sh_s+mzEEUvpoYcj<3W*!iGmd)EfI@VnKYf77B=b*IB%?}84wGhdpN
zsthi3cfB}xfbTkp^3m5{RIb0s{fL#(-aQ@n_M#v;UsKTpXM-6l8Kj#I`mo**08J)^
zE?AeWVf6l2=B0PbJ$KJnds{ba%Wou($*in<t(TV^JhQuCmdT7talgrI#_tbhUP=&q
zTrg|P;mk`33GL?v3s|%zZagezkvYi4R`z2?u=|F0LO(4pzkBYJyu&m*+xysz$mrQd
z$IjfkHO(;X+O=anhMTu-OPjJYYpZ24&zpj)S@p*xZaiFdRjk)=!{Kx;i*zZTHy>s$
z%9?%b0N;GgReLgd-fUn>pDQ1<<gou-IWQ?3#Ik?I!?@dTyGlN+Ia}s>uj=;f)pMaV
zkK@Gc_r5*TvJH5$c6(9TQ?{->U&GF=JK`{L`#p6%{q*ChLGHJH&3pPLy5Z<+C#f3;
zU%byuV848TZ&{<P53}`*vI_~f9HrkJXT8iP#q(wZD#39!uln=nwD&4!J<N@Ia@^**
z#kt*cD^r$A-)gzU<MEnpZFzhh7QyXoaCG@t>HUiC)hGJa2rmg1%@lvP@8h-F$7_Ed
zi~iob{hf6F#Sq@t2~yV%p4n~6C~<iMUz*kxnS?VTD<Tg_+;~{&;LCFC0H1VPQ=_bz
zWXb*3*Za7y*NU(Etrzn>cE`Kj1%`)aE#1H^enzJ?c1J>*=9@#T#zzyx^=4?Y?Jkfl
zd)U+{yG}wzfrG8=#t{LYHyb(?C2k}NALdUJb~e~>Sgj3|d3j{Jo*g{Hr!kA&*m^<6
zy@eTaXWld{sx;Wl-1Y3>0Y3Tug&A@@Z$5my(f#Z$He}oVtjmYhq75_$lN@mn#++4Y
z@T+>6*p)NQGou2G-^YPae?@6ZR^{0})hNhjR#ukdS(_OvSxrADEMo%c;0iImpQv*W
z4HfI`TUz?r>#xeJUuU(yPL6mLKGoV)On#rm+D&tny7}Yhl)=!oZOuygdv*P?&w~=f
zXTkV6*Xp1mn?WLC%eP)$a3VUt`|_={fRsR9cemz?_cy<2S6*yC!~H+|BKc`64(j|$
z?36lFWvCO_+$d|;d{}7fVIfnA8xM^wAR_7qz}#Xcoxtap<tLjc-#uaQDEY$Wj;%6z
zUhKyG2Yp{AJTu&(Dce(b-G<G4Y4gla1;JJlHy)lk?E5kyp<SEbd}(u|>^hq}Q`U)p
z6uH^)*nH{hKpR)HM?VTzSBd*i?44eBXVtu8yQ5i-ukAbj@g0wzC;Q_4=~)L=UnVRQ
zi<!ii24}vj^W61Y^<_u6`?6{Alc2nJ)0gZ9bF5}%X&nG*VghN>I*`qO(1*3+t>TQ8
z4C`(GB+N_MbN66f!j`y`At`*{m=}KySf-RMAtS+?#@EKY_~4m?1qmiIEG77Q*o@f^
zH(pFgXkX4=kYFNl<Kbi$83|stvL7mp$JaD9%G!DENxV^cc*Ezm#OJ(+`Q}LRg37Gc
z;Kc{e#95e1oq5w5yja$+m(95P;gt-74TnpcgBOEJFJ8Z1HfHM>a|=@`o;M12Yj!n&
z#pmnA8N}{M*!AJ@`-^vFzCNC<KHY!b79XAlvn7A@d6r0DQokgA$^4S+%jZ9SbVqzl
zxFb=1toh@yA18kt{c*M;{SV{q;MxUC6plOiWjS~r{CV`NwJPiL>37~w5t$R;vHy~d
zXma0*X2TZG>sE5kGfLJv8-bWwASSbM%gJv(OpTM)&E#TIjeVYe|J{_5x$`IQ4!%@c
zx9pvt=Rq$)&dE(vHH6eywPRI$xWX=Z%n(^~Wuk%RjH`tUmV{nA(KsnAQj^^)Ds``n
zM&{JeV&ipO&s#iKYt0u{Tf3^mZ^o8YpF|Qbt?CMCsgL*>CNuYJqVf$+z9#8|>IcOS
znjf62;2M95ZA!>$QPzh?@2mA@XFAR>S;xwjcxlHp$rjJYQ8_c-?o?%(Qk9w4!>}}N
z)@@~nmD8W8aR$6vx-%3coI686jWzpri-BguZ1on;gSVU%eYmc<aU}*BY*(0i?Vn}9
zhs?JNnU}_&4vuipjQgY`7SJ`_<`%=0N2>f546L`K<cb-*J_fbRas=<WGLij|h<@pZ
zMuVf?C*5Z}TFRp0!}V+f-xQHEjj|e=7WOiA%a<&W*IK2^=D1BYM4G2-*W!m6#a~LE
zyxsJ<YwP9(FLM6c|9i{7|Icsxe;?le|8aT#`D^hPZvNu7imrDwC`{X5%rUv}n^pUd
z{6i^oV$Ui19J~2Y=1|I<yS~i}s-y!rj=aitP!w3boN;MiSoG?ag-3Psg&14Kw(s$0
z5sA9<?0L@nDZ9@7@p(7*(gSn*{ng7&eq5ETJ!JCZswbGNtUa{EbM7s5pXT_vch!Bk
zp1l=cuq5GLBcm7Bx%0>GYie59d)F^t^5D;%zf;qsc&7Z<TNyjkATen7xnv==t*=AW
zK+H4{vqdd2$lAQTanh}wC%LCwnKxf^e{fKB-M>G6o(FA~FijCzb6Bgz^Ptrhrx_w^
z4r_y$N1P;{wXd0M(c-DRTIE%b)+7d2&($tWA}VW{I0GhZIi>92cuA{|;qQ)vk!58Z
z!8KxYWe<tWS50p>IGTR&rs9gJPt)2Lgo(~>Y>EoaV&lpRT_~xsA~ZE^!Gf@}HV!}E
zH*GR~yP~-0S|P`&XLBA4OgSrgTv228=U(Rkvvle91vyh^H#Thw&0*s@6}D1RLnFEs
zq;u-b#-^yqlroO2)J~8ctROq4O&3k8b&igI%DZ5Jqo{d96I<vl1}>?PL}rbQfK-s6
zn5j$?TclMPhg8bL8;ThdQj^;+ByY-iBXDKaB*TD!)m+CM9M@`zGqY}86~QBNWmS-2
zz=pME&l{RH?KFKZFy(BoW&47hywjE}sl~zP6g6f?7x5kZr6t15n!4JDM`X%cCBuM#
zZC*zl9B*m~FtcvmWb;Pg%Be>ioCCDI5A!*$)#0&b*}6gJ&c=YN5VSdfRpidC!oODw
z|B7xurBKb!bF(_(#64!`Z*mfwz8{#dm%Z(c?T6WhYxXlaAAhmqU)OZ42~Hr!s~!K)
z5SO-xV_ZF}8f*67rTZg_n4j+F-lws)u}R-6bHRh|)^j^FFKo8r6v^qX&hR+6t#3Ay
ztU0Sy9#_d7krz8O1;Qqr3tg__V->!1lEK%Ib)js_=KXpAMhUEi!3w)fgCt&V{%qOu
z(R%kh=NY-1AKyIxI4Alpp9ZUR*^*V23`-LZ_l2<XFA&MGxSdveQ}N8U$k;ND&AHd6
z$u>nrMuOrg_NL;B(9qNc3&O5W0i}gNHZR%bOH}v#xP9fUSL~rHXT9Qc<B#*U%;REa
z%|CKk!{eZ|ths<yo<hkThZj2<FBCE>u36ByX^WZ<bLvXp86q(+Y$FaH<l+k}NZ>!)
zb4>Beg)`|gPW)fZPnqox+3<5|)7q|upiX}d!|ev!#=Q-D8{Zx{z-MhO%kyT#=F103
zU`9_p-E;B2arHyN%?WAxHc4V<-n6=(X_~qBLq{a27Fg|mX3EaI0#_}G8xMCK6kVB+
z(Ege&d`VNIY}vEg&)PxJ({x2k-46@$c=@~QyI-r@5iD@#4|h3N)6DvZevSs8_3vnM
zxV<(0e`s#g+4mwjkvoD?{(Y4Wntgx5tv_v7o|!K(+poCwC-2I$`5vypzO7n8lE$K|
z98%AOtavoVFnA$X@G+lOEicW_f$Zzb^Xm2%pFV5ix-2YjiKfxkKUL44UAH#he{bi{
zujkILFLw(*d+L^jaaz{ZWit(fSDT&bnHjY;GVRQjRZZ)S!nxnMvNBuO^fgom9z4J|
zU->~?h{1-#=N9EoSn|_((`<W{-3ZElg1bXI#|r^QS)~PGj>pavZ~bSOHgEORe!~ri
zl^4h@Ghi#LV7YQz<k$he{)Vb~ha_%1jC9%?kjV4qL*yE{l?G{LA-(4%{@QNdzN-4z
znR~0=NE%l^oCpr!A~Bvf1$SRfG)_)ve|^niJKL_So2MT;z;}IZ9BBBZ;#**UycDyw
z&F_Zq`sVdw)u&sRE6qM%bg}aP_lJ%*6Rw$61eneEDIqt7H_fh%J+;~K_nqS(7UURg
zI9waUe@e<&{`cb>(Q=mqQtZwtOLgTO`*3`tdA&^aXE?QZ<uWJPlLl#8R|<KOmpREE
z1u>hgkG*(5GfMW#s|C~S-|SD-^qshJs;i0XG7#_Q;eXL$=R{^k{nIEjxq4+)5-4;}
zr5y8Ft2F@>TPA5|LRKuAVKiTz?Tj$<vrfj(M;a=VemoA|x+Y*r)Rjd}6WxMzHAOO2
zu1@CeGMV)1Agk-Ws%op%anYboz@07gqCj-D(ARTKO8I;5POkWE+qwNdgt9*{MV+Sw
z+<e<4St)ff?fNB0!9TjD>#p&3h0I!2qY;$3>fJ(*r7KspE9LL?3|8fLy;n6^?X|2^
z$gDMG9D$jet|_`KJ?YgxVf)0p9aVc)$#h+L9Qrt5iQIawcBQWswxX*%YG<<FYFVNG
zS!1@q*HpPA;YIt-JkEByGQG0Ex8v8QzBQUb@z)YfU0<EHTDHuiHj@2{NamMo7foF6
zRY_lYJ=YdOPXyDJuUc(gU;VPVq;lkcpH#$id(oARrJsT)N=2mGfta^I%;z?um9Ks0
zRk4Ij+<x!LN^u7;_wLi1wF&oY6V`w47Oi}po3Q>kl%C!#n)zCLYxt7=5uJOL{vP#u
zEqYLY)&8vY9uro^TDx}b`MRtuS6K7Ywb{3}x-U5qou74`ZK6{C-j%VtogtFEnxC%S
zz7qV~Y5PRw?X4{@u1uco^nK25qlDxy-*wv8tK7IDe1rRj^bNi<*OaDmNi$pT;S0RE
z!EnRj@NH8Cq?xU2Qn@V)rzy6qNQ!I`Sai~9nnFv)rU|SZidNF8KOH;13(oFc^{v2p
z>(aFzi}#yt5zYK^y=<G(*VH^_&cOI*Y7+#$rsgq%m~3F?e~!TTYtQ<XzNY5>)wuL=
znOU94%H!F$-6vM<F{>4+e4V>yy{tSaR9|QBe*SFToi$>Q>fM)~oSUVn<vVYe;G$z!
zXG*(f#mwCixa8Q?N@-Uu-?`fYmt49MDGiGIWqh|ZK3$t_QhLg5;`V#X9$F<WJ`tUN
z`NQSq510EN_MhK2-*U@y!S%=g-nrKOaq`Dw6(94<kM(|hY>`oZTz(1K%xVAqzZ}*}
zBfV4h>Hnxc7|;DL=0onm<4xaL^SS>ibUt6{dC)p}Qn8&-!E%*PH8l_XJfHZ;HcUD(
zvx`A>@#;9A89nQ?OcGx$TdAM)YS~IX5TTp&YT4%}pXUadr^Y^?c?Z<$jl2&cC6f%9
zH8mrwf3<iXv{7fMRr<bqW^(+Q+Ja{{#BVRs-{u-WXZj|V#etP~yA4`X&v&P^XzEnQ
z1uFX_Mv5D8Jm#2PZad>K$82*?5cxf1#^P0#+-;VtenqVK6|urf{{x3x=;thf#1PYJ
zjYJXa-yot|Gf~9)m!^fPwN|l6_0>>g&7{7h^r#~;N6#JUu<VLmIdOO5y;H(HN$F)S
z5}{}RbQr9fB`K5`Vp^<nXhoLXnZ&+T&l=^QrDR@B<(w?O`kHse$Nb6St1tP?*b{Lz
z`_Pl4bDux|S#@acr9U?DbN2+#n*Tg|TDjNf&o!!_{W9zB71o{W4Km(YwwZHs(^hA{
z86s=W>K$5=kh?%ojWs*C?}N_uBh?lA*B`9AbHW{M2?V2NNOW+E=fYd>lzh0Zib*8~
zt=RUIW3rQc*o(upIr}OLmtQ&l?Dy$%uiBh_uWLY@>Wk%GwQrhhb;>i(K3TO^KyBr!
zIOiElR!IpYPFnTKtHpDby0@wPwOzrJvh1?!maAN?(fjM?xk~2|qw30e8lms9W}Mvm
z)n$grnzuS2H%=5(V>J&eNM>Cf;x|KNj=#}eO-+mWIy-~^?OyWj&xC_>C*AtY%vtW0
z_57mXf+b~gu7=-3KF%~)8Oxe`Xi1sqNzTc)LMzmLvi3*36<=*TKWuk!P(hu-6F<*`
zKOauCR%I==XVK97;lx@jf6V8c)Yj*#zrN8Z{*|tmKZ|RbLq=qaqEF+6ir(umB>v4{
z*;NOdl^yq@)_i=$>Go{PvDNHyGcQZ6pVyz_m%XQI&LqoKr?U4P!-l4PmPiz_PBSX-
z@=HAv_-4+T8H;aN&NX!3SnQPCm-KwfF`1+D?r#<BNlI6pW6(8sYr!FnvM|tM9O>0k
ztc4rwv>%-byt<~-*gbT!);XEbv%4&cLrMdyckfxDFLCwpt?0+MqE8={N(|8#&ua<X
z8*@ZZP5bpnnL{b5(}D#jPmT0(^$APU6g7;T*&1?WO8%Max86_r)cbSarMW@-4DaXe
z3O;52tU93F>!+I+#}tvCm2HOKuDSkPJ8xlH-(J(x`DZq6*Zb!B>S*q>8`0vwl@4CC
z-lKo1B7VuoeIAc%Cm#E))cf64>V3D#J#o%ziN&jK_Rd_q>gGfcF%?A21QBx^({Hw0
z{`(#IBXQra?}k~=%r~(lUrG7eZs6v7t)3(KN(yTSh}i&QuAO7)<{Nf;X7ZJky>l#A
z%@Qqswj%4=nVE}MeV*;r6nJ&bY+<+1%|&@TKD*qnT=d@NV)?um$(JV>N}U(3<@?=n
zv0~nf;xq0(Vb41HXRJB%QO?l!%V+7#axdY(HJ`rtWiFcUzw(}@@0ZV=*Q}Rz+PPOQ
zxDjjL{q9#}$@TM#cGrpB{kr+Zx=7BXzPm=*j%N&wo~OsMCa0v?b{M#&g|%=br=<CU
znXMd)t5)v{nD%Jq;;P7=^uAZAmh&uE&0?*5wjyille@g1Cocdw_7upmdj1ljXHV^U
zeCMjlo*%a*n0IdUlt@<CZZ<QS=gBj*;-1Dk_fq-{CrHmZW5`z2J=yY@L)a_MWS*3@
z^9+tTguMo>%UZAAvnuWAJcCuUOsk)*$O?NqbMdOk?dkhYUhNK3pV+&%!M5?@e)ERX
z1l}8mZ}P`m-fnD^<$cp}<*>vJLC<!>4a%2zlld*{?*5Ue{Vc8*-IvuB*Eg@v%>8g+
z`4yR&T%}7-%~&}>@XeViCr^~7oeDUTR`&4Bl#^=?&D4Bc?muh4|Fu7&o1f*+HLVw1
z`*U~Z+4%76nT8rhsYT45$!DjojCMTc)4D4;<xEJ$FVPJN%ba4ZLCqdjw$!qzx-(ur
z=sy~g8a>hS?)>E|v$iP}SINEJXTIj%S;I8H_VS9RnU^2N&QEyuh0#y`0hlPMIIaO2
zVL8&^x#*sTA$X7_e=!TQb<Ly`vaIO|?fkB#%dgD18D<{RJ2O{0V@snOnD`KtZLoQ5
z-Zv>`>zKv6{Bn5S6!e;1$YAa*(myYG!%@m~!{SC+yVxi5<{ED}yuAC?^2-koEaR@9
zwd(1;JAdU@|Ne9;=FYC#e4c-{;@j8#kv3j_g_-Ht8BObs-OW29B}&-JcI=4cflv%!
zD)h_l)6evMw&;A*+!S+Q{?*LL2f-<qgVxE3-rO=}^|9*DuNC=|)qKSo=gc&T{8N~A
zDdpnvnVyqH%hT0-!*0!;Y4Xp^`}C__N|V)Azv}XtwPu;NQRb$ubtjD4Y@Ajed?x9<
zcUJP5kQM3rJu|t!cC;A=C$`_r`0l-At3-H;-1p-%vKiN%uz7dh{`#WmiIq0ux4X_f
z{yJl_;pYll-qbTTas0lL#{K&bJl6m4gZtTkaUa32OQsoaIJ~^0>iB7i8xMnB?z)(>
zmHn{z(p0F?EvFPc@A>}!TdTMZ&6vsU@6BRd{BUJgLYkgUt>Bq6waq#C6L{x6<6tY>
zk@G>t!(hYV)aK<LO^vd8x201~b1eadX#W~3D`xAOUnjg4gSo5p?m4}E`2DAR-Ru7k
z^O>Kx?NpRyEv~*Zp|5GDW}b)p!8=^$PuBA97PWoyvCZbVQi}N}vrk5!Og2eMy6u{n
zma@$<f5MgB`<>Ee`y1V^nRN5n<TGaTQ?~t75leYmmn>GH+F;l_f0~fSr5~A^O-jA<
zrx}5nElR!fGgd3-{yjW@PVJA$#{Bg&o;^H&-R954+3ohNpFccbB=>LD(ZiRgw(sxx
z_~E;;TwGAqk7ybG`i+ktzOS<R^R?f-Z{Kz9z@3TJ>_Q)&CMybkc$x?z;z2~L;^xWo
zQpzq(j+lLTv(uWh55pC&J^QdqAiMZSNXJ&oV?~PBo=Kc+jhLM*Bc7C-ckNkPZl9w|
z$5u<W3EG*<T#a>SWd`!^<KD;^ymf{8v(_68E2FL~{^qpi>?&7d-B$&j0@<6h0+)NX
zM9iMOHFE2U$;wV^&Kgd+Z~YFbl1^am@U{K0vOQs%^TFlHO&9m42S>KY7`%_O*c@Z<
zJ_bxiSuk7Ic%Az#dhP(<{7IkvT9xLC&8pwJ<mYzZS@n!df0hSD-J2V*WOCG&s}olS
za85D|UN}i5d6|>k;_|dpPd-+rFY}R`U7mJ|CqV7Jfu>RFpS?eyt-oYmzkA<L?(b*s
zAIz`x_nfFXIsa(Jnw>@;Ce#}Qv)S>0w)FTfV=)%BS`%vxMh`FRA3VSpzq`zT?yH*3
zO2yTDC0%FU&a}H*zez|V`Pv<?MLjcbYfm&v%UhpvYR1mJLR!fQ?YsA00#Va>w00V?
zmHjXY)C8y7POmLJjk0#WA-hs}-h4Q?BCH0~t=c=aO47Jm`)|;*U7=iQXWpzeIRolU
zhZ?2vyxHL7e%i%Fup~x0!}w(&%d_J*@_6pNEOvdGee6IDfA=YY-p1RuGSV$?Rf}x{
zo(j)gP<wKwc-=u9*fIQqNX6~*I^~m$h37pvGfmyn_?73mHPw@hjpsi(b5GsU_>bqg
z&;yRgbl82Ba{oD=>wNU5JS#fzqT~v375nSY)j8Dy#n1W+`7F|#HD6_hORR~#r$Ohg
zjJin)lZ=&jZTWC!w%&_355<?nt&+8LeSW3J@%e=UFn;y1zxq$IoV?`{4#C;(d>Ys5
zGp<xD^2p&+F=H2+&D?pm@yIiWq+*4QmJ@Esc;s&Dv)HtrYodnq&Bv`CQ+j30TR>!O
z%ZlU0Ji8~h|NXV*hu@<8cG|}$zgT~s>x;^&?}xvxE9z9bx|>VCE9Ctt4Uv`irq0(0
z+N*tg=S^epn#n8f&3wxh*q?q+$HjKu=5JHK{9N%_#cI`=%PS_YIL`cXMUZ-e=*tyB
z&oe>f@?z1KD?XX@vtKH#*;ioK+4s2eNKi-L<Ik<1JxgTf>(B9DBnKvQ=jmVeU$oye
ze%XAN`s{r^_MNM$El-p<uF8|`4qEc+nxeMrsyx}7K}%lct$X+E)w%WNK8s#GyO^f9
z>RpXWt<cvWHhHy^Zp{r@^6gsOa;2@?%lt%F?)_YyFnPt^N)L~YTh{y8%{p(*W}n`Y
z(Q;P*qt7D2GE3i5N2$b8Gw+FR5p%x;EdedIpZ@r+_>$fghaYuq5iI=@Gtq1j-zM##
zoAG+pvh6!8OY5?8gr?f|ALa<W`F#5^HB?eR`mOj9x%Iu(u2y#=HTbxXCN8wl5ovEv
zQt*|t5n;~!^kAWc$7FW?qYkrd-iWa_npAF3J&6-_NQ)I7R?3Sw!rQ$gQP5oHQJZ^=
zLg;m6y$P#cJ69`&UT^>AuxcIu+s2Ss>08PyJu7yZZQ+-`)z14ibhh}S|N7A<uje%g
zTs;}~>9Ee-9M%0dz3NU+-6N3sIqh`c*=e$~b*;^!%W}58xsh{IP<P4=E|ra<9!c60
zj)W<6u61~o8-33`|N4(_Piqx=^W*QaUqWWCd-`|llPR;6$}fnNusR<^tVy#qysP6<
zy~ui})l{X@qEc<wS5IC=bX_@lZ|ku?X4h11efgQY#<Rp?gXjrV<gq2-@U3u##w(Ba
zF>x-D`!4EnCE|<tYKB!F^&7nwbbS$D&9TbEbe+)(rK=KL3vW(tS#j*<bPzEcM9c>f
zi(6J4ySiLt<?+?wcmHWd@8idT`YS!ZTyiqKc3rB`WKYdnL65_yQJ9CXNljcMadFx2
zMRpUm9T5N8xP^7w3VAg2`E>f^70<#{q{CFY#a2CwQ{i6H_gN`Y;p(04l*TKML43LI
zN|Bp4<<DkMR14&0?rd#5a>yYmQDLLOga`=_9Uc`iHX&~2m91tO*ADV33I&(4xO5x|
zkyR5^G7LQ))Ux7u?u8z)ti@|jMOSZj`f@x+eyLenzWuY`8ppS-QJQ-Fdtje!q^s8Y
z_lsquH+6-?-FMI2)-Pn3;PP~9?Uc0N8fU)+&U(9eOQqZ!m3bvH=Aoho{|BD^D!A!h
zqQIhOw>TuHzwTYJ)6B_CT5)#ogtKlZ(v(xS1Tdd*Kj9#iVCTekiQ%)L(S)iy?k8TP
z-r_j_jyY4=W;g$m-Vbl=1WcS#zrWcRSth?R(Is^|h;?6QcmA}y*N>(uYVA(_e$i>_
z-OaxpUKO5y$NV>=!r!F-$i08j|Nm(I|2ye_-Rb)GpYG2u-nhcOe*Xf^7hG*kz6XO3
zdLN8Fxb8vmwm<Q86XgF_pQtzg`CjjTE!)Y*AHK=RsQ>&||H*xGU-9qv@AGB;udV;T
zF6>%<-v8Qg?QHYy|M#t)S~~l7{r#(}W}TJ2b9Ge}n2Z9ERV$+SLtih<venB{J(2tH
zcKv<Z%;-afyUul#6|e3$MMXzfTEBhvG}rak+0&1^N)mL}YhP;IYO~&h{o1F0XXS2B
z>z6s)QFUkA)7y8Z&98m-r(EqPTWaGQxAG6YmxOB;e_^?=VSCWr%O8!aP^UGqwPU_l
zlH#M~LJ=J<X(zQ^lr|p`U34pIt0mI|Iiat<Zq7o5jVh0rJddzW>flycd#XQ3OVIyX
zx?XFC`s>3posLYtJB<U5E;_w@+<0ZWDYMojJ2?;AxX%leWasHTc=f#{<M@__)$+oR
z_Ahl_$Qo0esr%qnX{@5wTHgC#H>?+!dadn!-r@4?4|m`GAgddaDX;hT@Y32D>tCOq
z<8&n|I^w#!W9y2o+cvD@cjOMt&P|ln4au$*74TU5HPk_YHzl5x<<i2#;f#$XFFu4i
zY!7vi=`ma+H@|<*+$D#iCz>%Z-4VO}^`@WVEr#fv>*CI>E1qn-v95nASK!IDRie5f
zC-+u~dZ>PX6{;}x&g$^SkfQZ*tU>+NUsqLsJ(acTqf4`(EL*PmzMFY9oA3Te+x_uu
z+2h%7kHycA+M)3A$H#(ZrL!Eh=5`a(TJ}lHdl)<2@8w?-+5Gm{b!Ts<D~~^)TsFDl
zujzz;v)&dRnXTdW<$BKa#W(fbH^=s+?Up%PW;yF^k;(0+9b&8Y?K>^#@%3wLpTny4
z?~7Q1YHOz}PpG<64pMPta-h?dwEK<raw~(DnEmFfQP_I3`~maIv-_CkJ#xRv{_wGU
z4^Fa7k?Ci$Psb~~?y3Cxle>&{Ro`0US#z^8%T_JDskt$2`H@+3g|f@MbgFi(db9ip
z)5=qIUOE%dn6Ekw6|JV-KvKTursl?J%a43oc4g;1+eNo-u;nnkJ|MLrcoVmE>)r*I
z8^ud@{cQ3x)O#`IO_N`u{);L9o6afh+;;V%v#wTZ@7n`s9*g=N^J(SsOHMn|vzyy!
z`&o&73dOhI@QbtT&&Zo?`=RMv!2B0)_$JSht(#OFFyjf&W6yH|^Phl3V$&vVj*gv`
zab(H5g|}vS#xn0W{QRq?>wS~Yx}PHVS!c$4*4)Q!wDVbI1BeW+i4QT}Y-qgqS!J@=
z)2XHsn>E(U9GTH;sxOfpXK?MzmlsVwtv`c)u+HQ)-6*lS?9GKf%bBqgwkGcjs&W+L
z{XF#&_oj>)n(tX7P2R}yes0~bzsly$3|HsWugA_z-s)zMb}>MkFL~J^>5z(Mu42QI
zMOxk(L88BERzGSAX|=n*YKKDT!t>j;^gKdWOnrKGQS#qRpHPdi&BsDolXG)G%v;Ao
zS`$_|8H6r8uXKQQCRg$Me@$oFZf!D2+i&^ZUjIa$ae0vF%uk;`{^B-znjZVS$>--9
z0ZpT)t8b*7nX>-URKw3vqKA5BehLjUPCLaPkl4Vr`O$Y*OF?ZT_K=15W>_vb_3zjj
z#;u(RXJi6GLz160tU4)W%zlRHJ!_=Ix#_I>Ck_?t)2VP2Ym3|$mXbK5wZQe(uT>WJ
z{$H!{e%Z7}@U!kx?oDnb`A2HJUv{q&J*Fd~C2W?qFkt5fL+;j7ZM~5iA$B=Fb8kL3
zJI5NSapt*=-U+8fJKiG4Wru1GJZ}nVJuUW$HFNi@_KeR0xpIrnI&Z1$Fyp^?`q=~4
zmEqZQ0+y^@ALul3+rDEQpv~BdBAKtVYXZJZP(5+y`rH)rMS-<*{u(a}l<@g`)rM=f
zd*Ww}9J$uBi#L3`E4p%j7JK}}U#ov^DVQT+uq@fp;B%B_p3m{71S>I?%NrGDtPI#B
zT`iNk?f6rHld>kP#vyv_p)F?ucFL3sB6ZeW&dgcfurDF}L)eF)4<Q>gCAg04=KuQc
zj<}uctliru``FJ-`SnuevcLMwI;)dkE_=G%p7o%mW1HztPQl#lBw?jn*OsWcY+1X|
zrK5F2hIRJIc!gW%s=kPKY}>r-FRNL}ne&TdC-BOC{+tmX(q7(s^Fp9|WADui8xLLx
zJgx-dw(IoXyuipk`}Dzc+?yEhh?|{7MHj=|ul@S@aVz(x_&M_NyA^&Po&NvPpC7lx
zud2;Ayu6oBMcVl8>x#`Frnj{5-T4cC3zy7)zC-^+$$WYBJqnj=>*joD@@chMT9+>o
zBG9L;a_q(b>|@TXiZdkNi?%!yZ8`n&Key52TGrs{ydsetzqr(Hy*lBvfvqB0tjY9*
z^k#uIjrV4(3~2s4*D>{>#pk>;x0t53K3=fbFq=C>tnc#QV>(I;HeJ{QLf7|fLPO%Y
zr740J9b5UdN&*(1pL)7+o7N0%tJ&3#%LBzrX4N@<I6psc&w|T0#Y>hMs&Cr+SJzy*
zWzNgvD(PKDCubh>DOzSVdCtoVD(R<8PR<PTEo!^Cv^9N0>;=tNtSgVNE?es%op-%(
z+v$XQ$6w8+r5cyy*K~SJ^oqGWOKIwlmqPZjN!A9!g$XXI+n9}K%g(s@=D?XW_B1nV
zgUvS^XP)Id<HCLQ@z%X1zyB{?JF{0#W%4QO46a>G3m^Ct?tkFZwEuz6<oyqPmh6Av
zvvt2i$jS*qO5Z{qI0Zws=CpKZiTXIXOyyEld~}y*iS-4$fZZNlCR4Kgy(YGOx-B)~
z<}2BbJu|=FYdkUg=4TMI^*)ICM>b=*ab@;L1sChNC!<e`D&BhObMNevyLa|Z{dDKw
zB-yaLM%6-#dbb^3HCs09?wbv-(%M7Kt=H_jnRxXq-)cAR(j_aVgih=`JC#BE(#D<D
z4u{{wc{V70tyn5zUj1gnE3?g$Cx>);O#BxoI$PxB`<tO%9L&nAHpDKy@kZ-tTDYd!
zZm*3uL%Ytd3(6^*suZ<?EBvqXM6H;UTa`k01eLnJ`snpXH1qLWr59=9j^?{LcHMNn
z@HQxPhl1%&HUZbHB3{L=D^H|cL{^?O=*f{wDSK?SNk~~LrR;IlX6fs*@9wzw=GfOX
z^L6IC3-{hs?LMm)nNxN$X|uQ3?AT2=-b^~0HeJVTcZ%TLxUcI%rzu_CeJ!Ue<b4#Q
zR?yz|*S0b5A6DH~?dIT8epR?TYwyj_*JoG9e{Ea7sC~_WzUL0TZx7r%5O-kS0kZ_=
z2=;3Ya~%s3(^te?&^*aH_fYHw&G)P`jsG5r`YxP(*ME&`wCqf-t@rl)H?`-w^;aX~
z`{D&3xppc4_O#%VI@8j=c){`D6~}xkEV!!n?9V#W(tbGepihPUoNIpzqP`!_yvx75
z6wa~bUw-#?)N9`4wCc67Ju}~K<=gdt&Go?DV5GmEFMh(!ccoXVo<vM^O*og-rNfl%
zWRfNrae1|2_kme!dS`N(ew8*BU8R?D=2lRsTJp7Nt0E;gZ`-p&S4?pF(*LcIE<Yw-
zeCO^~eN9;;(&fiLx9@*@-~E=p80Ib}xOI|Qn%0$7cV?^%(EjuKmvQ?y>k7>Z?Hi&e
z_QZXjC@}MScAl`(=c==lom3{TvJCG?3Ccgc{eGC@iI4|;vzpc=XxtF#C@=2W%lt9#
z*eTf)>r>9$ZT#bP?49h5^&M^BZ0btY6c;6y`hbWc#YKs{5>76Mr)T~!;x(SQgZZL?
z@FZ)2_feL+^p0}~D!*RTD`2?kodAew*CZg#D0aq;b;rqq8n0tBde5d#G?bow+WJ^d
z&c6dU-h{XBikE#=SGwZ&)rIrI+uzB0E7^Qrv^rb6Qb<WKu5*Tf;QmQgib{2=9ZoKP
z?jE_Xd&oF{FLURIGxhHslx#k~uok$#wEn%rvHmMB`Ht*X-7!Hv_b*H4{~u5F|NmNU
z|L3v(|BtuVm&)~iIQQf8?tct_9zUP4o%KDpomfruhv!ZE#s2Jl@ViO>&0Fs3--qt)
z+prxAbK{0`oj0=F)yEIrYy6g0IK^_C@&4l{WNy#AUzyVPwnnF<5*3|%pJ5b#<Mb{Y
zv0XOPcJ;LGO-_6tal=~d+c9(B%pa8oaXh(mKPK!ktTS?R{;lNjOUdC=^~N(76MxD^
zJ(E!QSsM)^@5VfnP^c9|M?3YMC74xCpKLL7*tEe%f?37;OiSUevq{E@A*QF#oe8|U
zEnu<kl?-mvTTZ>(0+he2axRwI>Fg<S^~7GULo3|gMG3i?7X6=Ouxg_9%rk+#cP^*w
zdyzcn&l55A;t*4F>zRvJ?R?w0NB_-J&u#i@H|^{nRO_j@$<~=K>z@A5JiG1w8p*oN
zX+O@+Lg7qIza*OZI@>;S;<kUA%xfKQ{o-MAzV(Yo*ZI~jyY1`rUc|Wjl&mvVvkdo}
zJZJT4&vT+TRGw?)3gv$Ppgdo>xF~(sKfYzJ-zOlbw1=}^OQee(;&r;Qo||{Sp2?qG
z7e2gpIt=BU`Tyay)5S3NWlnPgK?Cre?8(axF^5z%aPbE`oTzo9Vy>t0!yj#yiL<5}
zCA;}-4tjRFBQxw&j-=sPubJA)YTD6!)-5MbMI;!2nEfp$Pfc^yOWd^Ssezxw^F?!{
zXFQIXvha}1^Lspw&n&*DWf=cYe7nxZmFd=mvL(zf+rD&uX`OO=xAIaBpG<*Z7QNpE
zCFO@i8dq{9bsf0WV&M8fnce2V+_i6VQq#4Sg_X{Pm2KO!dFtG?Pg<X3Z&az!oyM~M
z=%fc*C8iZkZZO!n`raKypLbb1D;;LsxmvWk*I?)Br`x0xWu_Hz_gV6J@02|*)4uf1
ztmMAKL3x)A-9w8{_x%JV)#=k&-XERx;H>OIIZ>saMMv_a{yg5f{FsdN%-`8(0;k-`
zPVQUuWS?}gi0bzTGxXo22F7uNQFqdd?7-Jmx>>R7UDv+ex}tRNG-r|PyW+Z|uA_;I
zU7dPobyDAdzjx7w?)#t0i4}i|ewVKJ{>AoMLG|BCe&1Z@yy>>MDPFiq|4~Z(k(2v6
zCS#L0#_7NFchaf5;=%9s%_u6qKFJ{Jcif7vq@bzli5$sIQ<f)jJT{r7o&sj4ay*Wi
zWBsos@M^80`1|gi70;hK#`dc3`EmQjG@JcC5_cz+vvMqs{&bBYvE=l&g45dyyypq0
z^c|e{oPTEWhUYuoo=Lo^jGF`r%jNTO4Zr4euS}2TNxPk7a9gbT<~E5l+m0qoQ~x(J
z+4}Pv`{JDRdrS#^Z_oT@I1@O1<Aqe65=rjZV@@c{E3N7?H_k{omNZGS+cQl^4I8I(
zw)}_dp9{2$OKukns-1V8VI!XSDW>Hl863}fooYRk^XAP}1(AzE<eZhQZTZ{_x3vb`
zc3P3Ecx9VFRy4;}-IiNoPPs7QJ?9)GT2E;UwFIu%Q|2hqdTO;yi=p+Y*NQEHSN9Yj
zk_kP#TjxyR)#piK=Zb%y2@KuAFR)(W#lJ9vyZ5=4{f<6ZGW}yk=)oE9jN;~H>`=Iy
zy5_i?$V<J)&&&<X_BU?)$=h=_Kfxth_NCtAk6LYpcT;Wd-7r|TyXT-!YslT1D<@oh
zawepriL1`|Rng9`-TTt-tAnROj{J!b*Xx-nzgh0hnLjg1)6$<EUlVU_Y`^bdfBuKX
z@vHCriF*3%x|aF=u$@1*o;tfeG_P)L(dX=`;Br7LYwk?0NQSaBtt-0m$>08;z1n0P
zY!I$^&gWpvT?=DYc8wSP-nsvFTzQ6t3fXdXqGp78dCyF)(z4?-Rt78wGwY7eSjnJX
z@hWbw(&wf3x8M1*@9DGey5{@yC6yN_e@?x|GH0g#=4%hm)XX&HNq=^FO|OM<e4fBX
zX_qbgrFQ;YJ#jXKD#)+<9Ik9$Z(8&@TzT=@KA+Y#40rxVU3>N}_|%@g#+`ogpRGeC
z@9DAWeX(TvR&PbCm#L;99bCc1^OQuFrP;V@`J6593YjtMt;k9P)9ac+{pX|SPF`{R
zxpoeS%+8y<;&^S}YU{kNE9Iq!eJB1}_inpp(EY1#&0JsY-gRV&N3D6jv+tsPr>#Ss
zu9Sa{PMEyn{WJ5UY8NxkMkhI$M7`cLQ|W6(-cQM({^xFs6u<s>C%0Z}x6;=N)#F`X
z{zt6bs5CWe-+Hr7FH`xpnJ!Cb@t^To6m+&dOD%9^(JP@vL069~^;o(p(|e*<=;Uci
zQ&%n1aeei1)&|fyLzB}%E`01hdBySKRl6qsyz@lm)!8#$`MztGL`<yO9F*kx>TFbs
zc2NE`q3DTKo5gN)U77v)(KnS>XMaw51R|9lt4QttoO;~z%Hy4D#1_e|cipP=wL*8L
z=*r{Sj%z(^?e@$tz(l9=l4ljLU2ZX+p*H8B$wQuHoaqL>7R{N5Bz=U>C1~CMkaXxn
zdRW`?zlSgXdzktE!<PDrTlO|@`$5M{WqsMR*=v2zOm*#pMrrfbh@75LdFeFgVKfxH
z_Tkf(jMd7YRi+3xMcO!9D$Y1s+&k}>PpgzYs1?^FWh}bK#S)698SKH07EBX3^Q1Y-
z$zU>n7Uw}9yA`S|#y?k0OE~l7Gy9Wvdj<PHj6WappWv@|sC%$fA^4#Dg#K+=pS69B
z)84IhIXh$L_8`;bXZO6W^#uMtvo|hI0vqbsX0=IS{ii0wV8&C^4*IZaM1u}&%K@F@
zwkg#hRPi29>5^-5{M)61v-{H%dRJ~ec;!|?R<6NTvl&s+K5Kc?uKjv@>TG^^Ufu4Z
z&$p+}zQ69ypR%XVwriX3kCn_VU9z^lE6jC{Qf}?h*-E*#F40qBw~Ef@@5YKijn$I{
zCqGq~U!UP>6tl@j?zL&!ycX|i$GHz4)0y;e($i<tb<Fpt?EI;E`mBCrUfs!}&)(B!
z$8WmxVA9h4%Pjwxo_)AJvu};6X3$T!(8)b3YYv9&OVElpn5sYHl(^4R?q#1?gMT)y
ztT`NiS?1rYXCJPcw8ORW)%zCzSUwM&3C&l0U=zK!;E*)Ca`x=&x>;_)UnZ<_Sa!~-
zOgUd!U)f)I_Qb>`(wS~&=7&x-OZ&HG*A~O>SF3!aH?LTC3(WMB-n`=5^y_W=_n7^8
zy7i&{`rb8xw|md5VQ2VzAak~sp+H`b_2YvT$$wJ*B>hR-W1OaK9o_le<nschq-{d)
zGoK|IANi91Jka<^cl~Ue8PCrMOlJQ)Me-59+j-_p=UWr`mPiNny%xV@enCf;X=(iF
zB$a?)TXkF*mdZ_E%3E9@>c|niCnU4g;HbA~4u~n)VQ}<xclDj@n~z_pf9}ys`gPJ~
zVfT!Bq4{Me9`Ecpxv|nh+|bgj|Cv&n_D1DrJ7bPq5!}3mcZ!wL{F7@{g%V_xKVPs<
z`nSEX=Zx_Gj+3>O_v8(C>ihpzPSZB@__V@4X`4{9%K4wl%RZ`3mRn#_mL|kH#ci|C
zsfJ4#(>&z@q|O>;vOF#@S#2}L;J2{*=BOOuXDbd~%=wUER$;PwmR#bmldD98md#)D
zOF6M9C$7)Qr|<0QK#;QxxeTK_?;7U2DyC^~w9Y&I&clADc!I!X|7%~xyC1I!W?Fi0
z##H|WSEM&j<C;>H9G2b~lz*m5KcGzg+p$$<!p{~Qyf;I9tzfYCr&*>+S)Os5+|KA8
zEHulHP+k^s>i5--n_fLjb@nkVjW|`r7tpm-N5D}z;I7tkfwcL0SB^HW_p)!fx!mHz
zTN@C1+RV~%(@W;ZCsU>)IjVd$KSdamHhns5|MTJeKOg+-eyH1@p09GeZihEM6c#&)
z?arFH3`-f!R~tIqTz*4!wV2C{+OF75U4boNu9Vx1+FLig-b|8z2SPu?M3N>M`r9xp
zooISK07UwyGAvzivRKYRGvm_}j)0<cSvN(WcWadOS~N3WJ?ME@!^>g#H)S7d%Zxgs
zcqS*G!ddSb6qi|?4Q~*bocx(r;Yf~ZU%^iXfuwCh?mNW$3-sk4ekv6@@@4W*GtQ)6
zCu?T17+P+-r@GPN+*{rSSEP#%vuJ!hcBhHaYp<bsX+u!{nOvm>A}0BA{@L7Z2D>+J
zw3hy_^6glSKCj`?myfn?sX54Q7~Q$oaQ=J8Ghs>jHN{Z$=FgY(^Ud66UYZpY?BbP~
zlgG@y{Pux^Fz&kw_T|?14vK&K*1Gq{4W|z<Qo{UaC;t(V$v+$UL75@RX#P@nAH$s$
zQ}%s6yyIcnv6R&3?S<C%J-2@*-Z{trxU5cM`{%<u=GsSJ{JB}ww%=6X*!(B`45j}#
z0?vOHKV#_dIflKM=Yoy?AsOqGdCrM_vYT|RQld*v9CdF{O8HR!SvBn0kKO!rca)Oy
zKkojq!?oZ`@xH{fGJof+k1&qE(cK@-5`W{g>@@pdLYoYZ@kt*q`eXiB(B@NQ!LN(Q
zvJXt-b~|)sZIqrXXYlmg7|yInGbNv><M)@%;M})CK3VO@p?(SDgPLhg!Dn1PYd&iZ
zKEtwHf_vYu@8WL{p49(wC~i(nv;Lo(;{T3sRQ+)%PG)zL{+pv44MEK6=HTt87K$6X
zS)`w{e5Z75-?5p+b(Oq^+og@4|9K;ov`xtP`JZPH`q<3k=<@5X5f1{S=ZIBWUSeA6
z8rQekA#>t%ORj*ZC#h{rQ^YD~&SyyN|I~3#b^5lR@;>P|zO^6k#GKd7c&3tHAeGw`
zoX@*2gTL?C!OSlleu<)U4ry7mhR)Mx^GckmKCLk*;;CfD0&eNTW&Ha-==QZ76yJAr
zqS=o_+bt%s$N$)-o3v6ti8FoC1Mcd=W!(EVh^JoyGx_`;C)Hix`}ipT@{HN>*PVSH
zS3bYE-!9m7hFixU4zVPu+E;uMiQ9yppWnsfHe>CPPX%|mn$Ni`F1#1T;I+8$Uh{(a
z(Lb8^iZ9;y_wt{O`;RVX{OsM-adUY@<B9W9N@??xbe9xAT~ZvnM*mq?Xl3*Mo!5)E
zsP_0i%zsz<?^)b)bgI?z#Z(@JXBMwgZx|mB)VCJ>;A21Y@%uEUQ!@4D!2!=KZlwvG
zl1ZQL<2+G+aektHx>lrE%DxTLji;{R0rlc%dX<?yyYcVMsWTh@S_T*#|MuMANX^x{
zH-Ebo3k%+#U)<KR@%!iR{?_&Xx1dlaXAZ77GjqbvL%Zi>e|23qb+g51SChi`@x3o+
zeldvOGP%0)ne7?zz>|ypmf2NmFaQ5;Zz<Q>|7Ptg8iNjfIscor$@Ac@_=IbJ*57&e
z<2kST@ov5@?n(c3&FB2f-~A}@<A?iS)||KJH&IB_HvA>VF7@wvp2OeICq$FB34Lz;
ze@Z!A|D1T-9Q{AxbKU;r*IZW9p4GDPdWx+uDBG%a&+NGA^~N;vq|2GGr1d9t8II(r
zew(%CzjfSnHSzk{HIuSgk35+i)2W~~|A|$*!LO89iDYeYyMq%|uD=8okDf&n`)0UJ
zidn?GbfSv*3x`UTg)z_Tf9frm`SJcQsay8%KS(dV@!S91hv>^i#X=t+<xe&*N*6M$
zOx~#f^L%xK_?eGAI}iQ8slDlMll=c5b6PiQ=k?d`Ip;L}(`JKZe{_~`*3VCkf0};K
z=jWsH``!0z*VmONUjMBlz;*w8)1ptC4Oafq@zILEv0MMmUGZ=4xU0Xn?)`n}UTxz0
z^u+h+7yq4K^jF4S_fh+yx3y)$2h+_3Ys!QVcGv%Yqt<jjPwB@SwWgi3-#kxH_#wl?
zvU#d?^iEXbXQH-!&eQg_e>O~&-}dQnSlx|N{BHH}JyNxXSznH>xG28Zjc5*Et)W%V
zQ5_?(%_kzxC?y+q_qf_XQR}<hi~qJ|)JJdGuY2ph*xUT>Z`)me-&UG;`;uPY^KBi3
z(Y}s$2(nY(ll|`7`Q=Oko2Txu_?!QKO50!I|IvA3|2|(@8xXbNUwG`Uzx$tSPOjg#
z@Z;gm`reIy;{Sa(z5oA@`0xXgAG<fd6;Hpde{NfRcm3?mZ;!5ebM)r7?&~7@alv~k
zd_SgX-@n5C_>*YhtLDnJ+!|jG*6)i=nvuM7<J1|-PeOH%<fuO5sLbavjPA5N)Lz_j
zV!wp`KeL}IVutSP)Fk>Zur6PmbMU1`bb*zG<ea`Ktjm=%4!%4QRbcf<Y);=1*7zH@
z#lJnexc32f^~VQ!A6oZTJkk4cD9+}Rcuk_doMcY7a@)a+_l`b&{@};^?XC9W><gP0
zW03l9QtS2joY>=UbhCFh>%TeLeB_|`w?_vbJTPH1+b1S)x7Dz>QP8F*lP9M}aP`wR
zi=uA@d7Z}Y{Q71`qu;$2ICjybEcAi=d0W0`5@|c%pRD=#{(<GT`nWTD5<+bKnGM_-
zKiM!8i!3wyyw|ek!M=};zdLG=P0@9+ooMK$Bfl`ero~Qb$BSH>`OkkUJbSU;M)8P@
zwbwjBr*oe@=lxFHmtlWiz4A-DrKP?6Lr0&g=fXA}nJuT-D+Gc|o@gs~Xj*;h6+9wx
z_2(guq-{dicl_J~rME%o?siwH{VZ30s4h6B&-nL1O6ue34N?18M3^7{mf!#P&+Gj9
zzfY&jpMEW0U&Qm?ssCZ@3&TD2FXz|)``vGUp?=|tf84H_O&;kCOEuME8K*3pQNj{1
zE9sM?$^w(4HU(WL^{3Cg{aQM`#q(v229KfU-19Ap&nk|U=Is+~oAG$(!x{5rCtCJ(
z-V~krc*cym6D|8*-jtm=*{fInSLV!T0%}v07}I>TOzYHEe>9Hloqsaxk5Aa+^i79k
z=2RL#1g-3k76FY)&RBUnX<44k<lcDQ?3>=4i}Qc@?w=+*)$*6xZmr@kzGY$0Ud(>8
z?u@wLeKEoPuQt{u>ZjYz3^0hlaXQlfnaCOOZ=bZRE^|HOuCDC;{=oEJeVO6JTmR?B
z)-3t<KV4jV<=_7&kDd+vUFgE+SH&8Cqg%P?L+f4(B~XG;{!~Bt^^xu<uYxaJ*MqFC
z2PsXHSRB4%+NJ+Lk2rmBUGq3XMkX{iq@(`Bz768XAA#yHoxZ$=x91n1IkWL^_u(`D
z|J!eJneyrTf8+H>1&+xb|5@#(nDnM_j&>@C5~xjo^5gau4_M;=*7jU?J*sZ;?Y!$z
z^&d+6cGl}@*&W<i-{<;2CZwZD|4r;y|8u5dqM!=X^14KKOtMZ<udA)ik3(_iOgG7$
zt4Y)kOA4E;C>~gs@MczwOXu=<$>i&Q*4OyW6jw{+>)IGGgT>uYjC=9}jbllPLNd+y
z8x1)74sMT_@#W|tl^=)V-sN<+dKOGFyS}AEynZ&5qWG2$O#!L?i@nER-1+9>^Kjnm
zc^x-Twfb-PH(PpM$4##_|DWZlC$9PbEKWUXo6zh1J1=(5SbOAG{^MWottLLdw?ktg
z%W)_<L-BacRlhgAlBH)hny1|{jK6U@IKEBpbL(E4uc==kTs8#=7hG$utZq~M;999_
z@z1*ZlUKo)+l%&G5`Q}N!Hey#2Q4gq<pvt??b|T@?=}qy_V^p8ce0E3)F((SQ@SN_
z+2HEVggdB6=GlT(xq9__J7VSXgleQzewcWC$ei%tvckdTj!oyMz7jpZ+~nPtn3eZl
z?hM*{xp-;S^5<S({mxH)HFuu&RcqVuRpnLdLf(Jj@!a@`$MfKllTUxjp^-M3bxS|L
zTx+dbe`fjicOriaE33Re+;nA~{9VsKpHuC9?7VwIKKFLp>{Xqy$6S8$?%=K$KWE&T
z8{~5S_>*^2l&b91%9k&BP~!vIH7>6GBZ)PB<$}MLe@<`wbYC@m$u)<QqAN`Tid<ju
zh@`wL?*8L<aNCSU6Pt2t^CsTcym9Th*w498&Iy??2YU{lzX}GH8FIn9gNp*r9dkJ7
zn0qg2XK+FE?ClZnMDARBraR4=b^F%J?x%hm%VYEwa4cK+vdGcTz(Ytvg_CE}mOUX~
z!%l4we8a+iu=l~_2i*^5Kk%FI#z(g2oN#-2*CO3dE2WlkTwW5wESea!uTAUFk{aHy
zmXpfG&JX++8egl7UvTB^v$g|sgSO9>W-9l(o0rFNM^m%nrhtGCSDYCO%jBl5iV3_2
z7w&#|;cmf;Jc|-@nO)L+cX`|2P21{u<VnvOA0@T)lvp9d*5WW#!C;HecZ6mfl6*d`
zqjrhNIh*ZglrNNfah-#a;a2kEyMnXc*TlT=Te<gh;X>=B-_9LxeK+N{w_tf?jE8~i
zou`G02So~}A8%y|J`yV@F60A~sL^>1+UXK;ZSJI|&l}fRtFnIfOv(O`S{(G@QT}9O
zz1fqSPD*~hy31NM{fVW(f+sycv+mBFWc+M(X}Q-!oBLPy1am#V<LT=2aL(@)9h!5B
z#YGJ@XH>7~@H}|O(bb3RS$6s?pQl+@6rYsu6f5QU8N1F!)~Rm#>wxwPzoJ^1T7Nt?
z&6s+$!Rb$EAdkk8_^C%gOh+Hyb5%2(PaNAU^-SQ(si+yw0ovM$d<U0FWjB7h&-y!i
z!BzRwh1V2s%wN35d4v7&i1vi~z72eeQJddA6<D-&Rh)xj)aqxfB8#?aftmMMMXs#M
z5)TN_&W`0fxG9Q-x%JvLAqkBw+r$nyEZn-|&slX>8K<15S8Lk^qALsUD;^1pyIsz)
zaq9GMSI_O*%r7FcLi)FZ;<i`&>;eK-=e=fT-TG>sT|j`g_FKM#+@b00TyYhP#aF5D
zi|h$ttz7V+RqHr2tA2=K9PhcPJ<c6BYd#-UJd$(ml#J7vw54Z2%#$)rQK72LTv=~d
zT<g0TI+xRx=V#Dd4p*MscQZbgovC=tBOcVTp-(dZc+d9aW48?-zXb~)<~mWq?0igG
z!sy<CC7jPUG<pi3OJsIFe`3-!=NFQZ7m7Tp5*QbJt8APk7s0T?H*Uca_UUrWliPP@
zNzAZ06MFc7$kzzd9=)b1i{|a^2|e70iZ(PYnzy>GQS;U6tQGqsWVtkctlcFX@UQ$}
zKJ)X%uPPi4=3jl=;I#g5YqHoT8K?E9jix!z=-<@wRN&cSokYu)pBmf|S?O2TH#9|s
z?q%Z23Vq9^abv3NA;o{b9~{21wLfTl!+mSnD=vqF%vS{)n%J$X79{Vv_JmjD(bi>o
z3k23%7FxGdoXxzgcqH5J7N6tVIh$mgqAU}wZQR$ru1@~BNH?+O<BreW$LGe|y!-R0
z(5|cd$H^aaCb7&9p7fyDYuBTL{-=2Bg7Q90E^3}XMf%T@I}g;Kerk<Bl5*~W`cpQ0
zP4gd~J16>|5=_(nc=BUSpoC%M&6}ZfW3S!}{aK-tR1}&XURnkto@Q?amGi4Vlzuz6
zesg)<+`XS)znL4K{{D|u_4DgDt@oe1_Y+O(zQroDzE!=8vmURwMG3R!w~XOm<>uI_
z{ELL$!g<TCCZG}#JGmye9z1#IK}ur5CIgE|i8(qveqwCu+|6L&V<!(CJ9$X#q|@Am
z`yPFZv61`_!FT_~*g$ZKp_KI18!L`U20Uim@sVNAk1L*a$_$2?S1K6tY#7Yt8l?FT
z^s+zrqrsT8O^E%$A0-g&KK1Hzj-rUfzKv6vxj%n4Yx@MnMLl<A+-B&%d32ud$(*w?
z_2$b1o@Iplb)VTd*OJ-D{r_{B6Ec5i3QH7!dA{uf2o*n^uYOy4sp}?fi<_cz{{4OM
z<o|;u`30Yxr##y*cV|{=-`n%0+Qm8b>tbdm|1O@$RU`O6FzEQV=RT)oZqF~)EY7Jv
zx9rTtbw_J%RrbBKIsWCUOtWTiT_)?tNAeF9WrCOeImMMEcgQgHl>ZuqXPsGw-pQw?
z`~|hFHG>~d(flo!v`xtVi;(+&!=lf^k!K8xJ}ZOB%X?2;+;8oF4T1C-%le+reO&px
z;-2Naa&%_=PiZVtaZEK9rGE=v{Yopnx8~gJtCgFr^245=eYGcYuKDWE*aSCkwz6Km
z`SYQq=dDN2ad*!Z7qiyaDT|AEvuDGN%EXVJkE<Vi&er7r`TkkxzdfGM1pcR~TX5vD
z%x8&bxzAGGB!9nar8kFz^rgNMg}W2%ChnWCZ{j<TgWKA~|36y96vVZPzx{jr;~$3$
z|2(w#S8$*%jIn$2>vr|){Qm3Y=f&9D?5wLO`upL@uZJhU9zOiNz5P8u|9yG6efBmr
z^%Xz<efaSA;ltmDnLo9&KjG&;Aum@sf6ckcS5KBICx)nNCv<quRbTx%rF0%xaF%q^
zHleGLB6sH5?6j*as@*ir|JtXMQ6T0zm18Hr9$mdveEqe!7{QuCSA*9gEf<@ms|%9(
z^WGfnwcW9i-Td2wV{&(HH2Z&hFxmD;3cuaWW`Dohd2@c*ROVF_z54t_^YO{xW0RNn
zs4usREOa^(_Q>bclbKJBGJbs2P*Lcx$3h`aMnIphL!AA{?5#DMr{!P!bT(>V<Z1Kl
zpJ}@H*E~fPJa3&pul%0P`#qKSDnIY}{H*f%(N}vS=8CWWoKzaO=3MvH%8gd~VQ7Ns
z*Tv7T)0evzZ}W0rMP9{6pHGio{y%#1P<L?mbu9&hnmCypeZI5dY(;CT@BRPrb@~72
z{{O#S|F+%!-<R9|$5*}P>;HOFR!)1TwT+md@V|%p|35yz-*>e0Lb9UrmxwPMwqhPm
z{YR%<NPejLCE^5!t&Q1B|L69OKMHm~YOi?o{r~6X@&CTK|J_@%WUKDVt-33J8ttvq
zxz{4cZM*Q<_a(?E<MvJqu~mBBzZ5$Hf2sXY`k|r`X8X@iuqdE&!twjwpBI;F+f{9U
zw76V*nt}DkmYbbN)BE?!CYH6=|NeP>zt?r0ACLe4+jTI$?$_7t_y1n_d+Ohp<I5MH
zy;yKX_NBnb>Wr9!$N&DnQ<u-nsRBb+WHR6XdilQo_s`|_|Mq+RKlbH$eEq+-=O3m1
zV((L!)K?MkvV(nUUqt|4<jW5Bs~5W;FAw}<(lx(H$e#Dn^2$FfK{svcm{#V9+INL)
zd-c;o;iwk72A{{q_!nO~o8K1iH(y<CQrfeu@8T81SyF$Qc^AK2V6`UML^h<ov}alK
zD)GV%HY@y=+eFNZU^^{*I-&BBYe=?p(4x<u8J!BJ^`w_IuCne%Mw>(>rhJ@Z7_dcG
z&Wo*U+fm^&4i~po+`0Kuxc+VD{%=R(s~@em{dxVuWdAQugl%^&R5$<fq-|Sn#%&a0
zd*R>s|6Gr&9Hg)NS4dxHezil+=h#=L?S*?U<i_ZiNMGl^d+e)|c_UM6=J&jhOMjHV
zzx&8LKdJ7e?-~8Qoj;da$v-Z7w7}?}ZG~mwqhk@zULM~$XNU9U&n=U@Y;C4;EwP-t
zJgCuR`R5R=2_@^;{p$GV{E;*G`S<mlKkqI|72TQiNBG{PKf-w|><9fW{PJ>mo2BRL
zF!@=Fc_vfU_Ml9zOc?P`bn~6{;(3oRPrr9;x&Gc>|M;%EU-$LdzO0q~z4S(`blLUa
zR^Pny?|${ZxDCXDkfsWg>NxIQ+o*n})X`~f2w&FYfS_1$k*lSSfipw+vXmo(-d^@J
zU2C<%X9m0LZIiWD8n>2ZUUj@^=DV7&YunL3h0=#gep&usq^Hf-Wxw=vSM#I&t50_|
zFOoK0Zl$p+uy_@ed25*^NY~kwd|lfz{X#>u-Po^28ope+d~Sf28|%`fkN_>W_E?tu
z$tO9Xc>7nDv-Ot0XZuf@b?^But`#q9*R5(;bZ^%o3yrK5+C9xt)sx|<sM;`TOI?*l
z<2KVRZ|&aKXx=KDU%pQy_wuiojz_mm3^_ivxhvPTG{$npjk17^Zx<xpc09VRxht1l
z^fq7DwwF7K0=D=bpW3`A*J_=NNN#uL*I6z6dXaM~l5Hfs@6|s#7U8zz;p-g@(_-d*
zC|rM@H~Kj1=B*A2k=!hkAk;3^IjsRUb3ORG92YttcQj-vePN;TZx){{`%!@_3m30|
zG9E9;n9V24?s~iAg@wkgWx_8VFPfcI=I`3Stn!KD$Ll8jI;$$5I9{AQ%Uw>yb|r`j
zmfn^rR~fLySN(kRqTG+3OD2BVKEM9&+x7eZd}`nS=V$!CFY9-@ynbz;_1eDb&pUUQ
z`2R1a*Z)6V|L5BOkJtA<mfvz!-sThks^a7FfBNtLe|i0W-S3y{_y7H<Z~y=2^85ck
zeYR{lDIfQL<B|Fwr~m(Wy?+1SFSoazwEzEcyZxWr{`LPppWpxI*W=}JukEYW{m+R0
ze=*|!#j`8F+wZdc`p><8^~U-?m;c+$`6aua`Twu$^Z$J-j$-~WJ1Fj<`O-ay^1Uis
z?@#%}wZHg)=)EWZzfQORv)1YH@%ew=9j_?*cQC&GZ|IU=3x9*gVEA_{1ij)1VWXhF
zna_VT$N&4(UjP1p)Q0vWpZ5Rx^I5+B|7H1qf7@qoWsmviQGMWP|Gv3K|6XWc+s=Id
zMZbK1@*CIsUnvLv&G7pFczVa4qw{l4+e@Cde|g$I^R)fNoCDnEjF~430%R2n?O!ag
za(YyE!LhXE$lnFcMdv#^r+hf~<Lr;4KW6W^`_a5`_v8FWWykLydE2+Y^R{g9iQ4Cl
z(tpn}oBs;^;I^OZ-iz>q(touo7RR&Zhlsqf_$(VzV!Pr@jce&M>lJ5e*r(30U2)`%
zqiPzzmSJ^3=gsCtxwC%DisW9t^L|0b>}PeL1h6qUMBJMFX#C})=Nvy?-_lkQ@MQ@|
z#y{&_dB7Im;{E8FWKV0dZ>?`UcC2|;c}9-Si}D;B&2^IgI@!mBPwQN)byiYXBgt*|
zj(dm2Nrl&k9X8qVs6eQcw)W4ZJBtH~i-REOpXt|tpq0{MQ+6dfu9O!0qgEOI!}rs=
z53`@dJ~ThM`%wO*@@!kj*I|;J*Fq=Nsh?cb-2CQ<P>TAskd~&LfC-{g6r|-+8dXj(
zc}`%Rq`-Yern8;xO%>}>-jj^dlkE3+{&)DF7H>XV^8lAugXod}yICR}IX5)@moNT5
zN$q%V$;Cy%D-~Jp*vj2p;^9?)Z;^-CWUbJ-eb4N>XR{UW`F1Pt)tqB5KP+DVfv4Rz
z!9d~xN8yIzv{wr(BAiTT6mPg|b;Hy2^p=TVmdr}M<xv%M)+krScBPx)v@J}TCz3q1
zCsYJ0eA%9*C_Qt_lF}gQnOoYb-2|;|vUtBQdGUGbt%rfxJJh!ZhJ(mWnY`cMh}>ec
z{%Nst+d)4&zg4*#CihQ%#qe5tH}7}OLtVdF5|3JW{XUg<Sfbg7;ciT-`NPz;Z}OIH
zlCG;dJAKpp`n~^tT>t*|x<|_M*B*(}U$@8HfBH-9`lr8!N&44!$K)5qKKZq`Hq!sZ
z>*-%VZH&8j{q*gs%2@sWuSN0t;_|;fe60TZ*kkAO*{7;*`hIwQ{(k+w`2T-C{)#T|
zy>EY{>)+GE{rvOo{z?R&5-snKEc`x0^!tqs59Fl9ZY3Xfs?LeXct4%{?cbt>d*k)C
zd_Ub<Hg{c8gjSuT@R6rce+<-GPjCIT^GBi&<Miy0hf8l=e|vvxMbwYW&*T67$bB+}
z`|aP#Wwj^fC(3_HztR3j@|$9P8!9(*Z?kO}+n>_ka{vB5d~Cm4fBlZ%pSpJIudk4N
zK5O6g!o|V2&98r4aXIzQixSCXtJq_U{oXGN&u<ewyep(zbj|*rqLWE;?#&j@`dcu?
zTkO`-sEq3eoOW*$yJfojLSAcY)J?aYDa#D6No1vV7akALzCFWQ@5-rd=VW)Et*u^r
zpI0+&msp3@)_;4%=2>mkjpmM;8&x02ojO<VXToytcQ;l}&DDKVnw1*O-8MJs<~1Xq
z<zd^l?zs#SmM+ao?Jf#o+h-?L`}0rf{Ld@CM;G_athar)np-qDP0W1WOv#6n4zs#!
zyFP14ecZx-m9tJCl>01hC>yh^k5_N<8Mf&!Gn!8y+3L9ZNoncM;MWfRR&z@iY_++v
zvUI`LOSe{*E+}8}^ZIdq`Ty^YH*f8lxi<8U`}w~5pO25<p253jM)~zUUFG3#-uJzG
zZE$quk9Qx>KDKj?Dx9yl_Qvzwf<I-x1-bc{?x@i0y{PpqsIPUAXtn0v#cNsL`K@JM
z-}>3_@AoM7zDKsoq5O=-Zw@B4ZR0;?)p+OEFHI}8hf9}Q3)dLFNsRDcsa9tEChJDx
zjl2l|i_hGouYJ96GxC=3?(23n^S)L5fBpIW`uq3n_SWrgxmH(a>)*@rUT*h?{JrLD
zc6@IW{eEx5qkA9v?En4CyrPo+Ywbzf2h;1fwf;I+_w(`F`}bd2ZTY{z=66HTzWNV;
zZ_h6d-uM51UEPnj>ACkaPI2x(YrZc}{`BqL4X1n6pY+P_pCN5ucTrmZoiy_&x6i$d
z`?u~D3_bRK{q*hm-T#{RXor5OzZCla{fF=Qg^z!=?mz7H^z?K8R}reR+<g_FKF<g$
zuy}DYao4Gr3pyV{(NU|6fUZ25EjGm$zA?&dsddnt-R!m9fXlwxNTlwQTw2rrZ-2ku
z-7qn->8pXoMmaY1Ylj~ksgjrz!QU+WI<X*WU9ByT-<tN%2bF3WjEh_zF{C}|n8JAG
zgy2c0855N+u^1@38y2~gfrPp2W@LJYyg%p@y{L#k?UvChK4D|4UN5#87c)cL5A?_c
z7BU(axu}YqIU&f&I%A@8k&J<|`xD;;x4sic51ccefB)uw`})5>zkNP@-GBd|@8{!{
zjnBV~efo8NzU<!rKj+V{+n4_2{;ja%4)uHf2K|$Dd~#ksfBycOe>b-4ZR6ix|NG<T
zudkQ)+wcF9oAKv`Qhepl`1s1oe^W2JJ5T%Z;&A``r_bx3bwpq6pP%n7vF=0ciS@sZ
zi~sw0^zTR2{}nC2=iA#)v$y~AyO>9^?VC^K!s*|(rhDyLw|w6AxHs3;uCDjp<??^N
zy<N>8nT5BnYhN?n;`i31EOz$mD|PR8J-fbAOw33=G^AtKY4a(oZM%Qn+9Z(Z|3ccP
zoB!t&i*@FcAKcs1XtwCyo<_a3v+Csj?mqoh?Yir_`CqPFpLlG|pOb$LMd!;bnz_&a
zulTL>Nba}Bo32*s#LnFmc)v|`S=g_8mCxUOpC>fUe97deZ{OaY@#O4=+a+yxe=*m&
zK8u`i%8l!6$hIKg)NapI?<JeMRm8oD3MYlE0HGK^&zSSyWa~nl!|uFh(SBFjB>LUz
zU|03rgrj?<Ha$|^D_7yUo?TC_T2uLE;SKM|JyVW)Tdq>n{ub0{dQP-j^SIRWuIirH
zg8t*&Z#Pyi+`D9Y@Xt>V&%d|dcXW!wi=993TzFUAd~KFN;`is0W%ctE_fKw})?ypv
z6TqcA)pOxeBh4)#YOT{wEx8ahQzS}jvXgget;Q_r+=%bj&z+mpb@Z-x*qyy8N3+h_
z&t5LM%FJ)ow-sNG9&B$8GWXUH-PN-9l-=RD{`m{5B3fT1-mGE|U9@dtZsUF(&9LxS
zMP`Z1^Vk1BFA*rd>in+{&-d@!oB3P&%D3<pZ`TLp&iWgcJ8R0?E~DIjA?~+<Neg>~
z9IKaYxG+ysXG=O4_uH9=oHSi^wWGOgx8(S)*y^`*!#V!+xeXiq93s>eqEpufYeuIk
z7sNU~-fPA*e|3=%_njuM!#ws^ZT9R=s^6}+!bHcLm-lp#^WjZbE^N>-HPPYL;tl@M
zC26MpE$CH~SFy8x>c=24{ihy^VQ-eoXdda>J4LxQV&n8rwp-?Z`FeYw?|=Qv|Cd+a
z>$Um!f5yN1`Ty!?|Er(-uioeX{pJ7t&);44JnwSx=`VMTY`6QI*F|S;T>I|#?c3GQ
ze>{~}eeQq%{=WL(A5T|4{_gSPxP0t#{e6D%HFNj<u;MK7VUnHfa9rhrA&mLuPIA>d
zL)-5&dTngW=g-^ifBx?B=kG2Te}B0%(En`YnV0@E88mNoa7}dEE%VUymg?5;eS0Oi
zuU^zy*_Y;7YT~_Wab%F}*-5W5riCo73(}5mk-5}i(H3=6=^m)=$w*!?_3hr<b3S~%
zefr1y<Uil-{#8r=-`n?J?(zQ1({ms1PyG|_zGYg`+7EA^_s5qkSQo(limP<Nx(`Y#
zf6R~6jEX#GdqD5YWAR1o7w5ikD^V4?9P?(&n<a}5EtoM)RU{XLo|G3wO$+!Ewb19Z
z*5u5{R3qKhJg@JsR4|x1EmZCDrc)_V(|XN(iW<7-Pczj~&OdDQ$?VMgJjq*0=ib!M
zTji)VwKCFa=5*C5C{&2}>VR0C==Te}o;*t}^iY15DsHX6`$pwW&##erank~Bzkim>
zsoE6(X3v`?Ia5#m(Vw~8bBp~-m*11UAe7M(mZ=lpESa(>ZNfAsE^~<9-{x~tfBa*+
zwW`E8+M8qc%$re8+pgX^bM~6wseB9OVz&>$O*L!%1Q$2Yo@jdb!@I7(cdeAOBc5sT
zo{m|XICHg$PVv;X)OD8(l+%v(%n+^CEOomka{-b?R~m0>+dIWdIs1k;gjrL#G|9*M
zt=h3RL+P!vC8Uq#T**tyk=Pu#yK`gW88?u`(L?Hbab8;s4r3A@I!({|uga_oTDxM`
z+g?u9N2*gMuHVty!>J#&`kC9YQvvbM(MXkvr`@F8rq1r|S(=}+WrkbX%h<yusqqb)
zt!H`9iacC<xK_fm=H#2uiENY3oG)SV&U$|}@~fw*xaX>!9zkbaCuQkP+VWf}_elJG
zz4&)mc~n>S-Sk|0#Ok_TagcW5Mj`h(hF84S6u+IE^=;~vQ*B>om9WmeseW~4ZP47C
z^UtNMte=(fX-VwlyLqR7|M%rGZr{Jdvc}2x{v8>+g)J+(XCA)Jr<AzR=<>uAK6#I4
z9~u$V3x!*qRS~P-hlT!*ukx4^!QUxdTz@-te#G>>o2Tpjc8LAfxa$pb=}q=moA|G!
z%C9(WcfsvS^KFNm+CN=$l(yN`9oMVX*kih-mQ8YRztYk77d01oe6%j<(g^zVZ;n!z
z$bRePE4oXz*|U4Q*81dTyc79*+Uv-3SLr)qR}<b>^UnJ#8GlpW*6{J}WaZaKdfxZ-
zt`UA+TepGZZi21u%m{yPwQH9rbxK+)B{WM~Dn&F)TDn!4-Z*-q(6A_`M@C%vcx#+=
zb)e|R;NyNFuFrPgU`~==rSz@gM$4)WTZL`i+DWgIH}6o|X1A?NJL&cDL&qF)YL^6d
z-T39PKxE_BiB_6PuNTLIh;R_$&)F5v9D2m<h<=Y&lJcW)Z_$l{AH7#}tvK|fG}JXD
z@lVofkdIlz1f%W0&E-3C?YLi<YfkN(-q0i0j@Olem}%iht{sm9GgHEkT<foWBRlni
z$qY{Gh1mwecE_z*gpD5-?{PLTMBykOuV_z5a_jrZckoD$%wyT6PD#r-@4%{yddfM}
z(w<1X7w|cA!tkErj2RP^A8p@sg8AT?Vr5|#<MX{S0%vT@H#r(C-hYDqV9!6LpUlQZ
zF2C5*o^-GXoH-$=sQ}VpK4YS?lEsXP%1Iz%5r}wXal^Uz)B*j^C7TX@UHikYd+F?@
zyO+9s`6|#+_x$Rm*-QUP%T0@_bgxWW7<TV#M~~myJ=qhN25aB0a&cQ8whb(j^IA}H
z?l;Ai7q6G@RGGMQHGe*{<a1elIlnU{OFlk&c*MtD3dE_nT=B6WX_<1bIKTQbt_z2y
zgjX_sd2rmtHeBLFhk#o2FBSin85=Z?Xv&`5_Urk}AAEUy@A%~S;$-(&W~`C1J0pE`
zWA)P=*HX9N5itH&|8B*q|Muk}SO3qqUiIq#dD+mf|DX4*`t`q9J^b(gos(Dp`+w(T
z=>K}l?aOlOYkz%teE$6YGt>Y7`&hg8Pt`t)5dHd^is*O$zP)^I?^PSPF0SrWZ=Udv
z>$kth9$e<Y6!g;c_SbFQ4L3SBG5viOu_NMO>a=H<_b=M7bA5X8?6_B-_Ql+tw)%BZ
zsZRLsqOH2&sXL?QiQi4zRizW|9j&y=4@6q|gUC}LGHHuMxZxt7aPR1(lv(ShZ9BqY
z9;=(%d9ip`)GeXKA4<0Fc(J^G?ezWcUq9UzH|=ZD&)fa0|JVI_{^+gz|DUCM|Jdw4
z?q2vWUg^S_>8G3PI~z>(vL_kD>ls}sN!}N;Z<U(f@}fsYGt}0#Wj@NnLcMxCUHhfe
z!!4)3I<I?QR6F_g>KOT=y`Z+%rPjl}&tDfjc^Y*~{M?=x@85?OPS4Jamnhmf?OUtn
z^z6d7D7{K?{%ZcT*H3SG|GPSCYPhME{`Fs#!LKW250>wow!i<v?illp=eL=%+v{KV
zKfL_e>19(sZ_<3aC&$ZDe|FG0WbXB_R&C$u4?RCWy|wDY;-5vip%oWvc5Yk6-u$^J
z_v-KeTUQ9_3X7}_{-Ur;_-@BK7u(OXjl382cC78!o3MU!*%ziy3_F|eIIL4(Kf$-D
zQDlNx(_uB!^jG1gsk7GIk`M}?Y4DXld!NZJ*Ja+`=GoRC?XRA&`**+W+VTIl&;9yQ
ze>+nCf4=qW$NxWn-y-|><Ns}o?f=;;rPjMIG_zUv+M7%F&GT%ox39kcT6CypYtNIw
zIkVOsyYRKTHZgHu)TS;CC*N@IFu~U4FSAZ+ExKU>ib|%-C0n<sJ}bIB^~<xHcT7F}
z!`I%dygF+x2KD^$^wmpsC;ssXKYiczm2vFZ`f%a-g`$<`OTL!w{AIIJY4W^(iDxU9
zt^V0)`uJtIX_8NP@#gF~GuA!J?|fkp^W~|0^tok^S|6W}xBstmzrODOzn7n<^Z)<*
z@n^jK|Ihup>3r`VZ+~z9@7d=c)pdVw*YB_U^YwW9ef#6{|M&Mle!}<j+xGnZ|2CA|
zsrKXkEt9_Ix_tVIBX@i}_+96IDY)v%pE>u-hU=dEy>q{OxarBiajwmUcg68-cdon7
zzxdA1jrYp&rp8|#h5_>7Y_Ardcc|@=TG5}v{Pknr0y{0fBiHgDALzb+xo7%)`@MC)
z|6X=C&3}6TmfY&reXlc5GdUiA&v#{y`JLB4mw!3?qvYq~{~ryjkNw&BTJrVte=q+p
zPS=s&oF0>No<qw2RL13upKrfkzdrx}&nxw={`L`minHw_&gss!zcIhIz~=XZUvHXk
zZ{~mJZ};QR*PHD2SB{<%7GEu+7p57jlXRt6e7614iPK+Ly}A19->$Q&|1^}jcYlr0
zlj*oyrDMx?B+qu5A$!txIqyZx8>9Q5UTwVbYh#GDKvews2Z1f`XPx^jd7?(#<l|>;
zH2m6ob%>wuUWqWC+dQ{<t$B7!u_<OLdI??$^N#FVuq}!wIAtEk)~i*sm8>qTSjK<k
zTK`!&=8a#IAD?i@`Fo?qNFdtYYzq%a*bpSV_$)|xi!n%;ffpp~!o2Zocn`<)bZ6J!
z3`qDvM(f`n4<5I#pZ@;;_xlnrHcgyg_dmd{Hp1_x*O$-x?<bdkw><g#@8N%o=UrK{
zeap^>1-^MVe{DbWq9*MB>h3?GGV^D~ugUngh+De$=ar(%FAI6U9-sQlV*P4~n}68P
z|7%*D{+r=(?(c*v8uzB&y1jkIiWQxHzUQno|N56|s+%ooy31QFac%GRZFyG<qhD3Y
zW>2o1F7@1Hx?0$~x4N&DuJe?$cQ^l<`+8S&^J%WTJc90V$LGx~li%(ge(itBhIMNi
zs~jX&wD&ev6-chwebm7=fcMJbn+~=wOidrwb~sF*CVckPmG6pvS?{lYSM<B}Jd%5F
zbk*iBSuYb`=Dkc?Io0=mjfL&mQ(<dz42_Mifar+@pmw#&u>kGp3JvQs-Oc>$@A>Y@
z?6K-d{90-|$&_ER=Iz3|J8g--r#AoA4sHln<61w_uP5NwH@lV9e}5Uzv%D49U%$H4
z@o#j``}JoM<7U3xV<cbIyGHYHeMs}GYN^kuk^0-e3R#}k{=6wXEr0!)k8v|O_Zh|4
zq=x-Gb?0+w%8KPTpYuvGZxo#KXwN#~g;gKE&A)$i<?k)IQ*s_v9KRp`GdCya%yBh$
zi~eZy`l>B2U;b>idwhJwwd4C{?hpOHFXI2RIiIE)pTEA5b*u8SN$V#T=)Ki)ll2LS
zKc4TjW&h__32J|&et!COVqw>rT_r#2-Df?S${*an`sV5OqdR6^IAiZK<4B6_uPuj`
z=YHC<);@Q}Yn#3QMc-U*zrHdwI{Ru-ct(EMp<~nLtw<O8tN;4@`R%&zCmqTC`Iue)
zzvs7?rRPorpHdY%&24Kc+Wg{Y?Ed{*-&g(pxITXS`^U1AvYuO<`1g4E`nCRU@51Br
zqvMuEXUHEAyT!ht^&4Z(!r1!WFvjIFrv3Z(NnHDCvoVfgM#TF~!mn8yI=?a<IC5=|
z)y-Mq>d~KHrPNByyM2fKjieZR^);Kr^Gwfg@c*7xG52}&B}=_GUDLN}FU$Y<e%ATt
zH%hB6R#ndIuK&xf5I6t9qmR!%zEl1#d`98Sr5oDZ8S4Ynq9@CmKaRLBG+XiTuNyn>
zzkBRG+qC<=o$bbdrOhvOp0KAY+FNk{JQ(S*&uY0xy5i2mAs5vSE_r@Vc}C68=j-?D
zEDl(@zkf#Azn8J=^0WT^VwV20@W=-++HJGCe9Grv6SDWlAJr?;-TOFoZ_S$NCpz^e
z3ZE^%bM5r&s>(Ifv&)3;E<5)5=QO!F$92zneRS5Ty<oMN@%fZw?ad3n#mx<VRrad&
z)SlN%jNV(VJhR(R>+`zFFz!^}=TkgO{VIdBqj&6C7`Ck-(0Of+)pDDaQ*~pmU$ApI
z8}e)lXR6=K00^}~KW1<HTmRC&Z@<1?S^Qri%<3=u-ilT7UmmTjyU@M-mt%bTW&U}l
z<*!Ta|1JpU`r3SaGygo#efE=We%)IYX&iP^`mFJr?Bkce>)p)UJ(D@C*4B}2&8d_F
zTx!f=3X&U`PBw^ou&wzVo9}9rFn7I+#w+E&SFSCad_v~l;^eanc154x@cpf1+lsbt
zbz3joV;8^m`?XW`{kV*NX70C!$qQ}w>1;W^2sE(T6TM<;?vZmKrcWG*`KvYR<}ry)
z0ou1EW{cgL`*X?j^=8dGfB!m@oD};{BJ_2W!<y#(S@u13cZz>Ve#@zEtBo^o{_?g#
z>O}0rn7G`TiT^)Gx=%WB&Ah~fZN{ZagI-3Rx$KuD4qW>AQ>|YK#M6Jz=bNj#Y0>S7
zi)%Mp1a1EPv$ts4{hI9Uzj>+^v)gJp<|fML96tPL`{YGOE=yY-_WkbIn`5=F;LI$&
z%WDeqHy`>~`&E3N-L3hxt9C9swEaek)uc;*uC53)I^C`_bxPsw`ikpH(SKKJU7z;o
zR?sHh&*qExChzaq8un$<-}HaGihWHVWW6YE%#&U<ukPgQ>-_aKktLPue*ZnNZ_(-J
z|NorP`u5BBZThiKvrDdruQ99pv+Ym8h57UA(k|xrI+xm?(_sA;Z&m&E{?Es`|IWR#
zxpVHrDPR8ecceZ_F?TFF`bG5Uge5E3yBj0E_FN1Q<eqb9$@f!hYt|bkX(zo_zT$bl
zim|fcj-$$V`S;UK)_TvhuDhY5b4U3akEuY&35SA)Hwu5W|9+B6EjeMyEMI&^Vt$_g
zkH4JtHV@BFdiF^tQ}6sTZq{nuS8O}v(=P6>ZE*en?cnB@PL*b#HR{^$_oqkyubUyO
z@V0q*QNnc3-w$HLBacnsU&OSc`hQfwhCj9pFO}U)CL5I7{l4#S*Kt-dd+|A0(XD}T
zo&Hz<<xC5kclOe~>c!Q+RtnvIpuW3$&b{008$y0FY|E8DAbpGd2FEQsiIs<s|LU$X
zywvY(m~K%thqF&6y~%%edHCA7)gHaq{KOy2&3QKEzg>LS-`CgQ@2{=+`$g64sRB#Q
z4@u71&;Ob;wSJ%PCU&p>X89xe#|4kYzD4KE+ts?GH`{C3i+Q`3v6TCA*4aF)Whz~_
z<Vv!LduHCk+Fjc&%-g27#s9k4tNGX3H?)3xdCTc{N$$ehU1pbWa3rjls=G}LREd=O
zIj_yxI45hxRNZMgyjwRNRobvHY+FQ_*_BgbtM9Z$-AppHt^RQ-j=lPmq4V$OwOK}o
z<DzCRyn0$=t<IHKsZ+wlvc4L5X>)I_l3Y5qHEOna_UFK;nJ?2)Z?DODovA)I>gKh}
zepXvItzAC1Br7%A-}>d1Q*D?1o^)Jm)ysWRl6m-l?foaq`K)63F2}FPKm4fX>%E@_
ze<i;O=C{@6%z5}}3D@+)U&K#s(`$cOzU$AalTN>9*uMCpt!})8<@3WE#(TE>%x^E6
z^Xw$w;j+VKhr1G|ht<7UBkSh7ylby>Th#U=?~TK|?x*`@?Ut#VZ<#q?!7M5@;EH+&
zv#u0pO5OaOvTwb2NUS}0bPumy-vxz56%H;R8#*2_3Ld@t?ZF+jgsM63+h4W4em`4h
zyWX@HB_AjHiF@APKkZibhfAllc>h(@R{wtd`8fam)6e79X3al)TS;m**97LTvkp0Q
zpH{imAvWD}iQ~fR1q-EedeY0Y_LsjkoU6BYbujNa&*={LUJ8A&j%8cvw>BsH5NKM(
zcZpQ&<aK^)b0(fStC(qj_v^!tlXvIK#oOB1+_SxBqbJwx<fp@Bxl-fFlB742yEgi3
z9kW|0@f*UKEip}RqIm|dV|MuQpD~PrSEOPN#W#B|$hp8KCEFT3W7+JrmWj)+sUAMb
zv-NK7*+gp)*=zmv?bf@wIl?nub~n!|59N?%Y2jr$#I|+46^As-6(0@I;MbOYD}+DP
zGHjVpe^0^fea<DTe}8^#>9POAoV~Wx?bgb#O{L3julyhPn)P+g?qKPX>yb6fuN}Vr
z$^kT8^y<ROskv*@Krz2s1(X&d{g;PrD>b{ia%%3}rJ%{B^m?#x>T;#%e{YsnUGZF+
zsy=nv+>ofUpv;>f&Q{&veyf!kf0r!!Iyvg*mBoHpsUU%=zP7KHgqeL^fnCt+OOCz8
zE|*<OGrygPI`(A28qSK}y_YJt#MkMUD0m*2KL3TRhtP+o>Q(HUrd?wBvn0%WR>S-&
zb?en0sBe{9!1=>-Eqex2UC?VrknpKxAb}h(bDP?O!>VyQeEr20C)?QLik>%4_o;rM
zsxM!0@)3Jn(Z9yM78f^9pLZ#Ut9-q<EmP-Vk=nmo*NT3A_jUT7u$U#<-j^QzYthPA
zop<rDc3tm3wrws=lXKg4zPIMtymw*5f1PXg-EXUB_0GRyfA*X0*<=1$zvrbrR(Jiv
zt97%&>FYi&RcU{Xn-xwckDc#(y&&Z=r>eAl^m~Q78;&vk3OTw@di~|sjkV@+%il5o
z&Re6m>8t!YlPmXEzf27B<)55YH6!Hm;U%-=)UJN{@aM0ux9QiZ_cy=2GVQ_7>TR)^
zR`xOV=gZn2X>cA56xy_Q8_WKG^WHlB<lV*+zp`Y@f@LiG|JXg{(R7^lpuL%O%Khtc
zABDEDKbvlFg8$6!)sMj=m9HO|Y`Ah-YFbs~VejSA6)zVt>&sR>So)jahd1oa(wNVk
zecjVHRcKyMFx)UbXmt~BwdPi>8xW?mm+%udx81%BvJ!924pt^?u{OLlcg9>3gG0GX
zIkrz#x)at_5UmO4oMH$$y5WnGHp7}UvGB8JEX9uH1)ufl*myg{SUBm8-RhYw-jl*u
zjZU}EnlLxV^N!y(l?wIZ^A(fiF6_QOe@CpL?FILU$r9mjl-GFf+PzdVQTX?6$wXo0
zZz|tvX9${Ye1EuSL+8qaT`LcE?VZxIA=5-Z_2uvPb#-;WfBw9DzW@LChp(@nkG~g}
z{Q2f&|82|XPgy?yi{jruPp1E``~TtFwPn_f_Iv;R{(AYje0@#5zmNU@Ki{HnuQ#hL
zlQY|;FTbb!aen=uKmQ(o-u_;`{`a4+hxIo$=e}~0e`NaOjJ#Wj$BdP23%yxZifH;Q
z|95YxeE6(Lk%yDknq>T+Bc#CjX3E-MkKcZtRaExseQZ+p@*L+2s%ha>ZkHYwP2I%K
zyXVXHM)Cb`E8d=F)~{WZbgZ+n^1Ed7xx4ib6ZilB^y}N_=k4$9>s?xP^5ZLHemvOs
z^yKvK=l0Zi?qSa<c+=@~wCvCC?f%)C2{oni0q5+d#jZU1^_pt&@~4|3nT|G2)=fTi
z^2N;0{rk^P=!+HpmM-|{+n33&FZ-v&+`XGHJAmzWi_s0Wm|1rhT!|=OxpCdO_~HY{
zt>(R3c4XJ)BT}GYR<lJ;TS|U7Y<;G1@`|VGOskhdGFDsfuU5S_*=tcnkf(^hR_7y6
z)j*q-DqZSZH)VEyUBXdwwTNTV%**Cc?Q`Sn|NZ#z`?timt$Q~Kewn_#fByb&`yx-~
z-{1fD=g;HI^XtphJ^wuXZvXG|x1ZkPi3?*}TFV5*cA2ccEcd>N?R4SC>6RO6D^`Ad
z^7emR{a?%alPBx{Jp3zl*lDSRnAXt^0WXuz`iY+|zZD-mGg>V2fQ*pey<`70^DXRu
zPH~#We{ZisoJ+Lzo|&vEA`>DVoMy7B)bK~{Txlow?b}D^yn=on)i~eEZx=uQS^WQF
z>-OjJcjwoCsrYyC^yU70y&u0U{`6<@+n?@#Mcbb)elLG4TJD!){b^_W7x|Sh*!|}h
z{z;avlb2t@UHjwbx4)mC`^WFE<^A@0dj9>#Z;xMGcx>+9y9e8nOY-Xf_2>Sb{BpCv
z-`Wd*W=o&Ce|N**yS%^e-!1ram-qVq-4DN&n|}$ff7JFqe&3%TGV6EjVL$aR=-8)&
zueaMj>sk8g#rxovU;n;^>Hc_me9nhGm(Tz2{I7F9`@`Gs@8h4myS~2i`<0IE+kb8N
ze&w}zWkRpQ#{-G)%dMW~>gGJ%vg-VkbJE75(b6&UU*%@smsM?lyX>G=%-`qRKWq?u
z@vD8|jekaxc9Cg`r`5f`ZLQgK=G!#?zUA|EDsO%|Iq9_S{4eIyKb$lYj<u_l>won2
zaJsy0zk8sFwA{CEd7IBqOqrxR`B&l{Ri?9Yf4?-Rx?Qxd_^)@`zV5&Cz7^?$XZ~b&
zPpdj~!24_B1NEezm60DmZ+i4RHT!E~f?u0foR&1Re(8k9y;Bw+E7E#>J^qpXMw15x
z`6s)oo9Z9+-{1G|*RSZZ+xw=Tsks)Ob2i<-<l}b1&mYUp_xwqg&wHF#@m{>X-2LZm
z>uvk@CjY-}-M4@5<6qm$@BI7L_xt|6k3Vi({|j4w`~Itv-_djP>Q`U>@Ym2~i}kdd
z&IdLNnYr)Km#<&)`KpCE`?QaNPj($seLVGzX8+6W^ZgSO-W+Y}{F-#|NX&w}yps=o
z&AXSrGUsLB)Sah_4||tLR&=^Df8HIbEH$INU1{s5I~(>#YoF)!e!4SprH#4H)aPwW
zKi%07GEaILo8)}<Z$Vj4e;zsNy|Yi7xmxqOVRnN4(sdI}&qS`$tq(g@xeiR~Et~Lu
z%igBVXT*NS`Q@&=$+_Y7^pAeKL>_28omKC&wsPn7cS2dc$M=<$2W{hG{p_V|^6}n+
zDGk~GI5iu^q@~{^u5w;8JM`HhfBs<M!;=G_<p}sc>xxaSFbaR8^}4YniDSa9r0|*i
z6Us8zC6?sxHrc*g>DPfh*1Hs#Q<Cb<nNyNl`i^kO>fYZZvGB0UOy=Nb(cos$YE4On
z;xDaJB-ehp+xhWs=gsR(*{)_Q!%`+^p-_5;n}d%{)M{Hg;q2Qfx6U34U*4gY<{#qp
ztx#P4?7S6s-*sAPMyEbj7MHhP%A#jCuX@q6{pW2Pvs#z@i_3Kk%FSK+a8KK+cGXaR
z?^SZsLTq(bRz(D9&(3>mvvR8M_A<5F!hmgMYA%Tu3S5U7*YnBot;*F7d4J}b^^S<u
z&s5TFBn~dVT<U)OKv?)L*1sYLe>LVg+OPb|Tx<Aj$u<5jM`uiqvcDjn?3a~#Sv=X#
zJK`o-2n(6iquQ%@EkJwrK8fNW2sx$Tj8ASt%I(rUH8VfYtJ=J#_?z`~(;J`9CEd8R
zTB|twmWQ!)OwR@ReMef#R!{H9j!!>gUa~QFsf4H1*YCfc={2sr7u~*NWsiV+(BE%A
z-F1U^%w8!i>nGhJR<Xci`ILuuPCQ}~`@7<iYNhmya}kECmURZ2`u42KObYQ8{{7?h
zs?0}^Hcp=udihA)sTcE4FE}Wka%^v0N!}CBH?Hx;Kl`qqsZZ#tJEwK6R>D7iLZ|)7
zH?#O3Y+0J*SHu>#=fn2*)8*2Sw{<Tt70@&)&vmiB=(y#KBJ)c_^@Bx+yBfXua|>QB
z<kdUr?oh09^5<jc&2e6@YF?h4+r{U<%Vn{j>d(%@lL~82R=zfhDci+kKUc4D+vU`V
z*Cmon)>9vTO7b?HF1IU8we7Ob&bVgp^qhi|^3pMGQ`x4^*>Z5{Qr>l!kNB;vUe8&w
z-2213kTnHC8xMIN<x&^bo&(}&-?nmmdF9kLzZ$Epn_O8;ubkSZ<_v07s;myyo-O8~
zr&m?BrgU=K(!5I>uJ}q#+vRyU$UHORl^U;p^Q8l)1<XDOO=15Q)Fy86W@!xjtH!-k
zq9XEcc(2rtH+i%43YXvE?%!o+8iYeWeV*)9R~K~hyua4ZpPs4j3SZ8=nen0H`DuyZ
z{Io#ThepRtcC;L4TgkFy&0Ia(-NALtm!A1)GIncmYBru@liIT;^=7xGRd|`iHtB7W
zVLZv|g-h?Zy_~h~%WZ@Gvn{V2*kgT1b<<MUXdh3hDLU^z*`MRfmb|d%<5!<4BDya_
z7OB4U+Oj0AlWU??B=4nN>%T2|wa4?S;^bTJuhwo=zbY{yB-tUTm(kOdP35Y@ge#|(
z{m$~wO1-=*Flepx+$*P+rMGXjP<iZd*oe>M&lB$ynT&{Ijcuti84*^|hcDffn)c4P
z^=f3<{EA&FTZ2C~PVVBL6H@bF$s=ZUQF)7%KOBS)Upjqj>N(9LQj8nQq%P#__uY|l
zlK0dTvxk!^%~u@U=iB+B_Mv~<t$)i*-%ds)+aB(`B+fqlr*rd#GKUOng)Onxt3gcJ
zsLfyb^o0JJrL~@(`}%yd$&WW**5CgnzvhBnzwYbE-OblpqMq5UEalZLo`0o&J%nyc
z0`Ye3owgI?JEQNOYjZ$~ruv?~18OeJ{JkX145Z@Jveb8zqi&XPzFQJz7TaXKa;omJ
z{W~>6HdsDL@m8zcr25$A=A@bPa$Y{3n%TL>@ufWH(t4GcFB1b!-<zxVYlX<py5;}k
z%oLYPw@h(ZZosSe@r|sVX7IvYcQt2s6$M?G<?vX#=vKzdM<G*xy*EwODvt4Ko^F}A
za_w5(h)D|@r(elT(9FI$dFHLX-s{XhOuEUt=SidN`m4>2-N&H<Z(QTERhacHpB;!h
zwKd9k!}OxHEWAFNmaft>%8P%Qf0(>`<v}w+*N}ta&wVZ?d(D%m^5R*m*2XJzIH@!7
z{*e!DM<&=9ewhMZob%=9k+@T(X2u()Pm;CRI6cU}J?n<|O4jGX`iBdb2MO4|G?FRb
z#dCbF-r>UKrwd|M_MZ3}$NN$z%d|s~(RD4`PZg1^R;f1InPt19<|g?#2d;MXdT=aX
zWUJPZsE||-*)1zdjg}}yU9pn<&CwmjeA&2mj*;uyu38=w*R@@%x9gPePfw{koO;-s
znZ53l>S<-|G-mc^cm1UzHtwIz&7P(qC81hse1=zN>6EsmQ!W{pMi`n#Y@9xcn_W~~
z|EW|Gs9HO?B2j;-SdY}Vpsa%{9xmO_o+o(vg6gi4SNjt?^}ZIK5Yu)&c1yF$J^w?8
z>en>hWxRR|S2+HDo}<&0xg10+<ybaX@1V)bNW}vp%N@NI9Nglx*=fP&4U=^beo65*
zu)Qhd<Nxi5hZWz<m4(Z##Ad_EZvOID(c2_eT|T;^*UjJj$|<qGcLKC$>*dV9a%vg(
zGxe>TUON5p6j#qFS^30tN606s7_Ca)S0-zm<~|Jvaj(=YI;5W1{a1HGSjwa<SGAQW
zl%`Yq(jHZ_lCY|YTNQOP+Oq;K@O3;YZk{!zaORqW>VG3Y>#mu=I$3m+3;RXCErOy-
zx8<)-ie3IK?yT#%9&h31wxi#rrX5+3=*i<J^YBojCl8bdqrL@YC0*DsJ;?fA=hDY>
zL#*z3Vp44v4*m3KHfj+&<L;;CoRMnO5(cH*_7}daJG^C@_jl=M<-1GvC*F(wdTO`!
zw{rW}N%!uX-p#-9d-t;6yoZ&1611AX88^;+d}L|3>2>y-nlsk=@$&2i(UW=4?B44u
z^m}*a<RcqAlWwRO=1h_>^X!qBGDB+345>9YyjOaQEtfu#P!)9N^)tnz=bZh#_#In!
z-#eY@;pC!V%<Fk#+pNqJo;Smcc{R(ViY{@_I*{q%<n<tF#mqJlW8Rf#zDAtPJYTuw
z$tm@zdwwmM)I2|=YkuyvT_sZ+#ivVG6kcc)FPEz*{MR^rozVu7FzIPVIfsL`<bu-w
z!?wLsqH;h*dFvYxQzbt_O=$Wvx0de1rW!MIohl|pv)H`6WUl3E|LV&9(`p5R28yZ|
zK3q^x-7v8uaCMN&js>B2f3%gzc~9SQSu-kZ<(VLVE#q6>>)rGl59=1p+wNqZ{&cp$
zD$}c9T6}~TuJc-W%Wvh8$?HA}xc*}k^iy<b=6J62sr=NoLld=IFPD39-f1Wh`xzVm
zZK3D&8?%&uURbiaTYUO#zB9XLCiDJzlGk;4)85KU)s~Y>HzeIioAG;Js)EhT5FfqV
zGAEDq<%)v(oN6VC6YVa)*R_<dzx}W3@8ACaf4-jnyZ$)qi+@g#x#DwKhk8J`Y*Fut
zE%y}uJ#3EupP($4^QxK2R^waqsmg#>{Z%iH$8TI+?r6?cve*F|QO@<HFJwXa5~jV%
zqAz4uI=uH_t#a48U>($WZz4qM|D|j8E3UF{{k0%W{>rQ7sM>(l{8?XHqiPq1#jm(3
zj=|)LzmXk(V|%;=W1NJ#oXBs-jq*4NzZ{l}?9OkS8Gjz!@He5LzJQ~`fX7y%P0p0(
zsAF-y`p$o);U5A%Oj2LUCs1sm$eO}@(~)n2_6go6e4iL9BU^X;Ee#A1$>DMd-M*iX
zHJsI)b<tht|4%O1TmDc_n&8Y^bU=Zf2P+ZU9CKA6-1I~!Z&7G-Oz7_LtM8oUe_wcC
z@uJ-3hiuZq_NW&N#i|ZC@xS=RXlu7h?zw*5Mfd$j7C+x#d2n*vhb7a0Em1YNBQZCx
z$Isktx%A@8Yu%RboN69=!B@1zd2@cjd1;oN2W>(0m8$0Idk^N7f1SMB>VB;3^L2g2
z;m3Eb{`zj!^xdzX^6kB|u+>^+kMkkkTXzHm%vgHY+STSg5aW$$?AWk!!t7Ov!LGTh
zPWevVo2hfdBq}Fy!_K!$Z-sPZEOjVm{TKWonN>{V!n?lxw?2mN{;j?7B;V{e8&0k>
zyLnY@_pOxh*>AEY$L4NY?OV1LA}F1+>7W{f*s|Mkx465@eeoB+nQG$~yzhSXd(p3b
zEB5KHLSq^=T|2J-KPNVUb?;K%FVoB}?BCMl9a<{$>>lIpW(~vrE*%;hR|s`$VIiz?
zSMsh@j#2)yHmKI2<HtwVd-aXq;~)GLuc)7uqQ-u#cQK!?)05f#Rt}sG&AXSl%W}46
zWL5I7J*Q<|)De1RQzvKVI_9lsQk=pUHD{=28870TxvYy@=0tektV=qX=eeKP=$}~?
zHzRbf!Ro5Su&;+K?}LQqeBQg^%a=n+C%L;-^mQif+2HXB%317DH0guj)}&`<U01rw
zqdxj+8x>o<>T&A$7kMs3Waa#4bKEEX$~-quWMzvbfAA6^xsH|YbFH{8zN=pFTkgd^
z_A5m#QE8i$OWtzCT033uyHI+CbECk$#X(nQZWoxk=-mC*6@{Di7I^f|7G-nIy0a!!
zBj|D3Rp*IP-@U%?wfcTlA!PY>r;zf}Njff%4<56+ek|+8u~|2d&3bbz>GrWnca9yp
zd+gJ_V@eN>1wB00^yt{8$6?u<Ha;`H5T-n5Q^zrF?PvRf4zDu$zTHq~&6}is?}L(!
zB<2;a6pWLcU%fJ!e?}(vs_E}{&Ybl@b|vTiiL*Y)&U_zK{r1b^xpiAE_m};;=x2ZH
zrMvatjOFqW!Oh$D2gXZ(-5O{qJ#}-TX=3up%EcGWmdQ(B?(co+Zna0r^!Twa$;rPq
z8vea8qb|qC-fWrtO-s>@Up?%%&8pLhi!++ec=?`yPj#Zf+t!0y#Mv%$1RG6czI;#W
zP2P-5<EjFKEtb>Q9r(}_!@+#mxG0jBr|P6B`-UWmlBwLX32uC^w8Fy=^vL*yU)&!y
zP5VefMcz8|=9T*5-(DS3*?D0)x2+w!vTKdfuZX^gt}Xk;zP&oMFJ3~i=tJ<4YszXf
z1&w|QmE@*LwI1AIkRs0Z?a+sK3l9-K)mCP|hZ{mHDn$7TTbUCRZ-vg7BihH&s(fhb
zt^Gm2!~3Mef5-khqJ6tG_4WEGAH95IR<76HTDA1u`YjixmhHK8EBx1ysq>1L*2~Jg
z;ph9t&i=c(`R~CE1qM1YUw$_yK1_(PkPzc*YlSiMzRZ!feeQOC=fz@z%ym2y!rLxt
zH@}^_YyTyu@LM0fvg2P0hgY4O`mc1Uc-+g?;Z<Lz*43xJ-?525Hrqe?ScArq%zFZ#
zlkQB9XJ7W%$Kb4Q(?iLduMdV?n|m;Ed4l!P`zx2+t+f@|cUi}}cl+fd*0PDqcfToF
zHFw?i%Z}x{KKiBKzo}Z*7iI0fcJ9XX^fxyptILkfo4fIO!fXC*|1gQ`RW9e6Cw@+N
zVk2S6?{;pno8K4jFN<ft%-<em!r&agcjmuozYPz5xKsT$E+OOe-7Qb^ZWX03x0ATb
z-^a-A-qrm0WT5-prxTwB<uWhx<zA-55**mPGyP3l;;*umO^qx6h-bY#bfG-);)_EW
z6^SN$45Z>Dc=dbQ#N7_-?AN>`H<Q)UHAj5W!`6!*4pkH-+MG0+r?gG(qsr^5t{YY7
zii_14+0;)|a?bd6e3G!dfkocAH3uFnvGiv)e!F<GSXhnsu8Bt1x-U&Upm6-?iw8+1
zrG{3e-#j)qI)*RXC4FY=`4b1Nysb25Ol<x-_l;<R8{f65o3yVz?OglTp+k&&XY+^k
z@I_YLlO()b7o2_g+3CWw7tF>dCA3`ry_)MW`*MBjgV~|&FDE*=e3NNwc~Jc;pg_uW
zT3ocu><g!Noqu#ewygAGA?xg>*v8I6p5q=9HeKg(YMOFMJITVWX^Qd&B{R{L4t)E&
zZd5J*c&&r;AoJDfUcD^ZofZl#wn|A20$<j=`0sSV`Q~@!LtKvMgYwTmS|zY0vf5YA
zhtqNPMAK<=f}0hYp7F@?2%0|seM(~C<|>A^j`^x~2FmR2v(|iSl(e+ils1)%=j~}L
zQHfjAr)n8&(a#P|xKQl(a!TNN%azQ=MFHn6*F&hS!N+<u?l&_Z{{A$&G~vSOyDwDl
ztFtu6ed={Gc%gb<lf}3wK>uf!w8XsG`llKn?u=w$K72ooljqEq)>_5vc?lEDwO1r$
zc;_8uH_lynx5(U1;?IM$KTp&Cyh{6{FWx?Nqi$NhtHFtB+}9H>gz7anFFZT@W%TTm
zcZwHt&8XD-oto#vXnelZSoG9HNnMFAlWrPHe3_HH*XWk+@oIw;6O-*`Ol<zE>t1J|
z%>FyN@4vQjb+uC2qO+0PA|u5D4qlk1v#`l=o6e#p$M8ru*5-GcC#>q(b|y$`#>8g3
zDOaydefo~iV2kDV@&-rtorjrua!=aIB}_Q`_S1>ImI)J-%YQX2Ji9ZP^UNRJWWQ;P
zv&|y?%)ZU%yHs?+@kh9BzT6Vk-@Q5F?du?o^{t*KTB0u5&MKWg`&9f#Yn7tl*}=Z%
zTSe;cT=B8qzF=lynz-3&-^Xf8`g?ryo9;cI_AB<i$I?3YRkO>NNY%KndS1TdT7`So
z=JJ$VOV`@Z3CrZk{k^5V)alorO#3%2A<N&-TXU<*y(UQ}XNkwwuYDoW??Kd-udOfs
z>WQxSu$yas#Eo@_u8H4Texa*%o8FfWr~R5=);Y=Re7W*{*{ari6K`z{u-th%VxnE)
zt&KK{xqr9(u5?<}xpv>A#UA_XR<D1macSAJc@@2!7cCFm`e3-(?97=pyza)?hp(Nv
z6=1m8jOEN4UQy%X+`PkQjwD>F(N1{zH2L*E1*=6p;S6jS1ylEU8Jv)HIb2dJ7(1K8
z^HZ*Yf$6ib`y9bb>|}1Q?A*eh_w}rS>#?+piU)f5T{swv@2A%&EaH$bDOxEQ8p#r8
zaP?$hEbDg3i(P`cRT?t{b$?mU_;&kc>@?2Ih#B{~XHJkRtU8|NBqG;*`QX8q4<3{l
z?2(sY;o}o!XP?rneDPpH^_2;0tXQ=5c~lQx>1|pm%^GZ8mHweW;n~g?d<U<jH)Z~j
z$QR;U^f7tQM2n7Ddhxe{mMohc$1r=stary2em=(d?O5WEV+Vg7Q~Z0Z@&7SHRi31O
zL3@833)KD>#9VGW)xm@DqGIBn4VE1HBcm(RXB94Ydw=D{T&cami_7~~Ka6;$A9}i6
zEwP;M!^hNRsk1Due|GrLzAW0+W8(ghv-T5?`Mfvw>zJiCMQ~$&RLE=rYk{Qub2q+!
zSCU-Pf1^D8&F<eEiT@@EDZ1`8e!cV3+;t_(wRhi~x~_QX>zJF8>q{nA)l3jD{a?XY
zDDbx8vFkBMlWBe8O6(USqVC&?E$Y(V@_VY3e#wm0rcCdh3{HGz6ZzQr;q4*0fE)f?
z)xn83&Ohc5nYm1=@s7ajN1Hg!%s#CSxGeo%IB4$Oj7ieDmC5q^HuB%UA)No_u=z86
z^KaLs_da(E-x(SnSu=U&-!EN%cX-CmT3NSmjlTKDrv(i!H5xbr4O_b!lkXkd^(&+K
z+e53H0*f{-I9JsgU=<o{Tk6{JFVwa?weXnkVVN0Lxdy$@4wkIoYqNB6%QAQy678mF
za9ONJ=ir%Q%hFS`L}&cUm)o(}V|SF?PGzlYib?JN-+j2>n|$-w#+=6y+YG1W$ecFo
zOO-ypsp?z5z}Nd#zxoAkn7v+{u%UJK{9QW({AM0_z--CL+#LU;gpcRnjLFUh%IvjT
z=}Qva`W6)(ss3^5kh`q&?9E>6%N`#)R`fe8Tteia?(3*lrNu%${RexzB(gUB<4yB9
z9WdFo+`wdJp*??B(2;*)X#q$6wKYsqkKsI2u&>bKzQvsPp*y?2bnfnEKEZ7Ej8Xb?
z!`Uxp#w&TBy=#71RJ8ZV#6rK@ON<0$AHQlWa58ban*6jSW6A9ap7|WNzjj!gYUy?a
zCMBEq9u5l$JQ%X@;Fj|&m)qW$-1IyA&gB2QJ=}IXE(d>pAny9b@k_7GZ&$(S{(C!i
z+jYm3B<t-m6u)bcd($HKrsa-zGEL=tSFGDV&vne$?ti81ZO-3!DJJp!Pv0z)PIqB;
zo__WRM_Wer9$N`E)$8Rx{HyN<Ogy#qD&JZU-mO<JEC7)Ti$P?;QlY{(p1T_)a}8|0
z_Oo8D5b$~IW{@1Z%w6)|!5$f(ezVDPxoWnj7V@7taqx@A^{9g%?yL#ZcwD^s4MW<z
z=mq=N*fcoSM^-ZgTlVQBHf2UPWzKHS{1x~8EVp4*QrxuPItRAM9^A6`V2|I7=}#A#
z&3L6UBYX2k-QRBOa+)PAZ<u$zls@{U_mHAVP=(SKi^*mNTP!Yv2zMoeEf&X>3^MH8
zl?{~HP3JQU&6wCc>-?3}L_@|y_n+NTm~l$FeswNqo7wX&6^SoJGd8|9NEW}oXZtRL
zbsWjzu}R@A8?*OZG*W!rb?cvnfPbl+&sS-ls?%4Oe-+&7wSeR7+EOOhjJ&mxnoj9P
zp{v)#HLPSf7;+(D)r5qCb!W@e+FH)6I(S9k-~*4D5!#P8OkHUzk#hQp6wjvAD&Djw
z3GFw0L1@KP1J@S<TgA53M4r5xm~d-Hf|iScsM!oH)fv_6v@B;xEq*eK9mJc+e9>aY
zL}ot8Gbb3?`O}^_`0JIv^_uu?Ur_jZkxYNR(!X94-CBdfH;809^oVnIB(%n8GBk^B
zdd1JCJ%cILpe8<S@_{?w53X9)CCU?KI<+BT!>W}mQ=)o;SypfA64!HLS$)m-cvnK`
z=Eu`nj~}|b=VD02!6_!q2f22B72pXwakf2S!=b5+W)f=>ripY#R&lUdU-@g;wP5$h
zVgc3r_D|~G27N!XhX0JOaY8^^-fYHZqvGpK5>saW7CW%u%$=PK-!3gTT)t*qX-Ixv
zIzw@Nw#wsxNzqaV@^0<QWcE;YTP2ZqZMTvL$H8)U34u=xhGps8MUlchLeCDVN#v9p
zZ`(byZ$kF9gbg9<qM8o|?abp%d-7nxeDCWCZhU;dc7j6m!G{S~^9+>P+u0}YV>d1;
zs4#e0z0&%q$jl8b4;SW%1*a?$P%&6KFX6%lO<C6FHeXFG36mVJ=z~7LUuZ5{B6jA4
zqrc(OJqd1nRb85jY{o?wg3s(xn=!F@-lWefuTCkwwUlGtA<dYFF6#@dA}lg{%-41p
zNE8VLz6wd6dP1bG$+0NdLu=Us5gsuU)-^xuA`Xh|Z#uYY*$lBWweu(ThUp$#wKZ=o
zN7&trtG!{VwtF|cii?=7zvhAXwV&RxyaD#9ZpmWeO$UwAHY!Q1*)TJ((0K78&G7cu
z&X2c>t>BPaKULZ_BY)cAuVxXAUl$+J3Qp8rwIL}qD>ggVb=v*|39P|>+-xg-B=sfM
zBz*H?X%^)(ek7B2#IV}3Nz&5d(F|LagFP}bg=g+fO>pBow)*gq)ob=OIPwLb<z%z;
zDb<j8VsLd?!h~e=Z#Q~veN1&`Ol<yU5V|A5jqldsDNCCrEi?M>-fDi_uDRYl-!1yg
zB4-0->Em+2ulU>E8+)@K?BTOG_a<#lWkILa{lI{h<#s)8@@}8`lNYvMymvU`{Xtdf
z&CKTJue2^@yx8_v?D4{*6`Laac-VfPElM#sG5_?$OEwaV_7qf9JPi2P^iVxs;?iz|
zyuAx7{;w<0dYO2)?cG;{ydMwdaer^Se7NG*;V&KSFD?1=j#fPE>k|K;8_-bs)L3F(
zR@_gXw0-@C$3i8~WOpB25b*g{l0nA#J=-MCoH!_#5ErfUZ0pekH@<HSpZk&wl-ZB#
zZT67o*)-GjSHgs~1zQ&vXjeb<Ue#)So%{Pr@qJ68UhOM%eQ}g^uf;8|6rIC*v$v`l
zBukdvT$9(hl1I%Xoh5w9uM-~~CcZLr7kABC{^np;NVcS@$iddQp6>k;;`8e=D}Pye
z^_`f`7&P&xo>!l&GJnpzHTG4yPq>PhcQ)HNtSJAoh~3=ZZinFigS`zu7vJn!vD@jc
zq;-Py<L242@^^%OCe;2v_%mvs>xvV1mKx0}fBva8x<YK%<8IC=KVRQZIJa0(BtAp^
zzny{3ob^KbFaDZ^zOxPzp7M+P`LEb#dRsqO2l217?4H21sWBse<;tl7cHY(AqAnfZ
z#8w@7bt!^zuWIh9j(H+-i#$p*7hU77KFwY2y-#g-m3vvTfkkRria|wmS*k(Hy*Fo0
z#r&ReHKjhz=jh`;y@F-jb6zr~ukx;Px-#=sq92G{=;m}qM%?Oh>$#M^LWAYDN1_<N
z%zWR`>^T4DG>aLPY{k{z7wxziB*{~kxGjV&*mmkKu^mcRgDY4kmhB2U9_D)MrI&21
zXy$V5(wa~fZ5yB17m1&4wi}zU&7Nbbap~GK8JCIM%+gJoCbT{M;~_Y^>+CnRt7m#b
z1f%;yv@R#O-ue}ExIrnm_DomDmaj+Gy!(7++o8#Kx%~CDZ62?=Qn8`RfsMtWqAR-l
z{0s#yC#T89F0Rk`LwhYHLzXOxw|MsCO~Ayg#plGccij13SnFtV%lEI&47Yg+Qk6}a
zPdJxNRG(p1_k7jCbD_<1SF>4{x4w%}ys^`1Lvd@ubFPEuM4RSnvs?`Mx;){P|G@=?
zo1FxB!VI)o4koa|n7bntIntgugsMpBY`km{BXxJD$*$telINFRoLhQvuJ_{Ik$N0#
z3(pie8b}=15oc*GgfoqcE?A`PRG2Z5dFk2&H@-6)nj|d)s<_jhIBeQ7`)N~x8=v$%
zh4!2G#dvbfe&23dcs8y6cHXz`tj@E~#@$O;cJ|8No2RAEoN&B5d+(luJu*=4!;<q4
z550K`DnJVozkOmmTySvj9_#O)-rYI9yIBAIxxIU;@1EYh?T}6xTk&_tpv^ZVc_z&|
zdhYI<%e-veGi!GpOfcN+Z$4vUvzYPk5>U}#`0n!Eo&M%E(>TN)+ufb}yQCZ}Q2rdu
zS-f&X)6M15JYfdEOPUXISt>IeHZJmGXiI;xhJk0=xk^R}ow>%$1`)HKPky)N)cZAe
z->>=bK8F3q&ZrH=zKPFI9X|K9bMLyOH9}95*d^8^i1{}i<VrJC164yd%?G)T_Cy@*
ziP)*KyCb`7@7p=YA*l6fXM)bT$7M)p(Mp49Gmo=2Z}iDkm*|=LS<65|TRktqAk6RX
z6vMp+t9wAL75z<f7}K6SNSG?hYoN@|uDzLGSK?Osw}q_Ezt7lhNyxaL_GOQx?QGla
z2N$Goer`5nVsn*QbzZZi<q9a*x%FnT^qCU}7an@E6BNP$iQn$985bG+Dmb5erlv!o
z<z|b}nY-+XXQwSr5V)3;%gdJ7Z5U<fW_%men#nNPycA@pn{jj&C}d4GFD-jM?N&L<
z;zIA-3I@S8p1hTJcY?*{MrW1ht?XTPh$~vwXqs!wt2QUI39?TZb~fE{c&ETGaHFwX
zD)MRoi}i+vnX0_58<<~Dh&&JCM$J6R<C<g3wL0=-z>#Z<E9R$yxLw~P4-2$B+Pga8
ziIUok-Tbz8*9{L|cwDS1%wubJ-3Y`q4VG;8Ew(B!P-a&y(0kh~X(`cI>?(Wa#6gZZ
z)qf83$n+dYGmZhvzT3}YTx7uXT+FAFRqJTtqyq`JcA=nEPY<6-ZeBSJgd{B&JWX)p
z%dng=kvWQQS?!+(Hs5d~vsql)Gb(coA{3u*l)4vkZp~4xAj{&99urT^^mgwG@!MQb
zQovMQz$9JgwPdZmMWZb$>RoooD~(B-C(KCrM#8f7BA3IvUTRAyHqG4EB=~4<Vhcyw
zlLs7$aqoGSo#$P4?f%cI=jX0lm+!l`^YhnpbJv%@`(xTLH-E$Xn&#T|`5Ux@*186V
zW*-d6KDfa2^Pwt(t#wcoad6KDxicpiU$Yw*UHJ9v>z4#KzMhzaJu+urR`4A^S8P!>
z@9@UYGJO$g4Qrms^d(HVI_Iz;&!$=8SDPKfJ};^=xN&CBihZ-iuYyv6F-Sm}JvS{b
z1k~=^CV1vVV~+BSiOe_M43yb7^(MISrAY6-nYDfP+OmTe_T9;1Z~JE3Gf&>_Jpbb7
z?H4~Ee(^K$OHSM$j<hEW=AAwF5i}fXY2MH*X=#!4MDD{@@9SqbbQvUFc?%*x#4g_Z
zt|PSN#o@Dit+zA0FAaaAHPP~w(u|$`*Nmivnh!>$IVTxJoX+v!VauM$+j+1@W{a4)
z%DX!gB>s7^WEVO=I>*~-4Hno@4CY)6i8u&Stv%!IAyBaxYay{FVH-Dlu(pl$%8=CR
zqEDKSZf%|%61puxE81YH?u=7nKB=HW&c8GHeUHow&4XA7Y44YXUa}LvP3f)PV)pLh
ztsU;!kLA|d-1QTjmEZN^JfCsvP9MR)Hx*YIOxIk*-n`RC&{pD1YS)W{Ju)WZ-7loO
zUp(C4VgO-DgZU8hVn~Ey_ltxLO_BUOY}zxv9!S{Ww2pn9@3Xv17Kf*B+<KZ9R4=5t
z<@DVp|K0>=OyBLfc;$t4H+`jeZkg`(Y<Aq8W}az~as7=NFPpsiZcp>gvrT49Y<6~e
zr^aerRM24%4JyJViqD9x(cPY~Htn(9<<9{D1|74#jS>XR#ZwO`<msJmaJ&;UgVDI?
zLe5Sz=CmgZZWdLtojKvS`6(CgjET)@#=m_)F&8qUb{VKir?qJhXWEko0f}03b~buU
zWLt2@ZixqTK%QLC5%r{8z3aC{Gk=`9TIQN#d+k}#%2>hZ{;fNG1X&htPitDxvDV$z
zdNZ?Yj_s?PGo>_=UMIfo{rcudZK6`{-(ywZ!d~C(ZWi4vBYx)Y@;+G~S?d{AWd@~h
z5^gzmNA__qlQo%9b|JxyuLPOU-z0PV{<77lT~JG-(#<ilK}+(cWjl9;ynk4^>U;tO
z-P+d6oi8nZFR9F-MejiCb_mZ*Z%=Ma#qAv*#C${7^DT>$_1SABv1Rs0c@Vv3f-{4}
znhDJfM#~s2c^V`w1yY;9#6gLe*4sO{wik-#J=VH&EOZCQ27#+<4zV_`NE6kQa4}t7
za4^AWGnY7zn(^v_*^#Z>X-^iMJi`a7MrWOQqrj2&<iG)eGbb7w6=zIjW(IZt+1e5&
z9KLd<*^w{Un4itk=d6vy69d!F5J5>xi3z@EZDvetW}IpIxfeIe&{~sFmdM&HdPZ+e
z!iJ`st)e_(AXDDiMV!8Jrukshnwj})X14Dag0k|#d<f|toTv-3JA3A=N&|>;u4QR*
zJYf|xB66<%ZIZN<=svqE-ay&?$RpLOzw3Xe%ZMIywy-{XXs%KPNBVMUFxvTHLC4zT
zZ%o2vMK*q&G56V|W~FU%n=i-Y3$)zKTxX_{^xDw+>{2$@9NV<zJI^iP$jjZ<!&dzL
z;NSS3-}@!L*Bidef41V_gMVp#QapEWoCyS_zn0mSS)k5Vfw4QNo?DRcY{kJInR9tF
z7XPb%a6Rq1*(~v;&5rTUTwf+!m|huZHKSVZOKRMdzWAoLyJx#Efr^dYAmNG4W#;-`
z?8Zd~k`KBsNyksQ_hV7XpA<vEL%j80G9IU`?w!5XEN|Pb9XGW=CI8v5g9)skCzTkK
z%dbg|o5aVKJ#+e!=7UksR9_}+5R0F5@5iK)AKHRzj!s`<zE5TEN7a|T`kwhUo>h;%
zFI^0YIK4+jmM6?W-;=#rbe&r1?pasfW*u9%T5|Wgv^TqEZG4>-#FHm+cSmB$<F<>v
z(r)Guj<K{`v*Y2k!x;t{H*zF+*?4F3E<U&*adRRlb4!^?yMY44YX<LPP=-`3It&{3
zU)*vtP4vu(gFBM9MY1-_q+QN1D3^a?I@_(;F>Z6Fi3EZ<V`6ie@obP88RnZaK}Mo+
zA0Iv2BW`XScjrvS!ME?<pE#n~BmP}NKaT0|*QdAh*USI^_3ili?VqRLmFvppOU&3<
zvbkjYmknRCUY@<Qdnvzne9wuQi54+7_iV~uor!z(;p*er$IJWW<*d2G><zC(Zm8;B
zc&@3G#pFulhQ8)DEADF-F9fJZ-wjS)vAOKsn!+2A*59vNyje4McaBWiwR3yT_^h*^
z_er<Ub;}aVT(P<AtSWEmwRMt97oNLiy58hU<nFZTZC2cAeJ?j`PDq=@XU(0q56qkk
zV!q>4%$B?xkUk~K$NY-q(rH(COWjtsmO9r~&kfvk=-mcmuWL7D%iK<8?LK@?BeJ;s
zhNNnDB;S7T%5a&zT^lTRSnjZ{u&5~g@a)0egYC_F0U?_uU#*!I%-=r$&fA<h#RBbf
zdyCZ`ZP;9R&RwR=>|7*g%KB{ewq0>i;Q>6Qd$+7xEb*!;GuGGe%GXP~mL;uty>#cM
zM;kULtXpsKMrX!q9X@OBXHngU&o%9Q1QL#29Wtx?eVdf;bEZ8D-7fFsSZ~7bZg!<I
z@b1af6`vRFntwV#Ia+Rd&Wee;{JtRZcT)>)R0h7A0VZdI$XVwTpSxx{w9jSD-e7(u
zazk6S^IX>K1Lj|}gtx?$1%z~zq)pG}>*7=Td_?-<+!u~tKJ~Bt7xn$$_4DWR?f2LJ
z{Pgj({e9NmEhYaJfBLidZ0(n?pXa}ye@p*N{~PgV?mt^4gtFCDO&(XiUDT3hvtD+}
zg`VeItA%EL+*9V~^myw{Q(n`!*|L`d9&h#EmAdYM)f=m*bx&S}Pycmm(!ymYKi+Ph
zHcM^#FRsZ8^+K+R&*UjxxM|<Hloi}le>ycB)(d%-&B(VqV5UC1#T%#8eM%2DY);sx
z0U~R!9}7r7`0H5j!gEbu_ewj@Wqp0y9F%l?ZUxk@-(2RqY~j2!%Vf*0ox3|9lw9Nj
z+UIU9R$p=W+^wDRR}$0T?wXLZVslw+fZ3JE-FiCGuhzth&)_W;Tk|`Sr&R1rtsy8P
z{z<gYWi6iH1B#A#x8}OF^8{u+TzJhd<dx1`iL9LIt-{W|Z#U&6t=JeV=F4Nc?X&9S
z)pO@u7cyNac_zDY)&-ttTWx$Z*gi+iQ8T%=YH6lZ@>(4q>8v$VQ!|}=w{FtNU9mB2
z+7e#VYo`>C1=O$KnA4}fYL3+&0jbm14z^y1*v6;Za%@v+f}+W^wW%3S$v1VJq_cEp
zt7bU&rfz1)U9mA|+I*1aN1GQOi`x3dCu2=0_xYA%QCr`DnBC{NvJG9L=Wi8o?&aMi
zl(b@_<26SfQ?nCV9Se`KMKT*+xv?P3fuol<YwpYoHx`7=<m~0m>YaJv%Z#W*HIr+n
zer;NKEGjbA>`H`o_USgM?9|ko0m*CEXiI0MoNl#o?oEAdB)4#5PV5n7lWA+cD?lz~
z?7tAPP4B*-)XUAQa#n22xi*E@blTajO$(20iu5<T5@ELbWSdm>)hMHd8^hMB2uo#O
zwE{Dhgr%}?N_|Ru9X@+)eD2n|)D?lR)6}D8&&|j!TO_K#dZvl(2G7gPvr(w~HfF+W
z52P&;y;0J0)^E$B4cy+o$8WrG$=)oy_N?C)GwX}D;2bBebVvJ(TyJz{2<z4+&AJ^>
zzy6}@ty=+$ySHpv=qB#}Cg(cWwy1WKD<{PAH$`Tp+<p^vFzq^*+3v6nH@7yOT_@Ti
za_(H@#F85~Ewd-_?VeZl(e~@3>Q_g<U+I3oQas;rcbLH4TS3Avv!5%QeB51T>tuZE
zT3`F|+ZWAt&s})#W{&%*M{QvGR*pLey~&8?KG~ACWo>E-NU0Ji6m%6q0m1}gPU{Cp
z>qbxnSeacZonx~0u>ZTVyE<!MZ+M-S8J~MUEu3pxR-$vS*`oqc?_M*r-9Z~}PHj57
zP9&#nX~Jf0R>fBCDVf>ru?u{%t>5VUIC5|I_6P5DcT{t~+tz-qr|rU*3$xA(%#u5`
z_&ndf83id%h1W=IliVg<CQ)YkChf-E4eg0;d^!91(w-dn_Bw$S<^*N!<b-E-x6aD6
zi1B=y)qJ7JF|Jr3%HTvcpA)FLbN7>iiGecv+qAgNTxm}h+&z;WcCbfg%k0XtuO4KF
zxvve>y46vpB;2^p#e9*q;H><6UL0&+XKfd1a@_lDZA(JH`burZ8QJnzPHz|Li&_%I
z^7R_iRHYTu-$%&S9Dnt|I*k4PMynrvp(dh!^H?<vA{4s=6E--BEjp0Us^ipnkSnqw
z=-7hE?<3Y~J&<1H9%~WIl6}?H*mZ;0eF57aZ7&X*yYTN*top!vF+*#~8CN5N2yO8b
z2NKrC$S^l=ElT9!xpwN&I-{u5dm=#<tKuOv`q8o8Y<B*|wiOa@4<63omvgKBU~sG4
zMf^q&cg`_Zv&T%9PZ^tE6>VZ>yZY3G{mcnR@e`AE5A?{CG}_!@0&_n@xsRC6oH&@M
z<G+)^xTrwlbdlVQ-Ta@@6#02Rr3v~=zD?uv;%2Kn>zD}&yJ)#7Y@nd*+88Z2hZDs5
z^v1_PxqY+r<$fD`VbG*UvwOCD>9my`%u#a_wVF7ddE83Km}NQXn}Pc!w&t$06So{(
zkW{RaYp~*mj3;QgMs&7vCjY$kwO4c{yB0j!CF?9$#5Qkz?T4DT&Cj#tZQ_4F_<0M|
zPuU*d02-WU;t4yEE1s~yDQ{;)<h&cq&)zj}HA~k0XL2C$bXr%!+9-oymh6wq8TtO1
z95^_i%_6JSM{v#5t%e2>+N+ZiHiTU}d>~=%nif#}Ff$t$70kGC)?nl8#3b{>NAlV_
z@A3#+`>#Kh*{Wpf=BLH-@QtqV(i6ebA}h6@&gAX7GWF9DP`mNmy;F~+SQO3A9+nc>
z__boCl7Cjfk!z2ac3H=-+VOd5mvw)^s@t3`A`^I1*exB_DVPi7mY$OlnYlY;+Z;2F
zrqoRz7JBGTPUUyanyFI->WIu-Q=t)b`bt&1z*e8NWgLO0uS9{FB^;o!(~!rJPXm@n
zotJ7?x>^xtA+qw==6xF%*kqSvX5QVS=29BDeJy8T>^0tOr;zETt7i#ZO})EB&82ka
z?aLx7^@@{cDP2v?^9)|H>&)4Vt}E5W(zBGd$r;-6zXGlHS@vABw(CZfOP|dmf5B+^
ziOCz??(R`>Q9W~ZURTJWJI_{nsGi{kGjD;IzH_^7RNYWNFBp2{+F`rOjulGV<i1s2
zu;ah={NRas?h4vTuLW;@{*&yQW2;mxSGT5Pt$WJ(D{&L;427#*e;x68Ejm+u)xM&Y
z9ur?_i+71^{JNoRoscG|D;A>^bmZFMTRZKRbgXUPT3qNM7|oyk{L}=cZF0%}v0_4t
zlKo>vgqp6{2qzen%Ln~9(|9ni4RoZ)H>YDpE@_7n-1w#`8cDJl7ZoJU{%J5{BJ;OZ
zp&W`?>!X?l7VUIe>%eg_X5lsYmW*`)tNER7I2(wizI2@UZ9>fXuqFHEufExJ<$JMi
zb!KKPtI(odYqA|cVae*z@oLk${~Up_*RIMdt~wp_J8;RaGgIYVrE-hzx=+lq39l7d
zd93)XyI^#`$9!%(P(c2Qc^kN-YQ6VWr;7et%hm;R2j0G7YkvB1@#$Wrse0yjG=g3h
z?e10D>YKMMaLKllYt29bdQ664*6kcnaQMF47<lAbvdB4JkVMa+@IENIE_LfQ-}=+L
za$i6Dc=fS*{HE$Xl`%H^=KOvW_9yA(;Y+)hntQLGCO7Tfw7h9z-unM5zPl{ly645u
z$DI4^A8uFw^Q%SP|Hr?UeE*8dL;B~ABwt?gp#Au{Igbq*rdlcYJF|to>WR6`={$F0
zc2&{}VJq(weAWv$8@%1{dfC-|V!QLA)@E;fz3l2XvE6xAW_IhZlm_Qt->6!?^juT&
z>i1rAS&xe)2_6ngKN$14{6^%4cQOXGi`FGn=lxEqy?V6xw)pAE`y%FjIr`CWT7q^+
z?d{Wv&!<J#_MZV$&Sw*!Py1=tcG&FDwQyUT?Sk9(c|6+LyWy*;==rswue}ZCMX!#V
z7xDGekyVfX${xJ7>g!pB_O+qe{G~Ufc7F?OU%N3|zx0Nb^{<tIUT;^-i%zzGy~<Sc
z(;cbZ%UtJ0Ctp8uqB#4FPw$Ghk3sVivfs>-wai%?^;sEYMB9@MTeHqil-U-xHoDYi
zd)V6O2AlFpX-lsqE<d{FpVglyyXT5+{`O|W<)mwyWy_*!etfKynYSUx+^%0(EBw{5
z=Y^l1dfokD<98)yrSyFHvYK_?%LOd2Z<j3-J7Zc5YR~;WotS<wCb;-Uq`~!<GIFYO
z`s4roysj_#=JmvixqCD!G<In3(A=SAA@YXHjMcSqbHKVV%Qrexv=jKOxu33@b@-gq
z&Y<TTHecx8exdt)^5YZTQ+L^!oy&?_`8xSA&kHbT8i=F6t^aCkbjO^hV(oKRZfbeF
z!8mkUt4!IlQ$dptp9=}kUsbs7n%CTw$0DC=moAe^U$Oqm%vI~mC0_ZIZe6zOwTytJ
z_+ptdu`{fCAV*(3lbC++aI``9BTy%1q3ou9;hABtbS(UIB3JIe9$Ee8*+H+lTaV{!
zuDKGq`<<F0i1cf$+NAJy!{#!vouG#Bp`C}%-HPlfzY+QS+1lFA*W}b!ue}mk@Mqcy
zP+G~KvgS(UhIJDqUg=b97F&~TEU<Ih+L`O0eOxqe_xhRV^hDptEWD!BbNHNK*Zjw#
z_Q$pB`ojOn=s)>*<1E`F7uVHm)r<E$$TORuZd~>5Sw-c$#n1ElrZ4xZ%3J#@1*CHQ
z56ifZ#d{t-uQ+=CBf^MR7SA@Ami45#X_jhyz9Y4pFAbDD9-b-Aeq*(uGOstb-Q@h*
zjmP`%a%?-cZfXH2Vd>sU%zhKaTYe+z>xZ!3rX3Uag&nco9=6uB@ci1)?9e;K*;k{)
zzu$@a>iIU;Dm{L~)_LpqipE!Kmu`BtVQbvn9hNz3!_^D<tTjKsk!hbhW$T=*jgvQt
z1?!dtT?<-u)bCW3$JQH9r5{Ipo4TteS!Vr~py~NN$+N>=ed;Zgx#AVOFVHt*#pVPa
zQ@Pvsel7Vk?YM7sUUjza{>r@S*ON;p+C<(iz8yV(O*hN-TOVI59bMlovR^Og&n^!X
z&WXnxw`RRPHZOYhwZ1nyw`RRP1!A59GtaeM-_-y7+wbg$$3Lgv&)=K&XWq1g*QQ&4
zJ>KwjR@DE(8&$q*87y=5F1ywsvn?(-`{}&s^((IyonL$XO4ZKi*H&#!dbe|H*4mlX
zd26le>OD7X&00I#I%jQ^_VWX?<zJZFU&yapaQ}~ke0Q5%^uxan@rU;v4Xd!faD7Jp
z#>t!9YP#o6F})Z4hV9qK>MZS2=D!vnOP1y>kXK*1?h5ah$1T^U?rPlUR_UBuUR!x7
zHhx~o_37$&zdrQ@bF)=X6yMg();&@Ddgf#C1lH*DYrZP9uT8(!HR13z<80p(iLYmF
z)q1jF>%Gmp0(6g7=dBIz{x~oC_0^(1Acrfw-?=qw+LM)SAFUTQf#ULBV)oUj>E$<~
zwuU|He7$PfVgGmA>;lBiWVhJ`OgFRLZWkbK4kD+6NpTA>8%%0{<%^bIv9+pwE&r9Q
zy@#)@+8XyB6cRT;A(8zJ6xyryg6zzD|5I^&vPkij_zk-g%@40@1Fhi9Z4*7Lm-7CX
zvT>115L4QdjuMtLCj>h=W=vEL64=n4b5ie4ZhY33;1cy+g0Y=^i{@r1Yq@N2+E=l4
z)2o{)8+^WI7)30rGLqCOHlDUq^7Nga)VyOxHSSA4NNhfI_#9Wii?)r$+7A_54>{lP
zI;$jd(5N)fv$l8I$?fe25?X7-IeEfPeCGr)tr>a3c6<umR^U6MvHfVu!DzvfbB{AO
zomk6Zwf<<XYex3Gb<AvYXJ4*ra(w>m%lw23Kl4iVNzZ-n_I&rnotAGw!$8R{^8%RC
zo;;99^m7A^(@JcdZMaC!Xo94rMCT{nV9=P*+3@It3hxu&lu7KCJ(kzLakk|%Q_z^u
zY4i4jJu+KvBV?juKPej*S?m^7b~h|4U|H#1o#MvVr?7L*a>YX}dL9$kUubsJ{^?{l
z<ELGexj!RAbJ!;zMuUu}iEDlvT&tCMeT_+J=EUY}Yh9g<l-butbGN3r@kN<;H?P*L
zG;>|)>gX!5I?FIX@YpJ=%ONcrSDiDszU|pdxr7Ot=eYviZeQ^dl*|d%c1hXbt9yR(
zL9?wo{%KDZ<W{WnUYT0qy1`d>zjjk@*3DSKoZ!=MyC%3_**oo3?iuAzJ=_KnivDL9
znngeT;WdbOn0RdQoSo~FK7=fqvr)V0SmmAKbC*ONAD5P`<B(dvxybcGg&YejTkrFY
zK?xTs<bqh)dhhof%XfQhZtQmFj6|Z}N6>hqM4@rA%f1ReP|!}y-wiszly9xtDp4cX
z31<Bh8Jf2|i|9(2a80IIkmu5@WaB2sTe~;jx*Ks;=C$CN6OOBAK6Yu6w9GIq?(sBG
zW?x%ozSw<j$RtTii>fQHRAx?WUhKZsW0It0(wB%kuMS=Rr*9+kz)5^<_j3oS53eR!
zPGH-!D}Zka<9fY*=8a$7j&WQ+Ey-V+-#vl-gzOWxB34U>vI)FP8hXsGZ}<9ClAyTw
zY;TX5xzVjVCo+na7oY7turTOvLct~zh8e&7SE>ap`4_xOP-Nx*DOqf;uk4pfIZgcK
zzh$aNbp6AVTg2sjiytzYiOUriKTNa`mlH32SSThgS5^4%qJ+5Ig2F`Kmxm5p=-F&~
ze5l!0&*tCbL(WcmrkBp?dtP<DBieCzd0%VC;pJ^0q7Foqwf6YkI`Lvj?}D?J7uNMI
zIJ+=hG2?T|17GEg;uj$tw`4ZPaNLra2qFq&IBvyUc-Ca*E+E)s=FTwFvr(5TCorMg
zMl6nlY1u6${zc+V4J)^uSp2?q!P%^CrsyYa!ipK2Zz+|};BcJHeQV9O6L(ddE<EFS
znti-B@IydBctLPMXn}?U!@&#5bplEfpHx3H3m?4mJyGMf&%N;b#}%CKh2K96Cig2C
z7ZrG{dml4F($YfZ`ga|Xmm30p+*WJd-w^b}TC;V3e)tb<*4F*00=cS9qDGEP&7wx0
zOwFRNu2mUDEX!M|-kka>tjZ`N&3xL*_okXnsTDf44<CMQb-yDn*Aib5Q@8THo@P_(
ztDlCivbXeDzPFdqNv^Y3kXVyY7oiGTfK&M@?b*uNGndbj?*Qdno6_W0Q>y2QI=(f%
zpxJaQ`_~pbBVi2#6Z6R$1}kiZH4RqSP6iReS_aDOSLbDl&Y0Nzw9#kXfgTwdHKU_U
zpnlZ}7j96$Qt7g;fiin@;JF_M?$k~&57sw0G5h6oqg%Sc1_mc)XG(zcehSZ-6OB&p
zD?2-sE*A=BWM5i*qC<Y8?3opllU<)>C%Dz@a!u<<aC=*FJn2r^sTlt$KRYK(i|4!a
z>9k{1ou$WVee2AhsqDJ@-z<1KU3SZ#P0iEd%MM)I)>AoQS}6Y|qxX(cWp*B?ANX(T
z4?3ry^wnDM>>lBZ_w2m9n>}WK4_uV>cBQwT(-p4A>g;TRe|zT3NVJ>}0@F*D8U=Gl
zA2MEe%~bu$v4fmjFBUoF`pgY@eOWlGw#sR(zyFG_FF6lNK3wN|aG68Y-t>q76)6rC
zDUOtV-X;Pb$ms9xRSq4$%0rt3zUF^9xwkA@@lkR0+doc6o_{mkI5TR6)cJ@d9<yy%
z9cq5@*e$bIz(()1OxKkIkp_WF*v|PlOq8<t%;lQ(;7pKMrCVm6fN8<mpw<<Q+55Cz
zcw^k76<2+<y2f>J!KB~*qM0lw@2=@GX?k)m!d1$#X!%;DUWM=#<<iHe@-H&n{jOPL
zkzut=xLwDwH-#}j6{I#FFMQg(qG~zsV&S0P=ih=|Z@nwL@<-v<p3LftEng~kMtV++
zve<5?8N~hEP0KawVY8TB#>0=vJk2XwU(2N^u3B06;-^B^Lj<|%W9H^E=bh75dbG;!
ze{0&o6}|l}M_}Ud{A%7shx+b+lX7X5-M`AXgUkAD{;UbR!rx5`TJmmc^?H$&)ldEO
zTwlfUXZ>;Py{>C=+IOdY%*1Vq<+HUfnccb3CVktW&OAq8Yt`L(N-k^d!0cZ??rw0r
z^6GK<VYa~4{=X~KmV}+3TH>1ZHD-GF5~=f{b*@(X4%(OhN>?~5|6+clN&SL)wo88+
z|4Dj$W(Nx%d%u8p(NAVIRcmbMqSMt1Kd))~o7LZaI3hc5$6?{}sw9Q2`nHA>ZcU#j
z;qlhnpJ&Opy_ffu-x3$JpTpqtw!34$@}u`1KdhDh^?{h_H{&|$_<t*^ec!pTPK{md
zI~J6t%nn8uojz`Jc$C|C<Tg|1c2=Q$Zk2mt9(!~rR7o%Y(RgLQ<x&16|ITXGDSUSM
zn(z1Vb=NVjt|s4u>mCFYgcbxBgntNFV7pN&tmB)@xy*@^o=>{AXxbZtu8{dRQ$;eh
zkLSnoE?O(Iztps2ThX6uR~2rZ2Mhg`5uP9`JRxJDTZW$l(@OSYCLxcjr#U?ou3D%o
zG=@BmtO#A=f2L`<Qf<;S8&LPXH+#9@BC~aO-8ydVD3ejldVH(2WkqlHbHPPs=iV*$
z$eknWF)`~-xni!R+DB_lr2ernB+l;<^U6JQ3R!~qANMFvsFFyRX}ogS;Bm(l78hF{
z<33sAzS=kY^IM94$>K#B3#I0iZgR*fxOS3h<-tgG?j>yLb9E+w1Z7J%ec%$vtjdv_
zxQu-gI(oj0L-Ep=J8_CGb5zZ<I0VyA>dHGEiR4<8=xEX-*0q^MD4lbORJsYz5~=eU
zI~4Sq=X5Xb`qCN0W*P1V4uhRMMeDk>f~1orRb&`la(hxIG-05Og;_O=kC|u9VKnS_
z7g+R3S>c%Vdj&0frX)uP9gYrG#*GRJZrq`DY)2fl?3t4kzFM#yad=h8)6sb4v4j9O
zQ_@Pt!k?Sxp1=Kb4~VdN^7bK{LRR9Fx2%=bj`!jwY!`p>_NUQCfvpCgzkU9i>YA1K
z>}}(fLuYO?uRJz$J9}0BpRkKN=YLhY?z+x==e?)DCcIu9bN|UNz3aE;O*gxp^LD3(
z2A3J@?k4Ahp$B~r#vXKb%+J)?ek~>JLi8<%&zDYnDuv~T{`tFp{`~uOb^kv-ef`}2
zzWj#2Uw?^yU$<=4`RH}wm#;3@y}tTo*4y-*alYZN?M{Eq+7<OVa$Wf4)V=Aft6y%q
zwz=tQN#yg$gIT-Qd|Z=Yde_yrJh8{ob@hTpp#c}Wa`SJU4t8_BbvoD&M1+BeI9I9g
z-ZK&L{a=_|9&25@&W(yri||Ye5sz~$?`PXpZF1r6;>LG!yd~c=973j+i7Bn}(&iQn
zUE15)vFg$xCzsI7c*U%^DIJH|9j@%r5EP%%!R>V<b&pK>B#RFrF$NR1Z2VWUL?|=)
zpTtsu%;bN2mI`D(W)r{jph-HW;IUZ0%tR5-<@_ph?Ix7`a=7%8*>gGnl2>OxdQQx;
zIljVG&QJV7<4+64TagbNpGAH+V8a&P5hX4+L;V9+;OnG`NzNYk1umJle92fCW&V4Y
z($>6hWv*Fw-@Na-vg^&qpTYB;LXv!Te11N+b;Xf61?)kcmJj)s2o)ca^-z8GL}9`&
zV|Dh%E5{8iJ9-TiUM0_v$w5L-_;a`}E>He6cmG>Wm-&4s%m1xeA+Xh4?fyllt>)_Y
z8?W5c$z%<x-n>NA<L%i=VG3K#y`#Q<PoKKtSm32w0hew?TsqX&-<0|djw~1)FT3b%
zp6{-%ami%j={L_SdAm$}pBPmu_zLelGf%yN<Dw1I={J=blLW3>OuNYqMvor|3Dk;B
zGv-1?i+(J;_O!@NVXNMBW2Tj*JLd>`tUdj-#~~|LFI_|@y@k7B#j%ZZ0*`q*_7+6`
zwaNFI_|tjnjMJ|gLz47Xvrp1=@wE&GZ9OhyZEsrLG`ndx)6CK<KE)R`(`09+a|PZ`
zxHi%AnbU^Qr3s(IzZ8AV&ilu;B<$I<^$uC7D9lrz_qMEfx8bLDzp(J4U5B5oZ#o;#
zI(t8tS-oh^zb>&=$KqEVdmSCHmPh1`L5_Kj@tNBA>NiKC9;;osVz)4D4yUQG-wMep
zr?awZSLUQSo!$Cun^0`XqA%<l+7rtU=e5Q1Hj7^Rd{E(N!7EP3{Kd^a997bDbDf@b
zUbx+%$GkPp#N+cV$64w8m-f_8xaQ23xi@3NwaaXodkeFaICAC+%JFPF_mPQbTb*H+
zy!$I(4mBC~SH34|#C<IKuFMwji8!9>8sN_NF(JTRjVB?%eVKeh!C#-W0|E_sY7BRM
z7^Et%wIs9_^89E~IDFXVbK*S9V+S}N&U~D4k@MguWRgFsPUiIWz-8|eHq`jB99Xb*
zPFTaiPnMpH%}?JS<0?;XHLTCdkXHBc@d(}5E3BrrMC<&@1xlfnep;d{Po4j%Ib+qI
zId7X*o;ttN7{u&sUU>?Fwg;-rm|*qK%r9Z4z?uMV@z=`Bk6!3}II%P~R8Y>cZ_a!H
z9(y=>+l%FJx*``(+xbmm5<K%=jSM90yz>$a{^arOm)7pddeD-<+P5okVGGlyC;V)z
zDF=mlxK4c1ln|M4G{QjRL6V38*8$-srelQ-!cEeBj~Uci%-1~4buBo|eYZe{{YJpF
zJE9A}K4w_Lxlj;J_H7bPC}=%Xb?^b#%<oMHMU6hQHfyK6<6d^^&M~j^Eep;RvK?=E
z!1dUspYtHs<12GH4|17u$MyQG*Zp$KY5m-5FV&X#o)xusy>%tVIwWP*s;+Yv7J5X_
zZ*-ox&F*lUQf@69uj{Q}Jd#~{M$D4ghnsC2u3St`jg>W?VU=cZHGEx@c2Mops$EJ~
z!`GbwF{Sn^T@Bwd)#I@9kCwLf1Sz>D$L!2P177}vCN?aWKM3%Id2DWuJ@@F2>h_+V
zSqbc}2Ma{+rW&0nn3}o1Yl8F%(I*Ty9nB^%DJAvk+Q{07+!4Ja5+f?ZRmQsLZg*C>
zj;gtGFYA5Bws}&LRaq%7R!&^F+^ub%l;G`WGt^yjW<Q=Qurar<)al4J%X6H9x6?EP
znZ(6z_i>f5C*2M_`pzN8TI->#LP^hj%h>`>$A8S++*h<+U&6`#T``OEixaVv6F6jd
zvJ3Mpd~C4r@R?Gs=T@!7a}VwGdl<94U|q1qb<H`iMb4EjTI-VW_lMwC&WD|k-LI&%
zsNef><bQqj`%5`D3!i=$tZ5QwsdW7IxXk>jR%FO;#Yn4}JI_DnYh1-t*?e@@(N#>l
zB)N|Cit%n0Xj{Q&rn~zqZ%#d9wDVb=cK5yyN1Sc{UPyj4QTdBt3jdl5SJKyR{eJGu
z|Nrx!hb`n{IdH7O<?a1@-`||s8n*9R)Xd#=F1fA~i+y^&$Vr|#;_-eWzi-bMxtmvy
zc)X8Z_<ik#YTrM30x$R0rEG9q^8MGugKQJUY}W48pYcIcV(}^N`G@8-`uAw**Kqk>
zt8ZTM=}mBlFI&foPkUB)g3-oJMoPjxeDfq`CX{?Sa)M>?Vs*Euj&u9c58X6KKPS9+
z6G!^tJ*;gKQ(Y~jpVgWlIib|DS#cu-t=Hd(g3g~h)uE%rt(&s2RcFhD*QZk5f`42P
z)Sa3&;m2WD2i~Y7Hx{HeZBpp^;xF=YzQ!f{z$NvIdb@>F&-qNTG!A@r#$~3laO$}T
zPI2R`3yapV>3Zc}SoDi+;gQ2fdpT6)?%FEVB=g91eCRb4Q54E|kv;ySoukwzy7-Jh
z$AUi1`m{xVW_Er`S35O-rUs0&re(!r4k1OSPWKZh)#n?3vP|I@YU*jIOP+agUBb-I
z>k?*)u1}b0zCK}Q<a!1Z(aWp~3sxE!fe1qoVE`iZ6;4+rs^!JlGQ7NG7Lo0jak6^b
z6WhI~pD(m+DF0crjeUXVeDUuLCNDp~mTOR}op+Bpr+CT92r-6(X700kS~&zj+)fkA
ziE8Ta)N6!TSiC(VR|%)C^qaEODsb8>7Z@i?^+=pz+PsbnkCNoNIetGp^LK;9l4KqC
zmTS_+&Fk!@EVcTn!pz~d$1hw=NKV=1huJOHLKP5ccu}vbvn<eOOLx+uSP|j3i)Lhq
zA9dNSv8H9k{emO~r@zN|Bn4lYKfB!#u-~xSy+z1hr|_1m;l*VaI?Y=Bdh-`JMm&F^
zBak?E@otBf(mp<B!E2Vgcw8dVZ)M~P3n*ozZn;>ssP~$1?v{BOi@&-^uT{U5VSCXn
zTU$|Vme79nTTzA=uXS~93-j5sHEGdW(Q7XPA8w3rYT@c%Dy|e#q4Pvw;URAAJsS>j
zYtPvhHX~HPvGp>tdg0xNjnwzvFl?LNB+GJ}dz)~M_6?N?K^^XCEYWKgC3e3x$+#HU
z?4dTNWSZqmo#IQ8&z5XH<Cz{7=C-6YB3Eefr{cc!XEOfQZ|4Z^4SrH_?)fw!<+I%~
z=YMVpNm^1l@v-In3tl}Y`jgHXsn1RIvpS7t&dkZ@)~t#66HzCyc-8TsHi^)=e-{_J
z7$~i>`tAPMCCMeERK8ar@M>+0vkRMqvx=e70f~aniHyfS`1bG^s4*Y$;GTTJ$)dj_
zF!GS7YtJbm?}DU|X%QEMmAfCTIe#W{{>;sGo2``vCb|~fQ7Q(ZL*QI8?aYxAN=ZL`
zd$tI8S0)ATSNbZrfW4Z9oyFkV@d+#}$9_rNYd8=g@2n~y@jCeiE7RuHwz^5*pD2B}
z=>ST?3lta<tN3p*PgwW;h%Lj*U7lYV?mb#|lewaMb_M?n-35m;{2d(HFPb$r@GYLp
z%qZ(NpOK|xhqCNDk9NE1FBo53c&=j0@bcH4W$XdBZpbjRypqWL@35e6V=Dv4mB1YL
z8TR*`dVaBPbWQx1#$)(Oe39Fc73KRx_I_UaVy?dH`!lnfjPkio?a|cuyllal*-e}B
zxn4z!&8RptzoDV?cnlB63j1fJ4i0|}-C3Ak*-!YzpYq7A^ey9z9sZ5g3~GLp3SWL@
zuoAfL#J_;KFt_PtQ^{e2h9}mQ$trhkcKrKy_3rG~<@4+|?nssTaPf2Mf#r<%`F`Xb
z_|9A>@xl9Sf52zQ+RqF2RR-L*S&=Vy#hm}uZ1%5bn}0oXtUbM8UuwX8qZRp*SGM!K
zie~$&+x%;qV{P}E_4N*c#gXUEI_S@Cj5A~2o5NOhljqAO$rnfM16J<5(p~=}=(A((
zR%EGHNA1L_JnzKb;!^M3e9ZN+=i{}<tb4yp$(u}z^1b$$>s@^L)tG;M^`>FPnX>;(
zqs}fZ{SlsLI{n&2b_9C(P_%VvX~hE9#7x<D@?jBC?bThP)2=<VOKx2ncDH)X&MT{a
zPc)q#RocJlS<vct(PB2dTb?)ywJx1z!?1G0l}YVNqSK;2Em(3W$Xjk+NJQ32=Bcy1
zbt_K<6|Yp~kIT~A`XtfkEPJm1`3{>^nw4@_!q0bnN_cX%d7?SL+dUhJ%FhW;&i<>s
zpVt~#ao^`*t#Rx3vm*D*gHbp(k7WdP_t#`La(LfwStH%DwEVGR%hK}6DlJRTn=ZP#
zAXPQ+;-*_GQX;KGH?3K(WjXCn!jh|NPrF@E$($x4eoU2V|B(X6JRb2U$Dq`e>Ou(r
zf)dwz9<4pbD?XfCaLBqD$|<O-e>m4MEX?hNp6<kx4n120W~X#a6iZsnV|d9aXPTm0
zR0pr|kt++HUC!#fYVdS2m<$Gyrn3U7X9q^`9lMt$61LUn-h0h8Su^+OheljAs{FrZ
zgUp;5+gYa%p1itlr_7u?b4;c;PoBN<S&_j#9=6A46Tnn&eR5n$_2ebdo~74!PyeE@
za?_DS?oG#*ZP?^;z5mE5p;&7nZSRu19&2<inl3K5w$)^>#+vMzrMjEfrC!^5X4f<`
z+n|W+Mz5p9Voxv1jttR#oFLuG?yo&lrJqS-P0A#jv}GG6d4Bpa^Ux;WDjnbAo9vY_
zV6q2H?g5i;d_OzZmdbCD*Wviq%zChHgTf7dF_zy4n-c6J1m3W(+wu3n%|{!J&Zb)0
z<}KT)v;6o4lj%>tRLpu7q`$i{u8eu_8@8&Oyk9m+zeqK|a5`_n^t%rFyBEf75za9$
zXnM=$x8d#q&TY~b2g{h%Z{$5-xy?H#VRs``(%7ndZr5>JwWT{nZYL(FwKvX`VM{BL
zm~oN}PUmrX(P_==jw^vlRS<bp!}Z{IX4kjuUAOo}w#sW{*#}&yTd?A<L*VbmMc-f&
zPk-ugdAnBTbsq`}ocDauhL9=G_h{&(yU+W-Xv39N?H^31M|~~W_bh0&+^y>|SyvDL
znRWVB)uK&@nwKxl$;oc0cy2PGH~mwlUg~4Xrdi%ME1oTU7GyT>;)<PHeq<Va@o8R|
zF2!SeIpM`jHs|vu5>?9%UNGiun+KEnl5u%~`)oGbTP80qE_3XEHuK-C(?wP1_CJZ7
zwwdQk5L=Zd^WG_qai<*gQx{A(y5KGOVkxq4fPU2y&E|>Ac-&?gNo1Z%0CA3)mucv@
zOWl98aDz-{&F1z)o0i>fY|UX8+aw!tqAWp?cuqyp=0lg9Y!agqGZp9YuHG=GyCQAP
zp`txsbs}4rhLtyeEHjtM-#TqsBMPbsZaNg?z3-x9;!4%~HVZdgnUsGbAR_Ced8LNV
z)+g5PCex!n$!gBxzcp{3Xyv!P&w^IZySjeol~wP>CfM-5TJ3&+t#$uygQ>E;4GRsD
zCAeny2PiD7<9AMcyOWpqa6^g=V<QLiB;oh>XH@(@cI3CY+P(dTAOG=cYb*13oMX=t
zINb20#)jRH$3se4cgI#kW!)X3#>%=mtzs4Np_?PiT-Cf4T0PVzbxe>+Ue9JYX>s*}
znG<F1Za&-d<b_;un#%FL6CTH%m}s8rw@0)1k?&05{8Mu(f}b6kZKQs0isi>;KHA#K
zmzsXJ&YUQA=l&T`anaU!@qX1T3FAu(md`g-=B<j7HolY~DD^~nxtd*3)*~#`sZ*yq
zOe7@jk9crT{OsJbMIaK){0?Hy1TptC7eA7nBmDlsoC@~mN31Q>?;XtCtE4<>{cqJ7
z6Kn3?KiKo+b)86>O8ocp2FgGEoc2wgpg&*V^5f4*NzS!zcDcJmJ@~AuZWmwl=(FnD
zH9a2O?^<8T7po`QpSiNvVd{ekVf#hHPxhUd#=+O}^>*ph2M#atA{AE5kYE>Fn3N>q
z($dvwC?Ke%=H}qCVur+jfrUq2t6lU8c=R=7yH~)YQtLQXjY7HaN#|vhro_IP;oP#R
z`)^T4K-tD<KEYFZ+guc#ZeHCRI(5ODSLTPb9B*FD?+tC*wEDizYL>NE7uz~qh)RAf
zr8K4D$5FwBNABMC<4}EPSL3Adk=ffu?M%l9{^VUf9HF88X{%X6L;2^g1d$uT<l&Vp
zp--K~1wul3Vmbms4RaKoLXR$J<p>pZ7E_v1!4uID@aQYYR**vv@0z;c$ZJg_?v=;G
z!<sIAnOPKmWQK;aQ|2)fNkK1Tv3nf>vo^kQYq`|pYOXXT?Jj#9r`Kk=GZ7&2Lj>oo
zd|Qo8LQ{6=Z*5#~BzYmIy?;8#MdM>cin3Fuc$usS5}L9?&~T2I)Zvv&uu~yEiPs$;
zDo1kpzu-ni;F!6nFQlyNa{h+#LmLCPNh0T%lT|#+<V4WWJQvY2xrj)~i7Kt14@h_h
zS@8Evv~}O_(euW#$}MS0>kH}5iDEYtBT-OszzuAu<@o`LmHricJrjSSGyC}`>eqDC
zJam4-Ew2>+L*VD3_7mcEF8e+xegZXcS?xm@BF-G>m{^j`=hm}Cdb2^2%H0|5UtQDo
zJ0@a5jZ>!zdYb=XJ96S!YyTyQOvfJ@y%WV+5X>9ykuCj`zWbk5KPcqPD1ig{?9ncM
z6gyM+{i!(>;m?ljHd4Pg)$-%IGo9tBQIV2~FI&HB^#}+~v-1HFJRsuCdVQ_(xx(1c
zGlevlZMwV8{mh6<O1YiX{;WpMz;ILUM(1L?mKhQ^x7}E1`H}BzXL*L-9_Qjmva^KW
zUzk(T{_KdgiTb^TnW?NHKUB^=%2Re(v0A84IcbG<GIq53kb0q*UFYTxo^x9LAL-Nx
zr9Yf(!9D*-<PQ};PzmB>F}ri3*rB^VJzE6$(vw2i%Yu$5T;Iu1)5Bb(ut|NB@+MUy
zK}{E<i7qWFQhFIlDi;f!gq1tnq-ROoEVWqFIWe|fdzQpaQ~BFrKW=P0bbOnr-L|zK
zHs&6+z0}d=@qyF6{ZMr;zf`=}9CIm9i*eVTqWw?9-fzG2>hHAZz4wb&e~-@lTIcQW
zJSF0K(7Wwlbc{RokE+Fe-^4LX<?JLePj%1jlisP^^{$!_(tTN~`%u)JYb>JI+>&{t
z6H`qdo(qWBYcxlNYjt?rYuCio<qt0eMo6CZ+wOM1N@L@?r8&L(-UlSbdf$|)y}a<q
zs%i7)X>Y7rs{Y(-P29_sJB73lx+Uq|+*m!2HFWL8+M7+QuD!Td=op$^a(>2wRi?Yv
z`v&BiY%;C-wEu|LoO!?WL0bQLuX%Ij@;}uux5u9Ug|rucoKoLes=M@}%Rc+g+qLRV
zT8j(wc>?FJ;art!6|nft!=$IHHcVUj=3&y^HDJ2y-9xOXX7iLIucqz`^-B8cbzehu
zqtKfC$&(^DubF#l>dan}KXFpT^&m6P^Y^ENY5#lAk3E>@>lnKK)xIDQc`w{Cw7H~y
zoyLZo2@h4mBD_P)PJ9T`UZbid{bUIOEqT9aTEyS7Hw)Ev?mF)raJ6xlxNAVF?7kwQ
zkjVD+M_EFzJzQ<=IQ34_!4(@e^+mL4ty!Zi<IKb#qH1kqpYM?L*X!oQpNY&u+Ra}U
zv#DHjQ?@p;Pj>(X@Wh{r%tEiDPW7Gfmq@5t8D9xUmNiR--Ym`QoqkQK|CIfsqR(Ic
zO<vwFXS3t?gZAUmvuCevf3V+Bd~1!_%5?R=Ibr9;YQ8=Ed*9wp@AQPPn*-Sb9dA~*
z&G$&u4Zc|&_v+r~AoG*ncUAODC-1r9`w2Ja(rlHl)91A-u6R{wyV*G)w97**Fyf?D
zP=H9-CO-DHhrF&m{1zH<(rV60uGdjs-JhHns)TL*qTHYow*Ct<n0#EX64vzfFqm8n
zCY$S3!X^v)UlR<Oy<t{Q#k>g%vWy<hba$~;Qe06r`?v*5X#VFFoeNeeR>`;pToth0
z<jmA3G2zguIHgTXHf);S5w5xBjq0KVF70Z|9;rod>i+&P=?wM!^8rl0FzKAS=dXi2
zh_sOJEY(f@{bh5F%C)Ui-d^VaG%;eUKlj;>dUxNP6rHvD?vZ0x^O7ECnQtuII@^NZ
zkHd6bnXq*D?&ZC!?_NH3^<C!U=3l2KOZD)-()Q0Xuv@X-X63;hn~eVVbsX_}+C2H)
zX1kc{C5tv1<!CQFc51_ByBPD=hf5LE^;O0Kxl%KNAZXIECzYbJPJgf3*Y@nw-g)ec
zcA6xf*S{Dut3b-+rb))8=6pU$`77b(HxHV(_wc`3J^Rgr+0JPjKJBfO*!Jwx-a46W
z&lZ38vi#k7W7g@g)%y*Tvo1z#i~8F;bIpFk$zRRWHvK#1bM5|&lfR^mD?ju~#;0el
zTaq2)d40#EbsrB_r@H6FL~blh^*uJ#R5JWpN=-m~rtdNKuT!t3#PI&k^J&tG_IkH1
zI6~8G=3_rGm$L3->`c?{F8bKmkh(piMj_#*u9Q4S1V|Xv7e1AD@yCLFo1#tD-CFbg
zn2`6?SFLNxj|%a}t=z@=zFl?NwI$D=d7eKzdEV^h57&5J4>|{8s@t0T+nI~&dg|)!
z{Vp1!tGD;PXvltFUA?`JMMJnl^z@YTLlSiZcJBDX*?lBxK~dq$&7z%KZ>n6?*nE7g
zX?X9w9a&$Fg|2@!^I((K_vYtP+^cksY@W^<Dz<39<KxcDO<LR^Lvn;N-;@VNM0UJi
zG_lE>xv@_~tvc}WDsfZ0iuG??dshb@-XUe$!_VX4=M0L~<%($$&A(1%c6@ZKeQI(9
z<frn_`ky@4+}dB?@1Jz_-{0&Sq1E5s-+weYV(b4OLh_N@($8|Ox|Kfh?dB8TUPkV1
zTJ`N^<e{clw@&hzZrh}}hE>b@${Gl|`|Mw-Y3J6PCvLXYNBJhjdRyN9@P3u&nrzK<
z>B#eDo95-F{O#QP#&+qmO}zcTysqziwuyK5Khx>8)1n)mZ8~2h4Q@RD&M;>_%l`Fh
z?Uv}KXU)G}+1=`OERKwye=tk0{`m6^lV0z;m%ee9_s*X-Va1x}^G{~!J)Oojd9le1
znWc@)&h-hG&v+%@41d9&llHgq>>B$GGrzK%ZT@#4ZCm7|70dW-XPtiadi{Yk`C5@_
zSHFLBPmHYnD|%ziex}*%$rJu@<y?zz-1^F6@wXPQvr7Z3y_P<^w8GXms5tY=ycW$J
z-@nc}{pt4}m*Sss^S@^3y`IY6YaN~b;HAuQ``veP6a2fYJvma{Ixn()a<Q|QJ@qWK
zL(NU&W5+?ID7D$WX11%Ay)bHg^U~<%o0mq0Z(ka9zFnzzIIyF7(R403kvrNuf(uqZ
zSdtKEpglvxWphW3l1qlzJ0_Kuw1<7JP8k>Uzn-vExM5(knu)_pVcP)(C(a|=q+B!_
zZ>(zOkao-Y@7Thdd^|Sr&4w4RJ_q^tzltk+Fuk^}O?joc&^CdERo~a#vt3<&UPyk<
z!;aX{RoO4*b-gpXy0y~ZwLCNa&V-PX=_~!7N8MU8nNfeT#(~pQxIY*<GVk$u&Y(Zp
z{=n%F!4F0oS@!riGwRDtmeapCb)Hsi==o&-scfs=H!t^U-dF7q5Zbm&d*Sj`Jf+*6
z{YxdMh+g&ev<(dl*`IsqQkpn_LaM9X*QpVa6a8yc!@{0iF6f*p`cox7Db;nKqIba3
zgS*T!SEdVku6eTMVo}%0>4&`h4F3j2oYYiH;L^4{D$?`nU9o}V)H$!%`>R*!?5*?I
zx%||nS~ay5n@Z+)b85X3;}QwEqI;#?WT&%3K&Vl<DaWc?3)&~Bgl)UpvYbKtc9v6o
z!_-{UMAHMOwoTf$c1iB(#f?o|KbK3TzFE0xt<+vHDf)KhrG!aRUvk>=iay@74xDqt
zal_sO>x0{xe49iMZc6Yp5VD-lW7awO;18ZdCzluhec#ROF#q*!#f}$qYZzWUSN}En
znfk(3&x}U@XTSewtUZ4&FlzD(yPrH4I?prAtr2bQxEJxGx29%dB>PL-X}(81-fv7$
za;xfJDr9)6T4z$n#Cyq=SHj;4Tb)=JZCd@FxrOW0yrvgb0)dV<g9ZNbDQRg`b1k~;
z=<@8)yr%y50pI%nItSkk-tW~u?f69}&{*^)Wv9P&Tz175UhB!sKC<F9$8|NsS5}91
z-kfbY|DVmrm*<6{RJDb=U2xqa<EQF&!S_y`>R4mV(<f2-AhGDk3TFPr%5G5{n?Z!V
zhM|^sg;Qr><RNjdo>M~i0+Lb$AM(FFdS>}1{~E2|N3c-4r%n}&JmME|#4jUJeai;n
zs2e-Q3{E}1YkYW3LQuhB?NbqxIIAX<=)d&4w06nlC9aorGAETB7X6kgb-1~ynzfvZ
z>*$Y`i3=}G^Out3%B|!-AF$`{@5;7EZ|~hUo{}%VO-NYNv32c{60d+nZNrs9Ave5y
z*0P9h6B5>OY+d`qq<i58(fK)sBDX$iiXZNr8#eKZ;zz&7YmYVG*ON-`oqX(&(xxvt
z=`U}dyLW;`RBU2ui}q=b@M(hUbd+Plcs<P*o!aOZ{A0n!O9?tRM8viQZZ5l>p1Qg_
zh+|cXPIRn@V}NS%%~weuHC9a8m{Yp1B}F4@uD-HlSJ-oJ&$dPVU!JNsUvy8q>h6`l
zD{{uBSxR3;EiIb3&bp}eEpRfP*sr|eg3lA?gr_#D4GS;ysIwV}%=-9+q0Py7p2~rM
zjAOy95?y5?*+Gu2aY@^BR$K@>GlNz1R@WLCM^{NDbN7J2wi6cwL#8`MoLRbMhIgjn
z^h-%Lm3<30Ncb;I*3da7{8ECe`(ue!+o2@AsYkC&ej-&d;g*$0u53*7kInnerD~)+
z%8qSwQrmYA%!~su-=%7#2xZ4Rw)!Of)n2jTK?F0mmX5`>gH2rA_q;%;ES$%A;o7Pr
zrOe5mIx957bh=qZkA7Ne=p3jMFJ~;`_QX4-?NCR}%rgNJ6ZNM`aw&H`-L&9PN76~1
z6$XnU%(%6BGSs(joqX$-XYSjWXp2kBB?3jnK06;ys>_Ht^MSWpa$ln1VRN0dA8ki@
z?r#VPEqW~+vO?>s@r^32kg2a2!)!%Yd3{Y-Gmk4Y(o`jM6_<5LM5cjGU}C7fd>TvW
z(~d^B#Lzy8ERnEjB9lE^r>Y(;2}(@$G+Y=G5jn|UlQnc&2*2R#m6J+r=d4+NYSOEP
z>9(QMo&<Y4PG$QZ)oiGyx#o%3Vxhek+f^N>-g$Ch%Bymzs#Q<I_W!>Sth)VIEa!^0
zC0=<CgMuO=>nx^<giVvrQ*E94v_07~ap}PVUClLFl8+}HTBTxWq`AgRD0%XsQ`2gk
zv{r0d`s-=KJgw+e@hUG?Zd&?lY4bd-=v$uApJr-?S}t40r5)XMa^;~@(~_!IZMc-+
z6CjvAcSBmlic|f(K_M%&PPc5;5x%y`C*rhG;#o5bFRhT&s}n+2obnS9US*^ck{Yq%
z)P{hmi3?X*9Pvq7sx|jqRzzmN^37@f=}X%WA6~XW%j3rlkuX+HeQ8mbw2kRAkIqXK
ztDYA5CKAf|mNo6>>M&l<shX~0QxBb*qBPwrG1Y5g;L;735}t%=>WF#YyC>1Lb=r2b
zHcv;z1s2;plN1+Nh<Jg>BCjMxg~Lt`ZLOWsVrqF2Gb)PSPhN27NU-gk{mVhr7Z5f1
z_X3tsHNDBVHv43qH(F_XddW8(80W5)vFFq)Pp#}`&0DZ2@8Sm*(bDcT^%X0kO{^SU
z?@s!%>&J)F9~L}_J2<x~+C!~lo#e&Fi}Nn_P5kk*Gca+Aq^g_riQpO5@k#6lzcsyO
zxy@z98OCzDN%f#vgsETR**S-1S+<%Mb7hNpJrlWhMsv-~;0W*_g<z4ATU3XFvEe1B
zmg$`n#gsOH`mKtoNlRG$R-c~}Hs5lsT{VxSU}odxD&yr})BIj<-DIQ$oq25)+jPN6
zEUIItvf(ACGg6%s#gg{jNM)%CW<cxdvZUruW}33s5Esf}?A*^W`$zW%RO;k&osJEx
z5s{J$#d4lCL>aW<L?`~-{e9;C-t*X~?VF4aT{=FYhr?T**+@yJmgjiKhA)N^%7-o;
z59s0Wp8qrY{>=Sf=VGPqO7?U_IqYcc4CL-e5HQSQ6l7C!(^X({II@D(<wd(hX5;2U
z<MhXA=Z>A7(>vQz+Pv5-?^({BGdFjDM^y}^B$RbKE*_A`bnIa}=E0q0V&E1fu(;9i
z5>r3hO!ww9iyfa`RQQ}Rq0;1pjZ}&p?;6mMp5PTwoD_%|UUFjD)G<*^sl~Nti@-tc
zq$RBPDrQzbPJPxpeWrO_8VZMhvx1VYOGB$frlOPf5f5&`5YPli0T;-SppJ=R6(DWr
zXPVok-}^DA@^SIAUMMFgHPt1m!vUNEW`I(_0+*gG0t;1=mb7vx2`cM;*f;mg?=qwJ
zw@>cLSO1K}IezL?#})xbC6Gd1L1kT+fDVaF#Y2uqJh-D5w(4dp_8B>}M0Ggx2rLwP
z^n=M|MXSXQ2Ei=FJ{^aas144>0o=!MArJ07ULM%c22kST$Zs{g)bt@tLRlA`$@JS_
z7#jko^^FnAZc!air3^1Q>D&O#1f6v2*&@(wmUO&oN<z8(pAQ{A?6wvPH<WKE-cXt$
zAi^T)=(HiS)}3$Bdq(sGz2x;@AAW~j_l|#ISn~R>H;B0%%=F^lVA@t8n3&DOsC?*}
zgpym!TEmtej_BkL$-u3>tf99fJht|>hG3z#cDNjvxA;E;(>Iw~c7YAgUzj&E*t*YW
zWO-xxi(4T>bz)E#$6A$1o`MUfx=3j%ZJFeu;Mx)<v~qFBit}Q{mC0AqyLav}e6{&#
z%sq*(H<R@8dw%5@PB(Xpda$$R5f-#(>Quo@#|rVDiDE6~2PH6>H}22GhB843M&O@c
z@)FjE?@ipIFqq%;RIs54J5A+FO&$Nwsb>f&>vsHjUsIrF2o`vr3KqzhncUg2<-o`L
zM^I2aXjHA~gWj2)%a3?)AKGoOe2$Q^F30;OP$JNiP}beB-*_VybQzRB75>aU=D~gF
zerC@WH0B05*Dc=35n?DPL$LLB>cg_g9kF70(~+2AVQwm(4__Lzi6!#r9s-4x6)4!2
zABHh+Tn<D=J8p{SeQTXv`!FpYo#|>)oxCLF;+x)ylUz5OyLst7`rcKM|8Q#I$B8n6
zq0`b?Tc7i_rc1OwS8Pq!Zhdajnr?UWxw{JQQdXnXi=0x6mkDN<B&jHs?H0p|;@2u4
zy5t_;!r?7%E2yMX`^C9q!<UQPiia+_%eQ1Ozhi&j*dWvKrt?ilPN$Cx*F+x`LB~$<
zZx6)4C`$fJhmW0&!I2fr9Qr(luPP1+JNH=4`*2KP@iH+v$s`rE?+=4sFP_g`FKwt5
zSMk0hu+-d1M_O?oulbxq?K`8+N=!_%oE2*EEY!j%%%U#L;#8PL{H5oP4jVXs=x}hD
zG0taZJgBCS=Aa~hOoB7=$zciQrJXer$tf%#0m-Q++6~=qJ*}B>=%+#J=R~gOhegh{
zYtG>hwvb;|VAlgluxmgefBY0E<ku|AP&@KL_80_Ru@1O=3<(MIe?mdOAJ=|lYKb-!
z^U|GGq0+llT**jBZg=wDb`EWc-~bm59Ye;s6?I9c5?YqdKho1t`@FUGx$BQ}y$frl
zoPV3Neaqx|ds*Vva>FhD$r<yHU9jt2ID_l=Ic{tST;3cCPVL#EU=s>TfT+w@ihn*I
zdm($sKELOl;-Am0f3YJ_s$2Ns{P+IC2dfJz))WY=Em*O(z+qj%jdcYD>nk`WoLY6t
zKT4>sE_q3*pt*tDG_6Mh$4-3ue$T1KB_d$%gpf_M0-D7_O*1Y!HjBxcU{ZR|8~!z&
zX;{z{^E7qAnv|J$!Z!KjX-Bf%@tSrrSM~IhZJLULQ}WKN5=e|q_PhEo#D}}VK{0s_
zGgE7ipfE?*#nT)ttlp|U3*|VtuKBWXa9!)=<>0z@cH4GVqdw*)+a}p2-6l>)#|eT7
zk2~!c1-tgtC2SNDsr;LisjBhm*U?K}0Z+bmFP*yJL~R`lORi;_d&h-o$$2dtzZ+&q
zDjxc$^31hkLw;AJpwg5Ike-Nd5yuq{H67d0$H6VN*h)z!qvvJp##h(<v>h+6h__zL
z;=8!+?G_=E-d|fUdtET8(O_>{c9G`^uhYk48(KM3<(d^hlSwN(93Fi;Dy=bH5fcUK
zZD4Uu;GA4u<ZwV}*)F3sEINs+?#=Nk&{+v4Kc3^`h-j+qWLLenV}f*x5WjfQ_t#&!
z6{jX>+Nv<Kct`Hvxp_jzafL%cZYFGkw_J}T2`r3t@nICa<?5m#urT&Y{=1N-vcUa2
zgE>!auPa_IG^OJ2Ge1?0LVj*W$IkZP?bR#to~~nA9k{(Hyy@zS=$+aJv#zYYGd02V
zjx{&S>Wdat(k*Sm|Fm5)EFSK4YH1VR2WIYcY7ttmxn$~sBiUS;su7>mwp~`xsT97Q
zDKKqM=UWpF@3>^^sR<{ubCwHjnJRUAB}?woV`W;7(JMF3^|}zI^sR{_S5-;BBjAx>
zxnqk^{|auUDHTUzZXFVj@)Us~m*@N}S43j%1p<O^hzkS+M~Dk(6yFe=;A`Jpe)`ox
zM#eQNch*!fXmgsck^@(r4aUx|<dpX?vpjFSV(VtY$?<CbuHzhWj0Y<f%KO_2<WAgO
ztT>0g=z9jo^Ts>2QcRQ0Ta5GH$#IwVx9&RbbT@gW<TsFqZq%uLzLww;Ftsw-KxxIP
zJ-R!Li=$jpu%QUS&W4XS-c=gNIVae(9jM@G{3yZn*sw+DxoeB!lppg{_o>^@dTVw3
z(&q5f0@LQ%rnGJN@+Q}~Woi2Pxr#b}Rd09i=4xE9cS64IWE6C^%Y#L=E}>IC;t~6_
zAC0PY3k20C?QKZl_t54(Eqh3ALbzDl2KFaYTNU3hR;C)JYb%1qin~I><)b1+u%QUS
z&IL!3d+*ymnW(D};nJVYcu?t@e?wT4>z5f~0y;@9TLcycy4Y|FO1Zjh1~GNO%uNCd
z1FwLTxdqxYMsa%WsbktAG^N6KR}9B`rDrCgE)l|Ut}cCA0&6(eDk(XNa$IW(6P$RI
zl_j@5OmO0%tD()|f^8SdDnt`Ga@*ldRk_t1E*c-J#11&!_-~fJQt8m4&Hv8|h|T+(
z-?rhyn|kAxR`vhUidfKW(TN<hymbx=EIg7d*v6qMciO<^a?Pu^%WN&LL~lA2-CHj8
z-qbFmrcynh#qL$$XOjn&2TnKmGw+lAaJS(-`yYb`)4h1UZm2xq`FKs?#fYq{?S8FG
z!*UJ8zIG<gmjBKeml!EO-$+B}b+CONXT-JR%T2kwwZC>wI&{ga_TAE*TY~0a%+y<Z
z<$32UZ|$!)mp==tzI?!Id1Kh?=cWwaYkysdPK*qXKbonR`XJl+>{6bZqbqk#aa@zU
z^T*fAIt@#CYIxUf*pd@JRa-~*_Vu4!-lxmXHy*mQ>8|9$om+Cs3p4b#zUmV`yEH6$
z<ME^4U#vg0DNHWlTFkXmhp)^!9aZ@ux;Rpse`U5_ZmIc(t9K8Cy=Gs@;2r(7hN*Sw
z+N!puLzlvApF1XIuAb+*aKn{Vy9-UGNAW%v_`k>ckH|FFa`8onHobT@MZ~r6`_gBd
zUc3{z8Y8u2ca-ULwwHV{XO}wWv9axxlt}qo!IF?U;oaV_2&pB%4~tHVD&^mOC}{Q9
zW((bQ^R-`OPBQV1QkkoA*K61068)9yT7yC@u8D@N;!59p$SX8&s*rZ*PG7GzAy36L
zH?B&RiCVT{Rceu{)|#xDKD~=_e?0OozNuF0xqN5N<b45GV!9U8O_$jq(zrfdbehr!
z(M^ZEE*2hYI^=cnWcWv})AO#$GS50a@7i5n5II+3miO9S*)GK^uP%CPH8b1I=AEC+
z+0@dzrb~9NS!X@RWct-o^J&jE?aE9zl9_Pi>t^4ErGmTp{Sz~fyb}$HklOfLQgoWz
zn|a=#C2EqZc3#Pn%P_6pf9KbN8r2S#nxuWZT$t<(<2NcjEN}EbbN#f3S*^m|W-0U*
zI>Wu)n$!9^4pgUe>?v;pQK#O-&69HwyqkT6xuB~}nu|lCVfVHP4U9W>^KeQu?0ztz
zfidSK!?qL#xdSg4B^s*bsu|cG%sV63z*zBO5xYX{_p&bxOQhdT?hCm0>4|o@!i@(S
z_e=x#WG||??(%V6=c8ERqr26*$|JedW)((oDw#aqAnX$DCcOBNhOt*q0K3ThS#b3s
z{gRO#)k`=M4<=9T<lugnef?kSmX!&Md|8EawPs`lA9R_`rFJXC;Nr5TPBT$Zp|G-r
znMrU~*KSjeg)4V#ZV~Xh6Kl{C@W^`Fk3JQ-n=%d#vnHNqWLY9P6U;o$$YN!6{?QA@
zl9G9cFEYP)Vbi>r{ldk{e{TE>7Jq)zE7$NushpYPMpjB51LMo0d&fBcFPNkMtJ-w>
z=cB(mOAZw6d$A}m;+%Nw6|U1i7p?Y7w2Uta4v07>es`P6^v|x_!_Pj|I&iz;S&`_2
zw_e37ubyrU(?9u6r7`UB-3<N(l9lSWm^0k&wSHrmHL-kwZA03VyXnzaUf4D|7<cUx
z<}g|O!m`mpUG%S-z>6h|uCp-Bo#LNS#c=8COHkj*ZstqIDc26Odo0+(uJyLj>viW8
z+lEV3SC+5`MD-u~!jN-tv6U@Dw8aA53X_$()oWxM8g!4GV&u5tv_`t2p(Obl6U!3m
zVlf4U*!dd+mYZ<gbctWT;g#uO29Yq^q8Uwxq#yn$SuF5H;bq*Vxl5uw)jhkHL|#%^
zDRuHtk4V^KcZn&7yqYg=)>>0{<abTyRLLJ5N*j%s<=3l(r4_6^yP)pM&gG|gUb)Y$
zUUdeA^B`ze<Gc$z%k1r<Cq-l$wDGh~{gC`2AcFILyP)IL548@0A)NQy#X!uxIxB?!
z`EkXkzd!OTGEwzUguC{dS^jI5i-w(5zjn1HxhEjvuSbs<m$q?7p>tv?n_Uyfsxt@D
zbDOk`Km44%;1o}dn)(W(=DjA&vU&YC=N>x6lQeJn2BYQ~A2oTLYg^Ve95^6%aKkj0
zD?A)NZc2RYENaSvH<_7Kdm<DCG(NYfDNE1lxuQRF4a2gkuik3f4J+gRPCChzuqu3i
z$|j~M`ftyBZL!zB_)mwsK;Y&Vy&lV5eJ>7VoX<F(aXKR@L?YF#Q}9N}qQ8-y-_liY
z&7Yc49|q!_3-j!qHSPTAHP<#aT(uJ05Otg>>~+L}ELrY|waJWMZj~x-XaM2GbBeh2
z9{ysuUcKYq!LMTL)OY9=%zbEeaO<nvNjL9qXO4LIL*C4^mm`k9O0>d9z+pX8zY)`m
z&<BOy412kRRw*pxvU$CC_q)&sJC*L1u4g)L^KxCaXhr#j*zZ~&)-Bi_rgg$9Yi4QB
zWxHeRHWrDbhEIET$}l;`vZzP<cz@wj4Wo53-p8gYpW0CrBN^VO_c&<Ux}w&r0dq??
z1zcO{8mX?7I(OTY(n-PBW(r3>k4%|6bz&)>`!z}Vo1dIpIBe&-6lY2`mxw;TWWx5+
z;Mmg43ZH0@$c&wZnNs^+dn9H`S>2gmTI6tTB}=5b+>z%uIIDxFMu=XJUUg(u!#y9>
zFxD?_S{se5^A`!NZf!CTaJ=etaQ5;ASqmTZ1_x{n_^?cKMU;lh&H#xoPps}=w9@WP
z-Tg(g@7bo<UoGy%H}z_NE#8?k-A?jS%r@`&Qki<K%Pxy=K6m)6RkrzSnfq&un>L?c
zDiW49zx2|AtL_g@R4X=rd?M~R^=7qH&w^8LY!%%CQoqfUQeI(H?LSE{B(q>cYsQ2R
z&wdsPY4cWnn|s8odERaHjh7NG%7$Mold9z5S}osM!zQ%gxd|u%7E7~)raiFa10_Qi
zNyn*@IZGv9#JV)wx=)<3Y=cp=M__QoOh=W~nrqG|T)HL_HuVc<!ve2Ac7M269eKaG
z)^X~O+I9LXWX$(SX6YU8bzHQn&@!(g_)1Kl^gRjF>5tpY-Oo<lnR;Jr%?6|GYl<gq
zPS=^-@^?9F=-Dgv5dnX9pYp4Dr@vy8@cf_LtKPUgZ~eU`K1tL3u21bb{f$}6>>f{#
zFb$sn-g}MJtr>5F@78Y8f5G_2yM)7QQFD-x?6OHO4or1v-=t!-L-nHNg=uUX6Q@X|
zy0u<Bl5slYc*gk`2VMxhay~9{^qi}LMr&0_m8wc>2eY!1rb~{bpqJvKs~rJcf>zEg
zK^+A$8KurDf+rnLJeNCdqwry!&%eJjT9_Kkk8Tm;xKZIVrLmzb`4unAsX4FJEoTWe
zmGL%$(bRjwFITUVQ(=*0{Clh=p*x{Hq5nYx!`i03&qRK9JT(z`)2nf6l7`Ua8#9!5
zba>dbs>v_(b&0S%<+lCrYL^W~Q?Aa@h}H-!D_O+z$|dqzr_sjXzn|QKo!+D<UAVh&
z#u}wVzk>Hw<Sbxs`nue?Sa|O4$uDx|x%@oSSu{`hsq6AzGmkB6iTEF}OW}~8nbY}>
z4G+F1znyx4TX{(jN4!L0mdlOR?k}VTXH`5os^BF0KJw_4YpJpJf+2>o2dBT7u`k5_
z{l*C=4m-3Mbxbf&`WUUz%;BNzB<V6ix}?kK!wO4*DU7L0)K5kEPq{fy<F{>KTg@tY
zj+vg%w#{6bo0c_u=B>14n9MLI8Ks@MQil{L-Cm|>AnY7bWc5h*vSGo@zOK_Hb7Gb$
zKR1%~p8oR1u9*Fc<2XGPrakQlVA^=ay+w%QJEKyDM%#jg5>B%pFU#b(f5P{&z`lvI
zmnnX9+ftM@t4u^q@QR`7Ss!2H;LB&Om>8R$^^uM-;^jzdi}<g5z5evq`$c~<*^-=;
zn!YZV^jL<DI;INb)*Lt6mn_}CQd#Mv$gR{fa)JwEKmEBV@Je-KoY3o5KJRTAGJ;pQ
z=Ux<VG*(z4ZpCkqR2C~}yUdY8^}$3Tr$^fZn53sQtPgBhz@m|<(R9=yfT^URWSR3a
zV_BEEFE_mMNoS1W+<2iREUw~I!-LZ=V)hkWD%IyMms2wNP;gV(iMjl`vSP@3YZK0e
zRott$Z>X|mVq&@K9k-0bw%lGePuoSKwV+keNwcMzSI|o_rmQ1?Yv$4kkz8Lm4TMDn
zO^U3d4R=4^Tst#2=eVY>_#FYi%$*O~3cS2ow<k+>JeV?#Yu<|oCtP^d0-HUrBnX+#
zaN#}X#jz}j!{?}gp3;tk5>B1P&7dw4TQZ00IgqlAtfqofEY7+KpLG-d+TQu=u*=`X
zMgI%}>oYXxXcWpd^DaD6$z#?c^xW`<fY+Sk3C<uv;ogJS1UeQR$>i}fVN;iC7VbUR
zdG4+66<M`cd}~`Y3g7YZE<Cc++N6bbd8_v#1nQPF7Mmlk*S6uoo&v*`Wrw)Moinud
z72LdASyTG=+C_)1>u2=x>Pr58c=ht@<Js;ma`!B!-9E6D)y?eg)s0_Hu9w?esh_uP
z`pa`m<74-JJ$L)<{<|;UJi2%FcE0|8=9Jp=!TY?9OZ_<di(h=r{vUH^uWt9xe`jxE
z?G`eB*Kz-~8OGDUycFE>KTdvc`S({G^X}FEQEoJQ_AWN7=&3!U!qx{;^9>$sJj?oH
z{khcLlb>H_++X?W%iQhnWK6ToeGeU1pSS1dwdUW_tdox(<N5dD-(UCd^5&NUD<*#Y
zn)dVfqEi~xf456JM_fBQd3F2!?d8ovo+sx9HfWZHU4Qi?a>~7o9lCF$raQ*A?l9Z#
z@wde&{qN>p7jB)WGouskukrKH^4!@eR<Wpb;j9^7-a5T_mYg-y{ifXqE1NaFYguD&
zFFNLScB06))fawwRsLMt|3ojOF6i?7MbBRJzJ7JC<V4`f)w8p`cg~kSS7Ulwd0kzK
z`R&tZ=kERAk(vDS`msqIZ{khc74AH0QggKJQCLxRjrnBLIi}YuY)=LJ$(q-{LuJ*x
zuk%GiY+rBoalE>D-z%YzD`)DbJ^Zyg{QEomiqGoh^X=*iKL0owd^`5xm1mCq@ADp5
z9V~6jp8xUJ*NfNIsN0vZe<>1Ia1K1LlA3G1f@SKaZ7=sM2)bstT3stOm)qE}Hdk#$
z)wRc3O{>!HT5G>p_5S!ZsrRvatBb!Me7q)M<M~z9!f(_PkM!N$^}Rp*N}-PLkF4Bl
z;hBFMSO2N4zIpkzd)$SDT6z5y{fd4Yr(gf`qh{W3LGi=Sp1r)CFRNPg{VaQdx@q||
zqcUZcjZxF{_NZ<vOZ5-8ym6xc+ncb%0X8qqK!g~G_;x73=4GwE^T#FM_wXE@eDA$c
z38(a$vdl8aoLmOp>{)5fvGdGSzHPI6K2dqz-D7Qc@6ETdy~pyYnVrAWhUwAWl#usp
z7q|Ysu=+V`$_}nYPWo)lAD3Kjez>vJrE=Zg*Z1z+sr~WguDSf4;{UgvDLk%zdBpa-
zeDAl%=9kazHJ`t)a*yEVJ<B5Zex1Dh8@qbl>Nz`}*Rkv_H~oLF-*)lV%Fk)FoBchf
zshgW$H@oH{{^^L<oCj5x<CkyUWq8QZ@YwfjkGiXO?w`Ko#Zg-g@ACIo@|_}gRqJ@E
z-QLO^ZFT1L_WPS|rk$_z>9^f_{K4@%o|o*uCRb(L*OvFbvscYE^MN?uYPt1}=L=)M
zzPbKP>eV{)fHNsaV{&pXzRXGvP+0wa2a}y%DN{tW^Y`DruO<J5y?Xmwqg$ANy_wRx
ztCrVeRxD;YE>^QDG=clpguFY)Z%*9m9ee-WlZam{UMW4*j+U?afAH$%-S!LGcUlGi
ze?EJ1{ky!AeG6`?Z7;9L*uRgNrRM8~ee?2m?%((~RXX6>yEU60Gkhug|KeiFyL<6$
zE6>eZca1UQX!h>7lUhrru9+2ia#ee)O=VANMa}<Mm+N6`{=I*<^YS+3cQ@X4SZ7#m
z%1TlV>92;M&5IXKid>Mt@JG}Bt!IR*_M9=6dL^s4;cI_-to^?KwbJjV3!V9LaIbrK
z|GV3_()3=Rx;}r-$*YHVhn+F#*m*Q|f9?B&{xxlfcATpT&x!4nbj@x#zUf>YPxk6?
z{<V{H^v&-sEuHvwssFA=m$K#V${D?%J$>fCGw)=(Gp4>%{PWVLisAA6+bibZzH$ES
zq)4mF+LL{*?KrjZaA==)+>;x&`!3qsCI9&-`M5v-n!Nlsk*(ZIqBCo<=L)aeF8Alc
zy-U%L@2+ltf9sd*+KW*}e~R+&U1Qu(na}ntcaQn|-r42hzpUm39KU%_>v6Qg%5P#G
ztM;-^`n0WQ(k&j9t%jao_kO!|(m{J`*u|ON?Hhg1OWgh<*sQDfbVhy6I@P`ly$nCD
zrM={xp7!P1)ywMq>*RE$qBrQT7pT-&>wHbvt^MYLt=YLV%Gds#-E7Cjo^tei-KT<m
zpP&3|E){Ds{~Ko^_`AA{xhv&zgX??UXzOpRzbvlrzrc2Q+YL|dGf{#ZX9PHO*#14`
z-JI9AHq~su*R(Rz?!srq+A+_XH&49tw*JEH;_uDAr{AAh^xm;;`+*rL`A4^3n|6Bp
zvVC!eoMzhdT}^}Kg?`UkxZ;-Jw*MzxL*DwknX?$ZwSLmtb*?ktQS^4SfwiZ}X)lx0
zn~V<YXn)kvzK9Lo)XAQy6mvef<(ts6guM4jeA_;3-?cw4O}TF7FUeb<-2d{NU3p%q
z$Mh_Z)xuxbYqO`#4Y0b(X==VwIO<)XddjLJei{-bPMama=-b@lDiO4BT3aJ~I=o5i
zh^oV)RHsi%UDj_XJ$q4l#d<%co!oEVzFz$ATHN|YrK}+rZ^edf(NmGXQ~&v`^k<Rv
zX}c#~%?aN$txh$YH++-X{;4_B7^bcl`n~!}?9+EyxnKM1K0KTJyFY(koV=yWPMuQ^
z<<5UA+B}2n@54{O^Z!1X_`7)a>a8)ywZA8sg};6scK3+yYQNem>&<fis497!-D-a~
z_VuQ?%E;HvM`Nel{TdZFSt(|%Yt`?w?eF~Z)?IUKi}Og0w-r`#&%S3FHFsC~ei5;B
zzFUv~zl*isv;V{eso>xviyO`+uDh4g`l&Ca_R`)LXG4E$`?~(sIw^E+e`b+*`CXO&
z51xIhFyCcTyIra!lua*I^6lNYVmYIXb$9u8zy7!Hc2fD>`{m~4<#+EN|8Bmt)b#e-
zZ=1}S`UOj_|E<lhzFS&<|FIZ|480Ni{k!e7OR?X-J4Su$72Wafee)LeKh+byv0R$x
za7*|^c2k+vPD7LH3wk-XJY1izUme?I#+9+S0gWh8K5#q6`K?k!%<9M6Z=XJECHX<|
ziu1kqHdSBFHLJfn^j2+xApidFlS`!nUVgmUZf(Ea`HsgU+3#}K%GbmuU!E<qe$T42
zSC{R~YUA6V_1@IEJ$~!=I~B85e~Y`HX)gQgBbU3V!KD+n8S4&mC_i6#>Tdq_>3f9^
zo={3(ypv72`%nKfsUDpo&so_9n>v=5U(ZOn(4t&+ALJ>kiMkhJoQ3<&G(VF%u6Et!
z$FylITfVNU-E?tX{8}lSxUc(fW_+)>dPd>Ts>@Tg7w>&@O6vaMSL=4~I_f@Q*XwuB
zV@lqpmW#`MyT5Aszg1N)i?ZIAO<#7o;OBJfryHVQ?LPB#*6OeBZ%+wL+jUx{NY8HV
zOgE$Qr%#`7D%O0v(x!HF>D#b)w^@SwUIkAGQEC1A(o;k!EB57b#pTPFv0W=Uc;07T
zzt8@ko2P{cAI&NZ`=I({!P)({mLKNWA@*uoij279>nR^USWdbsxE+Lr_-vf5+In)=
z%k@rGIah5tmDy-txmNj;kaL_V93|fsjxXKiwo~Ws-sdM)zI-?LTo}Xr6yIYr7P}bd
zUuNB9pZVGRmi<d(o@sg6nog4?u6~&HX`(?p)22zgvOo7Gg#~8|Oyp-~Ib#@9+|Xco
z>WzZI@xVIw?7nHsHi<+mdmO=P@poDEl8Gm09aO$l@N53WNH4kIu$kUU51muxI!l&a
z<F!h6{<5O*vEGT8f4Y@o>HEAcIPkCY`NF`TH`(;k^vkw8_8MhpBq=U3`u->5v|m{H
z^oSK4NiOZXq>jfv+)^I?;=<gzOYHThD(wq?oM(>e-{=wOb7F>t?8zCznr(#w0p=R!
zNqYOgsPMemea)sdu!!Y((upa&Q*U3CZhtAG`eEYYaCPP2x2o;4p3R!3)ugAwU-RY9
zEV<nUKE{lG`-3M(giO%(*|vS<J)74X4F1QQJsJ_;;CMk|lG51)|NaThZ@+#m;h*s{
z&#$kx-FxPeP*L&P#p0)nz%Q2<RdYqxY)^5~4Rq1H`eugf*V?i(PKMUYKE)|7KXfVK
z@r|?93-Tmd><V9}yr`<+K63LOQ(+$4qdOBU%+=0KUU+PozM6`V&NSIZ(Y2h?Z#gb4
zv(!7{wzJMBdd=KpCQ<^)S6UP&F@3g~7M*^*uQxlb%PX<b{_eaJ4UO(M3o`f`V_&r$
z`Y$cNE>5L(g|uk+!*#J|E*%PK&MLNj&~ATGWywy1*cH}t`Oaq@!i+5hH$A&Q^GE8R
z^tvp^{})zu>0PqpzOJ)+zt-K*ck5~-zOI&kDNw#8mn-1b+KNeerg_iQ3>-hcvlHw4
z==pj7O7V&lQKDVWr{&IuF~(~ziT0}vYddp0yC=dduVV7{yS<lQ-T14)RinS?M(8sM
zwVk>>4I2%3oD*gox*PWP^@p<m&n3PFEZp|)<GbsjEY?Rpy;-<Eo%8ti^)K?*9Timk
ztQM8TyKvu;^_SLe{5jF@`H6iyZl|zvY;Lh>5%2jqm&d(Kwov-;oYL!`?{0g4KD%ei
zy^C$3*B{xqUzq6RDUo$3;7YoYQ2csLe&*i?{UdecK6@#>xE))5KKYqTO4uB(lCtyP
zuFu%o7%4l$v}u#`&E#`j&$c9-<9_DpAl1sX=-TP$T*X~G(#mh%ZS@n^y%WDj$7}wN
zlj7}fFEKq|So5!V_ooDnHfgts#;&DLe2(ueY4Mi-s_<ChQ)=^%l|1pMUM#P<bh;~m
zttKVsc(=b`znQ>vmw>mCP3ey(-2Alfvf~D`2d;%el4&15roOS5pT6Prn_tJ5Z&O|8
zyl_Xk7Ds_rMS<3f0~;kJA6q^>Wgaj5r!}4RsPJd)>kAlcEFVtF>~(oC`MiX2(7cbg
zWna9};n%Nt{b@VLm7v0x`_G3~ewP2jz4G6^gi5>en7jM|+rF;UmA_T!-nS!KreXE{
zg^{PSrq3vwm+61Ipi*X0X81#giluSiPp@aK=R6l(!MU5K!d$(g*!-QCpj}4IsmI*8
zKj)ub%A!|N@bLSt-x(KY$9tuuz37wLy=!gVt>cCT9U9j=KWx}w-X37})=hF}-xl+)
zEvpMlUbi%VYKi{bVq9|Q(8{%5o0+$pd)--e<wtXRUh(R4xl4Y&GGX4=Hltzvt@3-j
zT1Db=Hy(F=yyoMBsdr5-t6M6X)@OY*QH)x<YS9r7>*__9^V9CU6Xn`_q4~|li}qc!
z<qmBXUbKDEjrpBht7C7TcM_0mJ$5kB|N82qfr9&AUyb|45jyu^UyHD}WX!GyL9Ekf
zZs=K@Dz7J87}+VgXh+ZSR0F-)g)@7*#bS;<-n9RI-lg3lzj~hE^S@LblAy>swd1RA
zaqm9!FIyLG=Bb-E{nTGmy|wAv!+VN1hUC4w^l8)XC9Emsob|7t_F1}c?ymXt<K5g%
z^9!clh*?{Ea93K6zVQ0`J==IDN33}HboQCce?nAt8HxCxnyOTho>v!Yl=L<0|Iy7c
zThkv@25-&|OW*uK^mJ0%+2SnEyHDo#Z4Nzt`s*y#+dA*qPuHK_dn~&>(70H1ZE5D`
z+Sxe?CW<ew{Sftw?B&aydz$+`uW|W8_QO2aj$IU9zx(LPPjhyzS#Bf7`R;ZX(<J`i
zTT^|rj+j0_b^B)F*~2@Qm0Wmt@A#BiR{h@I!OfFj6^I^4ee-VaC%L(wtmb|SYuwGl
zcPMMF-n?|n|L1t>=5#cudn_v{x~0CnZJqbesT<7S2%qn26Oy~(U3yCVrsY1R_3JiI
zzs6i%{cXi>Q-il(L!xpnfBB+W`mnq@GH#E@uBnyHbKBGW3|OA+H!s@~5j#)wcfq?$
z)0OVd{T#pRxy8Z4!r+PK+`pGyeb4HrFxT8%{Lmg-!#b0rpIpOUKb#@l`0LNo3V}Ci
z2VcC9TH3(0@Q-ZCUe`$}C0|#c6Z2GBVt=>ybKXHs*Y+uA-fjNIez%f+{f~^}b6YkG
zaCz^~J^#h^lU3cWJsUmdT`axDed1NC^P8-DdpY8M?QHy9tr;9zT(qL!DA9f9=IvI`
z98G-Xen-7%@eTGmuvuebK(q7pbzaXN&uEoaQg~}wYxexb@zR)!AFgpue`Pf}D&Mxf
zxo+bl*OKDbuU=PIt0nwaDz&?Ey!_MEMTdThJ^gTP%`3Z$dv@D={3I-SUQQ%u$@V_o
zyZz1)3RgLw|8d`cMpxwT`ry?bceHpMS67@1xtC{RGd2A88poqQ8n<0~El{Mjq0&n2
zQnZ2D)Gvmqv%k+hyXwM^+wpFXvXZt)IY^5r9!ZVb96V38dh5<8X?e?cXBCy57!6BT
z^#x4)Z}tD*q=mO)wtoM0CahS#&xzx^(!<S#Pj0=6Wo3M}{Nc^7Ys2pU3fupxE_~k8
zB~K1_ZZ?t(JK67~`Qp+yqb+g;JKoH@=3eCTcb;whlsSLSPdAHPKTkQ^Fy{N#q`KXE
z-@LwhC+>5=?bBa<y&o*U_hfz+um6%`X5ni$n&^LAy^cle-N&Sp8~(0W{rSaM?YP<g
zmXG3RN{?0WsGM3^vv=9vgftbG2)mm#``+!^U+;ZPC$%SOuVJ>3bN!Dxp1+C5wA+{r
zlf|5yXB2iXbUJgx^=HB{{Wc-P)gtTdgQjH)FMGYd>Sm(+-$jxuRzy$WZLKxjEphmO
zUiVLyxe?Jb*?;@pT;Ke`X4baw%#C5Yf7ys|1lVxue*3&4-ude0`R;$?(ypv``;q06
zC9x$+>!#a|8EdDp3!1c5N=#3_Bcamvr=#k2XroKgqNyhy?@HkbcC${6*<$(S`DVfA
z&8e>q!mi!@edAH3ujK3*k8NJ)^uGRVasRG=VvXN1+1snC<1B58vK5S&>b0cQo63|_
zmK|zXyUNd%H*|sSqe6+B)}lYNr5;OJ*0gPiuQ|L*IeX8ir!8MnwtNT{eg2x4ac*<*
z6U}2S_Zqt%HZ9n%HE~b>yMMX&7dBOIKkjD7&mgpL#qG^(3=3E3OT0LIQ8?=2FBR4o
z|8iIv=0D$@v3LLcLlV9P?@QdAZoE>mefr&AmP?xTot^T;17<meS_jIs{LFTRzTKA@
z`7w%X|Cgp-UrX7_jS`O+eSO};|Lon#v^jBoyMGx=ZT|gY;toe2p6Jh=YDQnhq`W7J
z-_>KW-&u2W#noil6ALz!isW2abxZG@*wf7BEQf1)pIjFBw|ux(x2yZ_!TW}S_1BJn
zGP@i4YTYmOZXUOzZ&v;~>uTWNy1%OZnRnmkl^(}xwts!Gw;(2eTW6&~$^4!*Z%+4o
zZOs4m`9^$<eg2#EyT2^IefsOsYbw8HC$Nif#r*&Bleziq`hQ+W|GoN}8>rYbD=>t2
zao+i_Cz%Z-IoO>x2sm|W@kE|>6jc0?%lGYJ>Hp##`~pWm%sFV!V|P!C=g0$PHg-*k
zpG^l3aeT9lHt=U>n!PFU_+Ouc`@%y1K9*R0I705&F9R`-MH36p|J=O9|IL&cH96mk
z_iyN#7-+G3lR-Rh_=NAbSGNVuaBT2%o1yff-CkQ>wxy=z!il#tSMa&LESR?DmV%F(
zw&Fq_<0?jhQwEE-SG5!!U&s@-=gsEKV+Yqg)is*%jY<8IiDIh7GPY~0=dQ1-ct558
ze@M=Q5TC?e*9Y!B`8&7&Tef5SG}k-PuNB_q=6yV|IXT|yzoo+gM;1Pl0)r-Iv9CIx
z%AS_i-q!s6tl08t@%HEQ4?efMk+r!>VuQz_RQo>NGp7%IeQ4Tc`kyl+`$y;N$duRb
zC)8)x=$#B|dHVfW{PB5bQ&eBbW%rvzh95qy6j|>S`)J9=*)b1(ww^EE*4q`)<E)sb
zy_ccYeR_+I&Ea<say)(F-@d)2Ys)WVyKMf{b14d6)-o2a)m~q@-ZlQ#+143H{~Or}
z@aI=H23NM_CV%DlwDzjzsqJC?{P&}F@BR9AZ~VD6OUf^Qtveoid$ptf`w8+B<`<g&
z=QFq8_h4ym<MAxbuUrRK-Zc5Na5Hz!<h>zn+x;re`|VhIo#oTkor}$P{JQmQmg%>T
zGYgEf>hImCyl&w1V!G)Qv&)MP@+pg^J(w2r^V8;S953dya*6leJtMi^cJbqD(JObh
zPhgS%+sCi>=hneM&)Kfa`ljz?>j*m;K1CvPzT|F|t<A}WoU870?7#hLzT@->{sq(S
zFArW-$a$-J)34R{n6LdlRkc3qaea06zbPrT?7AB-UT&HvT9DE3oFzu}fpp{kqoS3M
zV>f4i$vyb^k?`Wbrc?fGJG?1*@9tBarL6V7mB&An{d#67^QieAONi~g;~`1<HDCPl
zu4N}|tvuGX=1%#go6py2q`o~g*S${7$Ey9*qS@@Z0x7+DOACaLo?lyct?K3$?oZRc
z)rCI&{r0cx>%{>qRxw<?!t=i?P5<%sYtORG?fVYZn!H;Vwf@>BUAfusHa(lYZRYYf
zM=rb*ooRe?&7tTSKi<#R-WY8auC&h~<oAq}LuHebZ#sQk^5xu#`&0C!KU}%{XVsJH
z?C^-<Ab;nr#&3@nAKvPG{_Y`{t=<=6FCF@MRHygzlGV%CEDK-%`h5JQ=OM@AwiiZO
z{eGNv)c^O3S<jc;&-*z&L*-JdX1SNg{$;;k2zsSIe;yyF>pg!z-}8@Wbl-){oUB*7
z#y4@EYW3dOl-eyl>E{jJ?O9{m`D~WazTMUG{@bjky;GjE>B-5n%VXOv+M8%Ue(fLm
z$Xe%e{h!X|OBXj+zu~R-yjQ-V`=jlLGuhT6-xuiIdgp5C@6J>u9(-5u&~4ERpU>sJ
z|0nofV|Qcw+_#UWti4x#yC?GR`Pu4z7B4?OzbMIHZPfC-enWDa;Jw`4fz$TO=W@M}
zF5b+%{O?{hy$gT$hDw<We(_x9eE!)L`<K76=jTkiAr><EhStl;H^k!R`pjK(Aa>`i
zwcozwn|yPe@Nrgr%*RRgTtacoLJCrA@=9l|OX=+?QH?O3|J*UJ_71bLGw&L{uzjti
zF~#dt>wYE*-g~E5-da-s>x{Ht+g7`W?cY1S|4uAjw84yDO{BAO-h>;MB*c0)c3H-6
z>s_joxwY7P#hJO%Z?naIb>`SGGC3~3k<Iv|p>+9$v&OXs!EdD;bqkZ~RNjAoG&$;3
zImel|%(n}&ZWY{)i2ZgW;&q2t@7BGnb91V>b$0D#{kY=WH7VZ6yukQ7a;>4Auez_-
zvL;+i+1J;0&|P+Ai=o%-_3aK510K74zB}RPK94h-*IUngukp#^dcI^pz#;B<6`S)D
z#A@~IcQj4kyE&nPyQ$#Pn;+M%T+hB+Z`hqBTV$|1cJAqAuA#jfx$|$GP&_o-oo6NU
z=^Y!6`1!wElcAq`z(RD{*Jt_LxNEj%#wPLHKeuC@*|Se|VvjfO?bHsI$SsMGi&E)c
zc=G(eX%i#OWREGi8vc&|?iYTuXzq>D8|eq$^4r|MK4Z6#?r+cN%yrWK)x8(ncU<zA
zahg~4%riOe;>-etGpygI2?lrWGg@^g&fa97X~ONdZH_AQqFisWPFWnIzpt0=gq72_
zhc9-v8^}(5r&S)la(C2jPOg(P#n-Vdzw`cP+%D_re~H4|s`lMA_&jy$#(SHMX5Eh3
z^XA%mOY{8~{>|H|<lcCq`fx4F=euUxl$4%vuKWFaMgw2s?i+JApIX8h?mXwB+_KZ}
zmMiCM{ui>@H<{C`?EJESu6I@6%G})ZVqTxd3F9|s0{4IJ*=;-PerEmGr*B?nw(L%E
zGKo6!;j{7FnPH|kTc$tW^gFvN?Ea?AN85abc4$6wHFpd+c5HcWzR%y69B<05|E=}e
z6fj%v*Xw_FYKaHxguPBNIA5%G$tsPR{5JLb^(lux`dE9+%0Bb)fUe?>RF>^i9!wLS
zAeU=4$z84N`rW@Q=et>E|FcuS^X2<OyDgeLPXrgfdbewTfApJQM<y(*{<u0N+-~_~
z>-KBvzrU<p#{890`RlWLv8(pRe-$c9c~;E%T>c&V<hu0<@wzKa>MqXSv?yTZ3|CfP
zrp@atJh|oHYrXV8fA3V4!^16mW3nCy&)-`1*W|>yKQ4#9ZOTjeWy8O$_0h@aXPQ?=
z{jqtvbm6O;{t8c3SvXHJP5Hb@@J;3C1edbz>0K|@7o6)}E&K3!r-4I!p3)ihDNin0
zn`%Zg-~E4mIrH!3LQH<DLOB-9OndU}{anKAk9t|$Y!Wuwrru>Zu|HgG{e$~F?`v%=
zZM;*`)&)+lzWgt;bY_v^?&{^mIYLV$zFeRE&+6dckm|!r^?bP;53hdOSp4?*gxSi%
zlPoejg=ZfSN!cVeBSfWk>$J?3s&oIA9Ez%Cd30~(o@v3>$^K8jY$&x)RuWE2opb6|
zM#!#|&NCMqOSeRAwzrs@D%m`1Vz1odB~nwXg*C0WKfB-(*5h&UWt8vy@XMjgJ<}w&
zb7d^ZU}+BNznQ#y&+)_T#Ve=HkDRi#NjOsO;@tIfl#gtU*r#7EuykJhjt5frVq2~s
zSv$R5L#AuuCypGZg*Wy}{#$WCeNyv}*xerwtCxiZu`#7FtXQCDpZxB>-P)DA@03ix
zHtSc*<f9SO`O@rGZ%MAvdpYUJp`8X=$!rq;@3{TFbF40J!llIpmn5WJCO^Isb!(2|
z!p+N7j(uM{Gji^+*DpMeUAU)|d_bqcW%knP6%#yz(=VKvd`wP%^0~Hk2Nx}kIX^S_
z;^~ii?<V|<xUBQQ{HWuMX^Dm&DNWm4Jw*#$H1>xrDZFq$>5^MH*PA2*jpzLn+)w;$
z+H3V<fnV(<o!PT(GQ~L3JqpD_r=AHqB3$YIVf*Uz<l0HcXKs_6n^ALqQfJHKm)vZ>
zpGSo)`eYX{(OciOQ)Pzu#g4Vw`=+qp|5dW|gwEni%MD^(A3j^k{Z2St{O+X41!A)`
zuU_DA>@J#jXuDrT>A{v}`^%o{4f9?zG~VNxrIR;z6BBR5caCPC4YO`7{a~dZ6VkP7
zwtG`pd3IO#iPoP>ieutT7B5{CYLxqnV?yZ{>xnZC?cdy&lY7d0&%V>$j#DJoK7D6Y
zn3iqWY7;)m`$eCS&@{frycyN&j`g~H^X9GmD*g5MmT7iRmwL8u47yq!mbBw~+|Fpj
zEBECuX<brY^Wl5jOTo{vk#8-t-8#AY^RISl`$oKT6;8IhHig6Izz$tkCSi*_@0kl&
z1UR-{N)5N<dQ?`fxR~*itb#OCu<3_A-acCogz)%WJrKg@^YuW8K#hHxfCJa$?yY;@
z{FCxOvE&WMnRy4^aGlwA;0@22dk5a|o%#1d`D1N>%-i47d?tVUJmIyZ(AP<yHvh6e
z=E5P6{rP%fXZ_vy<XLRX3KM3rFKb*dY2&IJS2k{Re7uy0;f%n6E8G693cXlk^SbKl
zy~8PjXM!ChPp3?NcKt(M{a>Gz>N6NN#cj>cD!TS()qmM3swFK`7B$uPYwBI#ujcNV
zZtrXqUw!pnwWVg|1ddBj)_?g~wLNuBLR+VoV&%av$G;tq(>T6y+NUc&UuN??zu0oE
z;P>3#vsYeyJ^OwBzk?HH-&ySVtz4f_tnT#fv%F9Fz1r6w`TuJEK7RJEy}_>CpWof?
zzyIgr-yhAt`QP9D^Wfj?@9*sG;_~<XdS(A-|G(+=-+zh6|Gm3@e(lTU^8cPcuYdUH
zTy}c=&x7;-ygXl5^>1zW{tw4)&iw!T*{_Ui&+qT~vHiaFz1r*V>z+Qcx48GU{`g(}
z_&@gde|x9z|7~9Xuf6{F-`Vr`|97vqUHU!G?vHi-pYF#;<?DYO^#A|i*$ubz`g;F<
zhx^%=UU~j=yZ*n~(etdNp8gEi|JNQp&+41+^ZjKX@8A1$<>HU?`>Q|N@BbuzeqZ&Y
zl_mdni~oPDyT2rd@A>+;KZnEjReoD#|Mj~0`?>#rcJJR6x9t15|96ho?}~f&{apR?
zN9)&2f4cYc{ars!zkd4V-p~BFKkBcae%t#we*cgD>!<HlKfk~8NB_0cr@x<z-~Ge;
z_0xZQKb!0QJN)(2hkHL?i|_xwcJuo4M=iu&zZSp0`ug#r+P9DN|J}OzI?(*`a?!tU
z9{vA&FW&C=y^98U|6cr^fA`7T{<;s}|J`D@|2;YWkEyf#ADz25>i?hS|99`~vp?sK
z{x9$T)&KYW&;0m5f5YqEzd1j@;?%D_pYvn>G~br9E}Q%Ox%mIDx?Glg=Fj&Re!Rc;
z)79|**X!T6{abtA;&+(oOX<~T0!9A6*zF#ZYx!Zi{=bjq^{?ODp6l`GoV57=U%U6$
zew+KuFDkG0>!bOP)|%Y^b?T^n<NfG&bK?JK@0k4D`u?sT(+ifLDc@K5QUAd?llzr_
zAN_B!zWwu@x!yni9h+yr-&6K+|KYiRYo5P(RNrjf{`1^w@&7XKV$PNCEBknUUv<d*
zZPLcG`TrfO|7iaI#f?+{-@m_G{kPZr-}3s;Zx74Ye0a!i|9kzrJJtW+*L}GB{r#Q4
zhv)xW`~Cl^xx4G1_y7O$T>j6+`@fFP-DCN!Ex+!^L)ra*CR^Lr|M$Q5|L6VM|MtIE
z*T3tI|8Yn>&iePQzx?<A-+ur9jrjBXmiE8fp6bf(zS;iXW@r8TKRImIxqmz>KesP+
z@s~MMOFsW?|9);i+nXIf?EhagKNq*RD&tzg|A#-d_t$+pwsErUx2<pI@AbO!@57(h
z>G3;1h1dRl^Jew+aQ^<ke}9_yeYo|ooM+$XFFy`HkMF6!_4j&z=?0}Z+nVoxPRCpS
zzO>l<{oMMev(wX$|CgKp!M^74)y?|$RnHGLe_p?@@Y}BP-`C&YtN-)k)xE!;^=0c{
zWbS#f^>O#3pZW6tYs5}3=C3RJcPso{+|4=ri~jsC`L{Iru9)WB{Z%z{uRc3{JUaY&
z{LgDQvwJnp?f-Xmv%Z}Crt-2-TYKw&H#cTHkDufH`_{+({_^p2>)-yk?Qi$v=x_i3
zU;6Lc|6g?S(XX{Zd)ED1ul{_!O#DWhk01WV&#B+8dv<!=+c$ec7pUIbp(my~ufDP_
z>Ea6ek7p&f{mgnE|50kLbML-i(dqm7*a|*3o6Fz7J*WPo`T2d!X%FoG9lO{j7F<x^
zcIn5h_jCTLNuKz#dHoIUi#~tK_Z9z{tM~HlpR36e*Pq{C7ZNMcXaDW(_4E7Q9eW(U
zKiT|l!=F!Ecf`#5d+efDjL-hUHQ#aq>mGky%yekhx%#(1s<ZX({LH^~b<)SOx<Z`;
zmp|XP`j;Uw<^S9D^74g?KdpW*7yt1}+{fF;!)<;%$iGwj|1iJv?c>sF_W#@cx#Bju
z+yD6R_p`pt|Bt`r|JeLXJZ1m?(9Qq+0p83kA`A=+91J?OUB2tTynK{d!pzW7!py+W
zz`&56n421(pBA5!nU<<ok(V>`a*}FSlS}gLGOKMnlW%X!Ezh~VE%)}es~dCA?0g@r
z|CO_KY2xFB$Bt-fxqO*_hDTEJ|8pO;ww~{EZ+*#ODm8ZsS@V3d{i}6W-Uq@9gpc(f
zy#H^l-yg>53!jyK%d5YVb#@PLtcB5xB-8rY^RLglRCo2}xf9nXC`cSN;5Z?5#`JMW
zMm<CHR;$zRdhXe;GLcxMB)03sBLCPF*_+$UBvuJT&HE$IW1P`4Gr(%yv<<1{*Os)f
z2r5pt>(>sfc(vqLr)ugdPkH|-#r=;elT;6UnNxki>)_pEQl{_cRxtT*J$2&2#aBD4
z-G1sbImf*Ce$jU2gYI)oZkK<5GP)ytjBlqG+cjIed!mvrgH9`k#fz22DY?q)?AJbX
zSLK93zKS|;*VUViIj>LMKYQ9v(ZFgy>+(}~Fa4}xt*d*JV-#@v;+=pu(FGqjJ>2*r
zbD{Y6slw_%&+MuR(y~7{|EyB+npZF09@p&7aAQqZS+j6T*wqRTzB6r?jP`{5Ssx^~
z;cfFL7O!pJ7uftS@eGMEf4qQs{+2H%60)K%J9^FdQ&hj{;TFF2B_E%D4h)^Pl1)6x
zz+hpS=F7^MZ!@zu9NV#HpGb6md1%v?;8`jX1{U3oL5X&&q&I2lANMVv-SN++qW6fM
z`f<&61HXN{&J>*Qo<1u&>h|s8CtAy@`;CqJt5vv;RI0rCt-~0+sC448OYg7#DGkes
zTYcB-)r^RL-+4q|DF`kyDdl;S*=)(G8L*98?23XTuYTZqk2xuQN4jKk&lG51*E;B)
zns?f~Okfu4rJy<g3lA?3(=^jw`Y`S3@eA$CM30@De%SPv_4(^EAHK$ja4X~$?bMH3
zbyd;s@wG#jlrtZv8lSTDoDtH0l~<vRE%%S=d(o1_ySwIkT@h0B;=ML!+ROhl*Lmzv
z%9`WYyRqN!bG+*7=N0Ax)t!+wQMY`i&wR7CD_Gw>tnz-~t`A!S$}(bGgsmFAa@<7U
zpH=+U{LsfXZ`-|nS~@3FgpU<yoK)VrX6N}?{%*>pjy<`%j?QhVf8>8V@?M@m#ii+2
z9=-jU61OVa{?k<_)n7WxwPwX#UD%tu-C()+>Wlviynbw|RKDP0?wYIkZJnWk0OyOY
zH>FEg8121#<VYQ>zmICTtax*qZ29v41^bSiTO8Q!u_j*e=?=HgSM=P^-w|f&e_tQ;
zG`(PAe0^lmh3K5Jk|i5zstlG~^Pi%Y*7=b4@=f{k<(4N+vu+8@bSMc@m|*W1rz!n^
z=G6-|a=U7_{hzd^?e(MIf(LF~H{18{;ht&PdQ(nc)ScQHlW=ZEt$X2=m+dh|tK*m4
z+xSXt-ps85Q^Qg@0)Ch*{qJr6$89D1-2U`1J{k30pM+jqR9+LM=v*L?BP3v0b1i@N
zqg&2`l`adVL#AGwq%7&-bxX@Cve$WOPG8-0-6Nk5hU|LU!&9`a=$$C5vF`4l;tQ_Z
za^xl{A9yRJegAas>i<U7ziXAX*iyfjIBxPXS)&jo?07HUqG;8dy%7(>o*ZtQoUvl_
zY(*a_uiaLc#AEi{eAzV3NAq~;^Y9bmr@kb9`FMWiwT<&_6PU$0_RKvUuwupW2`p@^
zzpv!|ubh2)LEJM%q2Ie>QfFV7=DqLHv(26fYbEZgzW#EsFz9OcjEKihJ4=HWh8$bd
z9Dd64XH))P`BcaBU97h@c+}1ovpyIU`DIq&$_sO5+-|v3^*V1#l>Gi9FSZEzaxwkb
zd!%T?%gS}Z`X5Z6np{wtE40Zw?|9+wTW22FUn*L<_3m8H7mp_uBpDWIaU68Lbd;U-
zj`#d8qR!`<B5prV$(s_si)-2Wte()7NyoPZ)p7OOHVH91IP|<sy8UMEt=$2W=kp$@
zSSqlgxpBR%#I;Z9Qu41~ot$slz}_#j@u+>}{mA*tcl}U%zNzeQm#y*F7yRbWAGUpK
z6P~48{3-QLxl8t++HG<-c6ptDQ2JV<tD{rl{d=!vVQOnbj(z8|nNatBQNI|khL;cL
zwSRibD<3XAce*-}=kxuK<%PXY%RlW4S8bRlza*bML{@oEsH8Jrk>SiVn?+G_TV^de
zq8+6<FPYWr&8OB`YF!hn#RVq#TmNKQYx;PPSJWS|wF@G@HtbSTJ87?~l&3zwUTvYE
ziM(0k^q{p3T=VrGt-jN~SoY(u_`Z89mM_q|JL%S$$-*rgW(nNidLw_6UirNXd;UBZ
zZ1S7k*7tI|tnb}yem`Z&WvxdqnS^CdjS{*NI^pL-Gr3ap&in6q9%S4q%k<hZZ|8)w
z)t|SDNYwsH*<$oJ_<VxKvD9l~;#W>Q=gtxJ+i3G#M`G^N{V(K_=f^$!#o4;%%+iC$
zHvNo0c=Vn9qfR?MVaYeMEesV_M)iF-?e@T5GvD?`iqRU?h^ST8XA&YOioPiQd%s3z
ze>i(gz3qjwXL-2-<jyz5gzZ^Z^tkDbM3450mS06z5<>Ws3@UA&S9-bM=Tm<8-1Wrd
z%igyHohIb{x!kpM_f~~|#bgeZnX|uMt9~AL+_g@luJA<DEXBi7-=%Y}TJgy`-QKlw
zi_P<!!L$CGhL;Dd4tXAJ^U<h9bcK@avah_`ix>J{xxZ9<m3?s9<euoKGd)km_!ZQ=
zk*&VD^+eCL>+jFKS}S;B+l0whD?(OB9r&|TN!<K*UDW?$%KEN1N&_Bx9?^*lUe5nq
zxc`DqW>Q%4bN!!BBC>y{%-6iKY2t)P*9Ny_-lK8BdkW7T5&oOj|L#?NVpV4ORrRQ~
zV*Oh@zq~Z%n8zGpB6HzpRafG~x7@jpS6I)x7P(?m2%lw{Q`oum-qS}XEvpf(kgO@a
zt{tBD+dXKb*p$j^UYB~!)kUA#ZxToqoOAz4wCW$3f-=Wt?;6go&17AdxG!P()gv1e
z${txip7Nowcjo$8P2$E1$DeOmENK)kcChTE(q^ZI${2IKTzwYd5au^4nfI2u3a`2M
z|ETkCZOQ*fay2%tYFIb%`E?<U%dc&3o#l!ucVgLDe`Heqt>YSz87J=)9XH8gQ#*Y3
zH1A#3Cu*#0<$3+wTZPX#vn#F7`OI9rFC;a?Lps;WJ@nqSEKYry@^=#X?>HYg=FV6C
z%)b5d;w?tWF4>#n#B%;x<>=M%3jbd$H0wiNVz|xRNz)=*a`bO$eA&%-=JwMo5<dze
z7P_ZAR{nWV|J)`;{zWep9(?gmTyAb)HKC-<<SUP>N9+@>)EL8B6Ya|%%Hs>X)7}Jm
z-VSj&Gv$kO_)+cZX}U?RYZx7?w^VC;|NHpNVEv!AUM9&c;uQ+M^RIk+!pwT8ujP*F
z$}aK#9e*PEW`;lgpnRQeoxGV4>zBNB$?V0m!#33@MJ@ARyd#^z-eT&xFS_$qI_Tdn
zU2R!3*L&OkqgQx3{>}KqW2xfJH-FE!mX6s{_eBH#-Q#%fr@OJeJZ9;E7(qP&)n-fE
zXX5V}Z$+6I#qen-&b9sKXeN2^4A)$))ysNktw_Dw)V8j&WwTM=%Li&4Ei;oWjW;ST
zHebwd|Mu>itx5r*A5TA6Im6m$uV&cJ7{9}fFRz6kmC)e4%DGiR;nKs3Ghzq0PCMTb
zm>ANR`YB>f2us;itGb@0NA2`}o;NbF`eXm}L~w}i!&5#FKAesgWD)86nzR0UoZsco
z^3BnkA`e`j_+V?od5u|tWz4;`9~qX$9Tc}L>*d<LY&Ap9RQ<AjyVB2;3GM4U|Nf(i
zs-97((lT2qhR0DGm-`jm+WJV=a(XrQg3E6%8U21#*z@Jy#~=OotCi0m2+o-}_sf<?
z8X?v03VK^2b}h}!IIyMIJMGVx7ecFf&!3CWiS)NStb6~;&il8;4xQ30a!WtAY?gFF
znCVN_1Othu$0kl+^lLZcfpVr8sY%nst`+hKO}@Uz>zYx^VS$~V8yzP)&-y(>wm-8n
zOZ9%B-^H-W0=J6K-;SDc;o9U^>Jy$thzX~4n6$o*Zp@v?xPbe*)DDwbOI`0Ny?CoK
zv+T^rG8HZNiPx{L>7HQjw^YAFkUjs)A(ur{|L(UsvG{N7qUTqi=1z9KA^+m=`!LQd
zi7Y=;NwIeK6HoRZT`FetuBq>m<(<23(;fHftlX0~NwAmcxV;<~liL2`l>DmZj^{k=
zRUIl1`g~cE3d@db9EdCM5EDvvzj#DMWOwVc!_%&>kIJ0p(6Ih?-lvB;n@xB8m0<06
z&DK1bmD8m#XVpu&3+^I;YTL5?@~(=Pq?D}k(%tl<SG0Kj4-NgKP0w~$K8s1r`N6l`
z?Dfkkn{??#Ud9g!SC`~}P%Y>ROba-~U%9=O=SD|wfK-$CiVHs-pW2DvTXjwH%8gB;
zrH4EOgRc52R`_*4%5`Pnd?MQtS0obsHe#J|{L1}b`Iq%AGZCrHcRKgxUQ=Xh6x(gC
zK&Q`}uX6}Y6iMvsc%b*pe)FA8&t^5XSc<s6J)oricj@WdDVlr^E5B|OTeMGi)!&yB
zN+Sin8{FD)>1!a*hQ!;w7aOKUHym2+_uKi}s@d;Praj$dF7tX#`b3`T*%x#LkGMPf
z`2Sz6@zCA7^tO6W#`R$Tl4X*??>)N&=hw7Ew4^b5@G2)M&vmUVo2zqlR;;s5{{N-d
z!(`%Lc`-<T&*b05alNak_N~IrOUH|^Hl&<j=aAyuVzS9QcAx6kmHID^-7T56g<XPY
z&+ikD)}%iF?{~W1t>R2@hQv$RmKGPa8#6LI5>NQg4OMy<dD}_6NP?GZ`SJxnB~4hq
ztd{!MmBu!;#X9N1fv@w8cINBN7MbW6nI&=h*Y`CN9Wu+$o_XJBCB6OcQpL8$(iM{q
zdM4XicL~kBp%M7^RhN>M{NkGPo`>strtxZqc}sN6uS*J@`9c1p=yvl9{MXVs!<HEA
z$cSZlxJ$odQQrG?`#KWp)c47~R9KTM8^}1ncgNbqZ@d>4|J!@v@p`Y)f*;yEdlrNm
z&GCzu-}KosHQ<+x;i^lUA6o`opC#aOU%x?Z`&7q6tJO8ap9m=YEefq=HN3T|Kry0B
zvh&}9^KT=bYVP{^XWeG8^QZQmz3I41W}cLBOLXaL<t^XSQf>Dg_;-8u+qLS)j=o-Q
z#y!bSJJVcizD@Y^SG{^?gnFKR4wx4E<AD2NC+m;tmx8Vqc6_d0XMc80-03feOIrKa
zzRq}YImq;?y3xXUA&OQHi>@S|a(dowBN?#u>g1@i^IvoYcPv=(fWK4W!Tw)MCjS>m
z4~|ZLG&@{z&AR6GU(Y#9Ov(Q=drR=v$|$ewGw&j&M)4V6dGIJ}Q-`O(v7M^3m_BxJ
zW*zMP<mbHL+uq~}<_6_eg(og(q^>_}%yq+fN#C9)|ISE+n@rdmer<)pY&pNe(hi3O
zi<Q$ow=Lf#9CpWj`gez<O?NEM$yPJ$HA*);dn?m>_KBUx7hlxdE5h^kzT54o&)2Sz
zYWm4HUu$`YocXIiFCV@rt1S~${gk$5HM{*Gk)kDC62eP6Y#coM)@Jfq9M~KAY)``W
zD6Xroe}?(bWxTencE<K&;mi8_e6~7n(bK>GckM67bwVv!70+`xCrUia5u7Ib&(7i3
z&vpgzr~(yRtp)m@*tu@=JabOvOWhb>#%lfLV0)r@mBeiB9)XEp0{9|Y6Lkas|Cv!O
zW_&1&Z>^bun`zLpJ1>vjX_<DXBJD-AIa`z71OL{<DX*Hop4rA4x>$SWR86nxla{hS
z)4BiG!)}%Lk=I*%^->kI)gOiKofhVCYVn@A`Zd4jTQ0t>u~qL1+r7iJ+r0lNtmRQ!
zePYpp_rh+?#$VjtY!ls>eNygx;AP>daZ7Krow{mY!rvc$+N~pF%2XGlgV!8xZkl%4
zq1-CTqDxEqFw52u9xm0Mr4A>T>?=M0Pbau6_=RX!Ge`5Lvbe;xNuLA_-bT&%<Zy_4
zGqXdr(bIz8YS~HW0x$fF=H#8JKR4Ur-S2&M^X;B0J3f=%bhmzH;)E|@w*!UVXYd`I
zFlS-DgLt4#U+xY6tZ>fNU(WD!x90w^$yYzHVWzBp)urZVdEbf@i{k@oex6ym*(E3Y
zVNBAV&zlwR_egmyepfi*f$RnWp~>vU64$qM%gMYx6MaSREH^9rR}<HGZ;xjV4)(rh
zxW(d*>3m#XcKY7dY42pe`!1K5IZ<wD!sfMo-EP%I&H>6ga)EP`-$aLBFV<UA=AYd7
zBs=uL<jAL|6*BKhopuwlPpLj?{%P9(wTfT1)R}W%j|`Z`-I3`T^Z$wOA@1M%I5Hg_
z?5_K{ZasEz&e5B*XWe{idCbw+$?~>E)OiWjMa%ZgPMD)K_2f3Od5%VwTl>^oHs>vE
z)czgTl;g^zxcexB;H$0H?xIJ}RYtC@*J$I7jG1y(cgK}rv6IJEaE7jty{z>z@YEMq
zcd1oJ*3Cb^L1W$m&8Ck>ByIFV=4o)(z4|R;c)8!Mx#n`9w+UAUcln*HH)juped)RP
zbG5Q;zQ^{G>z|w!%{{}rdDW@9z{iFHALgr^_uR1G{;BF+vl-r<itg8VVnmu3&Dzc}
zebU>NQ+93tn5NaUc=O~9hc51$RA;*Bxwq2o5|-FGA#sVRH+P97CB6&rpOtYW-&<U%
zUS2x)-hb80`ywWYu?u)jzkDDk_ciw_gPccUmsSdQUw?k%=F$JYt*2^4%bvcx*t28S
z>i#zs|D8MymPnj_uy^(2+h3<H64U5UpPB9O^?Msv&_4TMmh1pOWv5S>DcMca)n<G<
zCBFa1BV$4RNX0E$k1iynBsbkp30s$7JvC+bn((Sj(H2kJyOVFP(&1I{|GA?*EpSq9
z)xq2AE*>n|y-nm}`SLXZ3%+~zrS8ucJviZ?wAq}U@wQn)$qyO-I;bDM#$9kvZAOLn
zR`X_uUDDfc3a#vwY30}6{39Y~qp#c4tmURt*(Purt~xbQ?NgW91vmTa%^6qEtAy7G
zs+6vN_+#B2#}2I?M~}dDt=nzh$Jq6s+b%x)*W!RDM*=$L8w592O|;hBa&_nQt>s74
zy@KBTop`r*u@L(rKLz725<6X$%D4|(WbWUww!NO!vcc)=xmmvN->*6Gf@S8U)cKa?
zW}LIrPm5-B`RK)nI$K=yHMLbY-(vb!K1OS^Py}o0qi)sYif;aTZZFC8Gqu@@Y7PIE
zEqD~St}Mj(N_cu;R>U#>mBK-npWV%$Sn#Akg5$H?dJfx5YxmE39Q4uov4}{2#u1LQ
zTMXS#mU{j^p|Wh&H<^1XkI()Li8^C@F4bYuByH9hjfc(hS1TP&J7wI8j_g0VLeTJt
zloZp2>q(2>On3PAF)XuQSSZbZCHrb&5%#@d8~@Jwn&KF^^vVjGowdBaPaEfyeak-Y
z_x|BiDe3ks_G^C>PSh%SCiNfC(_AUuGD|9C=K6rdF1xmpyXG2}pSNb7H2b_$<bU(p
zOJ62zY>a*&`^u!RIOXf2#Riw+DpPYr>R(xJ;`{Z4_ssjqt$kvtopC9~dsJnu=DkP=
zRBKh_@;#l&5MI*xDIh~X-E8rX)`Ce(-xZ|ipIhrKFR$=mS&siImw?Xye{N~3^yNnh
z%hny;u64@mf9sV8(Nn&xR-GfUYVFy*Zv^@;?y0qtpK9=Em1N5Y4(StXUp>E@o9G{!
zosfOEerIl3N;dC=3ag|Up5lKjYP4Do*KDwG?5pj(!m!Wf{26Pb3)VINOBTpI4cjaC
zegC^TZ|xt~v3}jO!RUI{5k4E?X~I!QS>*Z-%xYhq=M*u0_vOo8#arZ<AD2F_xVEI*
z=)ZG;uE2XUHQ$=gjVm;s@%YDCTgYvAW%*s|$cK((rj{dm?K`5jI2pgxR5SYiWzEfP
zn;%Q}2Jil}@n*)6MN^)Xde%ieZ;ms5@n2+#b`z`eZFjyW6M2jZPu}dgk@mi$_0~7@
zDSqrM=X)AwB>z4zPv%jFG+XEcuWwHud*6P2CTyFooLpn%I>t32?19oHmvz23XT5wU
zf6!&hneCGe6E<IBy3-Q$-9T(L-;VUPl4&bKyQEVJ+ozsu-s;O@U+BHtB}I9IHqVb*
zqa3k>I-O!^FP|HmvQ&NizOOl56?HhWT|A9F!zjJ1Xi@P^#^l|1KN%@xh_gIaZx-xa
zy>y|m+v9bnd7kxK+Fp5j@$=d3uoAO*V=v4fE4t_2wlfcT=5LLf=BgntzACp=CR)sq
zebcIC_TOvo%dO8#_3O*M`y_V94ndWxYlT*QF?92i<BBU({_}Z>MoN}*dv?Nst$SaH
z|GDgc&i`NeQeA&v1K$c`rGNKZpMD6wKF7A;)J@}i%qPyxyZ8A~UdlZ0`z{yL+&1ei
zef{B8N`J8U+r|s;OsoYeZ?LO1J#Q^AF(`P@Iwf4~e|ltHpxpjT%Ill<f92a*7%?e&
z&9A0o{om((d;0s#dj8ZTZN}7|%{R@C_1_ekvO7jKDv>YcWTe5IJ^4STPn+LfZ<c@M
z@l?ZgbI+&T*jzV7<nTY+J(<7QI0dJ~nlBeJvAXW|X2!+o_A^>GX4htE*oPks*4%q#
zhudG#;uPJDeLq)yu3p+5mpK1fLe8P9dWRwpvdmpB#CIW^vEaGE^u4kXVfXZyFRi+h
zdY|!2`yBU(Zx-j%R&8o_GJYrM$Z>h$-^`o?JB+xyOe+F*t~E=X9PZ1hr}_A>=&4O{
zrT$y=Y~sZ4m(|ZW;&j$|afR($*QLLX?@L;<`rYTqg((v<#BXcZ{4&nb)B7#HapBgm
zg=<!B6xsW>Qd8RN%NO@83fqN+j_+YTzdlepc=pz-yMOETRmJRKUtb#6&vG#Cqp0QL
z4UuJ0x^>@G?Y6v}YI*fO&+eZG*}m#k%6vH$d(gWgkmJL#P|ux;svA<I^>X~r8Wk(^
zJ)5~oW!m+N?;IET9NIPgI)9u0U%kyjAvZrJO3QIt2&|jI!BD#Xf~E5HJLjT$rxe#j
zc)vapKY0p&{847pZ+F);-*wOZ=OMZ#>k;q8`Qqsp{fci*7FyDi*El;SHOFO_yHb15
zb5nhzP3Po#Iem))RFj()-z+#6Dw=TjL2C9@r3+WuEiF6RJAPeQcYBBFx=`zHKMq7^
zb5CYGZx?-T)skZw1~u0dPw6jy^lksijqDd1RA$YxnE!7bZ_bN8Htp1fDYA3dw~Id(
zc=LpHPFLN#6HZ^ImnT}QK1n%0vwO3rXqROSuh*fimuxGO7uDQcqxVTQBGl8|$mvr-
z`GMVcuTA-EJ>!Pay-Vi$^4(`wEPcP_@xO($UsZlj*E2A>I_<xCUHXjE*~|sEJ{L9R
zm-4=trJ5&qvh_^U&eQQnirt(}=FZCvR*PjYY~3mI-;I0T423l(LUf|$DnH%z=AI-s
z^UoO~_3>3Jw};N~t|;qI5jh!>Q&#an(3MgB?f1DsuN_$V+3n@RAIy$j_;zY~+GYL+
zyFTe??hG|Low=akrs(V2>RV*jhZhAf_E~)8GrN9yvC%j8vR4sR$|ZIY;hUb#{&YzB
zwqTl1&D>KR^RHiBx!-KN@iMQ+FFwp&+bmR?!o>5(BWu5B$y3>=j9nXeJZC@DSh~Y^
z|B`(Zp8h!>c&l^1iu18r6K4HmT5a{sJSPG>6N{e)7ffT6t1etN-)CwS$AaLXH5QV`
zzWlg)PPP9j-&Bi3=EZB)cX{aW-_yB#rR3TB{fU-mm`pmZ{?zi@m&fnV8=f!r=h<9C
zv7c>?p#{7A*cHC-oxnKLSFHNPrnvcURl*<DdqlnZDm>rs*Zyk)A?HkNPQBbei!FN3
z*4)PD^D?X+ms&@1-DzdA+}!zd$1*?uyBWJ?To(<`h{#*~e*shMQ_e?=t@K*fEI$*!
zPI``TjH6O&=Dz)YA{!#)xs;_|n%1e_oB!?WLh-$s`ycL`Y@T19@@&rzMV<*8>)K8q
z@i_nd%i642l706MM@%~&tnqbCZfDs_i^Y9hH=5<|1~GK8UEgfQ`gOhQ)YEqz?lC@O
zYp<(Uyl~$0S?zj5W8sr8uXwocm$=IBGvmmnO#b%vu2b_r=f9k{bN3uQ$K<EtDlIOT
zzvxU+R$!kjuXJ_t?XDG0_v77-#qAt+{wq3X?rxg;G>DV&&y?wBWcL5++{<@NE0`gn
z_fYCij)@&9Laxr61bpN4R0{-c&3!tUR`xx=yyI!&rqIp#Pg>skOtP%_ad@NBn+>L_
zJ;7R9zYEj*o4>9|yuP@o^kYt0))l!~dw6awbNu!uN4RLcRQw5U#vOe3&TYB%??Gk4
z^+vO_sEc`~5&v#pa9F%^c|ww?<Hq+-Q%pFf{@&WRZn4KMm4(F#H<g#4e1E$9zXyNX
zJ*)j3e2Wh<rMJFGxZkd2#kps}iL#!1Qy0Hd@_%xpams^;b*KG|jTR=~HkkkMU~_N6
zn^Rq$KaO(An^a1@o3YMtZ;|W&%;Kipxyw`v|J&BcyM$)E4cNG9rVY0jr><0o*+o6q
zrwLOwS5K{*6_B%S&Rk7tFSfpgE?qvyuYZ64-fmgn#v?K2G5;8DO$xlDb@a%a4M`W|
zq!v4!Y*zb}#_n{xIbdG(ivP1$IdoogJhHxgzr1CjOjz>4O7%*kHR@?QHn%)va9h@v
z5pr2wdd_v*T~p&H-I^nJ?AOwd{|lp&(~ceW*cS2k)<>Q-N%JK4dEWDWsCMQ3oUNS^
z*HtHdTQYO;mdEnH6${-Nx%NoOtkdY%=2)WCrFcb!uQEKxU_ICJO(*K6zmfBM9~ABH
z@okFo?()K-mor4TOV@C}w29}xyYEQMZD0E}`tPd0bDxXuW{eINJn?nSG^e8nt9|q5
z{AoS?Jal$Wsg%@jh2FCG-P@*530}2puF-Ku?aPr0UpkI1-n#bcisYXx0a@H(S7%;&
zYb_n0%=Ds3<Z_7t(;o({hEqkCwrw>znIYIIrI>NDIF=>5uyae;)rZf&gg=PWUSa>Q
zNzT;SJ^x76dD|WBH;%pY&Js3Eu>RJ&WVb|%r%3co7bbt^m#Wj0rsriYdnlVHZzLnJ
zZI<nw65E=#KZ+rec2eE1U&XSP9NKvE%)H}rH<>pHYf7=M`;q=-YWhCm<*}!AznuJF
z+c{;^mxfh`KFrlmuJ<n3qn7J^ZP7mmhwuHnC)-bdQ=4sYu!T3dmvu>3_^O2yKAt|E
z)YN4CLRwi%OXImx?8VB~GwzY;%}J%vOI4DeJX3IgmC?C%Z{OwC{V#TlbqCLQ?^C}h
zXTfE|x($ESnb&70G~fDc8C@iBT$NL5+o8@o_ZvM~G8C-0x%t`H6&E+jyt{cwpZ)KY
zjpYk7`R{Oc+*g;r&KUYKTt6voZTwQJlMMpDPA^snxRCwmTd|<|6N7WBRsRUx|98|r
zjn(UnVBGviZ2umtXYMGN@@Z0n@5#e%h9d97m@1~UEME|I&zJX%81uxF%krM49WdhD
zJ6+MK{IjE^<~QSmGn_pSzC7h)?V={bvvNh;!&bxMbi)L{4{kPZdbZ4eBlhIPJ%P{D
znKigvE9J|s=9+Ll*DO*uG2&=sF?;oNd(zQ!lDdiqdYhi8syu4V*&AEiF{gd5;fj@i
zj&16y^)mbZTv%BASSjOGy+2Iy+t-(`^jUY>afQ2=;Hyqo9?ku_2NvC&w&#pgP4Ly&
zPbu0)Px}hpR40DDG2@!YH=mgwdf$nhWQy5*jsKgC4_iCS@5q$<lh^NCX?sBY^yAQi
zFJ_lNpP9xNqqHw4{mLterJGdV7H?g6NA}&+ndLkS^sQc9o5#ICF#Yz$2QwUg<yG5m
zpJMgbBr1^C{^Ne_dz*W=1#EFjj>(_l|Fk){KSd$dhTZG3<>Uz8OvB}SWx7Ai*m^U}
z!9m8qXu&+Ddkmo#KVCI>-sPQSH=+OO`WswdOplyTX1Car6Te7ZtRQ?!%cUoqZaZ$D
zb;Q2Wb<U2ctiqJcOU_%u0&eOz_g~rm_*?gh@73LE;g9AOuBqE$!EWVKdHisLVAtZf
zFRLY5CQG-PJi2~)gKVaw&Z@I>FFkiT_-*6CIjiU1Kk1n!w_JR-`rS(o2cN7jH)`(N
zP;h(SuDjjb&bMc7*s~_>zu;qooab-F`Z^tJnS=G)E#KctIJmIw&Ry2dvZwMd+?Gw*
zbLam2`%kYcJ#GHO7j$uv2Sba8cxI77{~_snZ%*Hvv%H{_>C+oVeKP|QJ*x@Q=Vk|%
zr)y4*cFI;LtrTC_+{$B^JA3}7+4k+u+7XL`8EQDi#qU^64ch6yzUGpZW97A-wbR6^
ziv6q~G=8tF{vmYhr9{WxgY)O!wwS%oj`z0ki%;xZ<LA83cNOG0`tQDAbA05+@?Hks
zPp@8vJUw}EUfU9l`F{=><h;Laxo#(e)S1i2J=8TX*{IxJSXOsTxL9gKwQZBwr#xGR
zkmXgSA{R{!56T=cof_rGdwl(y*<#DXk7Q(b?e~bPp2l=tEy%Rgd4GG`V#z-o4->O%
zK2?k5=Qr-U*}%;|dCM(H*6jzRDyB$<aJ*x$mycQa;c&#)UcTKSiWWsXKmDA!g!P5I
zi)%z_xy}zgfzu64Z3_?0EXuqXT`F<+u6Q}`>N9~aHN!V8@6b1hWPS63wL6YK?|RF1
zv3sY?i?rv5^?qilI<ujHahlp`&VqT{m$x_D$y_=3Y6as9hwzmVPH!Il&C^-Id|d3=
zSDBqkr{#5b79E%yzUA_kFPE4E4uox=UBBF;g7-z-;YB7#d=@poi3mHPt~~vm;5}#K
zn~Fy?U#tmST|X~^t^P67exBUq{2s%m&;Fe+S9mY@cy9*x3zG}(%^zpa71EWB4|$ue
zdu_vP6+!+xHEd>0A8o`R{V}}Lx9ru0#qXU|mK|;Tp7tbV=aoF;>->hN5ByoTVc~Td
zM`iOvOJ@WWZ&sT6X8xHeN&70avtD^}vSsdEdWPHe@2l@ckG6Y8+$=n}uj0_J@M)*A
zKlIdJ-1OsiXIc2GEzw8KP45OSaxpqH!Rc`3rO3C-_4h2^u4C@Oe5G>jymNhF8v>ul
zeA;kQ&-d<%_RgSVslE?wJeu@epMBZAVCK%y`D+(toUPgEllEqpy;^OSVAQ(YMRyJ-
z%@g08RB_ZaGqhE~mi_rOvw3ZI7Iw$>ZJCpE`B0~M<h8^SznS@Uy|usI|9-ybn%Vis
zI|Q{qitYGx>Z#PK^UFAvynbD!+j{P!pF~>xUj>ib6I|_mjpNU#r&_MNQJA>D_%M%^
z+lI7BMaCCp92E}pZ1?Y~w{p;|vgB~kW^Ch3cp<sS?e@`>Jy!oTe{JeIC|9qrKg;#N
zT&_>$8G6D;WTZsm*G-c1Q;nTayX?Rkj=uqlJpvcsI#1cDD|qSm-}vW2>o-{z95!m~
z;Mc57nEyPEZN<q)8kS7z2CVz+f1O=;|5dkjw%xCZr_P;xxnOFlR!{J;=8e^R7au#E
zD#ujkS>&FaTe?<+N2#+(zvHQ7*#q^)B8x4uH9HIcOaD>2>&q+j<|$(>ll>11!^E7|
z7ruWy<fn0R-TgzBn~T?M=zo9G@n}HjpF6^fnuB`0YR?~exYhmlkKIZhM{5htY0sEs
zwcGc>);vYEPdo1HJscmW^_Za}UQO~o@54v!<x%_E?yc6zOn4|4f9lG&H*d<jjV`t_
zUV3-&l=G>m`ju%Jui}zs%-?M8w=7-#pm$E%n{(4rjx&m#crCBzuf!@Dt@QSU<g}&L
zyFM=Hu#L{>J2vapG@H-eOOnc*=E;X7O?q|3B;GeRod40+hxhkAUw7f_Uwel=ZkHGQ
zoOUquZ*^qZyT?+~i}yKQ;`HRc<jwwXW6sxYZ!>wqgAJ6VCp;*7ziQfX$@%~7q+4~$
zTiEo)r`G*x&gA>GdfS;Dhsr;De6d)$cE*Yyr|z-_oW3x7mj&aEUD=BMx0;rJ7N2YR
zkt@c#%B>^$ZbWGD@#&w>+<utWvEgowezlpO9sdinWJfuEUKXjDDxZ?Fy5%C=KFK?u
za!FYsSmRvV6Z6aW?uK|R%LjUkL{p;=E;=_ksJ_YGZ_>qTp~myA0gbl|%H4wG@(d3j
z=;z5>`RS;!#}X|qbHjk2)Bdb@^F^8`zcJX%j4e`_W8oUnQkL#2mN!wX8@2ATnqP8n
zx%_!)pz+F$ueW-Cct7z3kK=>l#d}s&eQh;(sAnlZw?eP{(xHi4vQEeUSZp?9wH&ji
zh{DHA5&P^TxhLlyN~wIml4H&qg%x{dm?&*7eWwtbYH&2Kw?A4y(t$<uyr0&NZ{MR`
zi@h5)-XGUEu;tY4t;c5^UUts3{Z*~6aHenahJa0`MUL%znQu;yE3syZv(9msD_1bM
zHt96~zm99CKi%kmD&@m?j%{1*g3qxx&oe!4yBKkh_drADYWA=L>Rr3-u6bTtwwI^v
z&k-Y`@J~DJ<M%f{+oxT;QSe-~w*2G5mW@(!bKYEY`uyyF%C2dQMGq&v(roeM*<@Gr
zYhtlOc1DKNHuKXf1TsuSFF0%49Q&}+(Ua9TF{}B_0)LnAeLP<$)p$LBnU(kERPF@V
zecSu)g+wa;H2-3BrDp$<=|?I8XEsmd*?V5|_T&}Sx1Py8310Pe#Wz{b4$m*Qc>`W<
ze*50XU*eHv|3?ST{k}UA9=_-_x>~vDjSL%~)7|V4uGUVqpU>w0DE+|uPEc3Q<Gz};
z^33!f2Nz5{+4{Np*=4;;t%nVMX-|tfU;8S{M);z_4wk7bSu>K;|Ktg_9l3ma)|Ham
zO8F1=o<4uJWu>iF!*l)c-3)JA0%FsD|J^q)?d>{d52l4e>;e02j^25&WU{bOmc)-w
zcmF?iFp=W13H<!NrfTi^-bn?Q7YW6(?B##KrN)@OQ+n344Y&Cm=Iqwnb||~G$;%^}
z$2qA(=dmrPx5)tqlPTBRPP4zCv)yG%%yA2KdwnN;ANNr4`arD?-P!5xiYq_9{$kZt
zz_Q<Qnsb4j#Vs`k$){0aFIp$dUwZmr%Bd&oS6tQErd5*qeSY5IGxkh-bPA_&#N8Io
zzRmOF$$Ys>U+dc5{yksBs2e4@F-%zZ!{0FJ8rhS-?pGY&z!K7S{KLy1R=cLH&(*Ab
z;;iY~AGCT};E5WIZ`=5)?FAxxYGMU$o-Q~qYU4Jc*);0=YKHBucc1>4<@xhq{FI4Y
zQy$lT+x+L>63b~RTP$Zz?L6SWSMYM6P1_2aEqh{{3MWpzowF#mz`ik3;aA1OzQd}y
zUoY%B;#f09@BfL-wzv1MT3E<&%FQ%=_5X$i46Ej(Sr`fYHvj69zsc>KSH6tK>5n3(
zvnsW?)<ynUvF^h@gJKm%^{x9NKAe1R!7AYQrE1URF0JtQHNSPr|2+xldlonQp!NFb
zxRVb9&tA-!!nE(nRz<O6{ioJON1sdgyB?|Kdv(Ibw(}boPqsea%gEXt^-jW~@<i1t
z&Y3H@Timz*?nyFZ+;S>0(OpDlpO(T*;hJemkxM^KuwGX9WY6n!dB5791+6U>pRRFE
zY4g(1j1{quuf_e@$u;p?@FnN%EzNl!CC_O1=J<7%9{+mlt*&Vh|GE_?UN1J98h$h8
z6Ic0Vr6@)I=bFFv7_fd%o?rYzbf>IE%}ieP9f?zCuDG|{$d9RQLB!_nSD$uoN!obp
z(wRq&pOR~NBo4I9{&jDfY5n()*Q=t}I_zr-`|#z-kB>W>goFJyb$u+Zce{V@S<Z9|
z!!FL#FJ`ZofBHqNntL*HrT?w>*WawL|GYu<RGGKd`5&7#7dUzUp43;rYRl|@yP~#f
zhh~}cXV&YoCT{eWGt0fB`TO91juffMzs!H`D!DOB)U(30HDy!c#wMnTDMg9OGd!*=
z^ge84)5iJntdv?sR8sx<kH0l6BltKsn)Cg)44E4wvi8aW#Tl!r*Uzx}GHabmg7zUJ
zKEKD>%2%HWpQ!87-%=~iuCwo$%;XsTxYaZA)avVfm5;m1`0YJ<>g&T-&H~5QbesNA
z$&~Puo)G9FzD9C(+>})7+Xr~8`HDWsEw{V#GP<;HZqAMLQu)9GzZw$Er?YMs|Ln0l
z{I-48t!CNxi~Md?+fSKoTosU}vO!%!w#a_-601(LgHtc+cs^gd@^1U>NC_L?6OYck
zwd|VPu&eIshkOBF@08yc*0IPH_ieA<`l*|16Q|CrlMyNFZR)2>KHdE5Wb3vwRZFJc
z&rxkX<lVI3>Y+EiEt+}%etgl{X70VyJLa&!v0}b`BJV!koO7R9PEh)GiRe`~wIuDu
z@mkwkn@xIpE(g4}>WsHaD}S{rW&RF{j{dMy+g7AbF=os@S{65D@dtf(6OW>+UB0d}
zp3gAwh*iFLe){TC&1^R1#)1|7t{M9ypPd$6893eWa%IDFb(y=Rf>yHSm!$%%5>xkF
zFq(Jit#RmVb8jw<`xhCin(_m`z4R?umK$mkGk1ShS?3~!+BfZa@iEiA=O)ju-nB}@
zAo3mC)^B@%W!+BOq!Zct$+u0ftj#}W?dFwT$KM^?_}i2xVb=V%MdxmXNq#XZK9RP$
zs*WM~+oT`*B_Rj4thpWZ-E~*xRSBV-3bTSuS-;=yuT6WIIDbdJ<C5iUw=1<<r>$Vz
z`&#(adxgY(zkcuP;SaFsXM6Xe%sgs=dPcgjk+=C9K?D8yv28)_g*olJCE5HIFP71I
zayi?SYqie&@PiYMYJX|ut6#7v`1K5tKZ2hhH}@@bFxbth%pKx&Eufg$dK2e$iEu8F
zHg1MZ_8EI~1%7|~nteXw+n056RkD)DJokODvIs6J>F}99<4uZP)P*y1>+L`8Pb<DF
zA-bkRQYr8%Q~R3fOYGI>sTcOx{z=+vVdcw|cxIi4&H;_Dd>Yy(cm9}lY0>Y47f)8_
zR^>XXo)cTLA<_D%<0hT8Ig(FH&C~+bDv!*Qls}o2^PsA1x!bmg3E3a{-OtxOI{R6D
zs+_FnqKvl}1d}bz9)z^5b}|rS`}=XZvYzaMFM_AeTJtPARx(rQS6*Z`!}6TS9qW48
zg10&ExMZIs7G5uS<jC!HQi~ddPyA1uA?H44pJ*p9V|Q<&P-WXPQ(cQQ!F!FConfC7
zF#nBA59`(S-}f8no=W2U_SyTP*}rS9A(cUE;;+_7N!T3nI~ymi?fFvR`jo#>f4Dsg
z5*AwQidftI{pDT3kIp7@(kqV#>96=Jv5j4N&zY&U<}<m@nV&!4zUfLmr;dB=B=>Dy
z*I%_51<a_Kth!<QX<d#QwMWP57Bq|aAKrd^kGs;7NurKs0mn>=i_KRTPP)~j#xim9
z>c7`RS7sQq>=gYe5xw@D`0Aid^Y0yXUi7ev?V)DZiR3-89`Rv^=Qu?*zMsW9eQDI!
z9nwu<VT<gVZWf(6URHf|%7*Tp>jD$=H|WiCcwfq<%_PqJTS#){E3MVv3IaHb%&W{3
z-&f0uoc=Ox$KKAZzb1u0?QApN#Gof~@zlHNO%I<|vZi@4_pA$f*!;um@uKi4##IqT
z4CitU&i`J-#&&&cpLNoVA8$^aW!Sdrx@_hWu4b{!{EDO*+uw^FdY=2?+s*I75icB<
zX7c(yxw$HU{q4@G|3{7=2w>2cl#sc$fg{@RhRat)tBY@^g*`qU*6G>*q&{@TyAxXf
zZaiKv*W~;aqs49K^nCQA4IlsV*ca%3HC|zbuiEzyF6&>HKd&iQ7n`<GJ!1Ch9gemC
z3bIrb!>2ze;PmT!nmL{QgWt|Mufh-4-TN_*FJrTZG_!NepT}7Nj}+WaJ2#!(>KzpQ
zVA*L)M#W3N`=zVume0(a>z)(0XSY(c$@Zl|ZSvC>{+_u|LCD*T{V2zLtLPWJW=^_u
zIpqF?-22qgp6yup$EE7qilys+2e`Q`?eh9<YG(L&kF?;Cz(e={u4BC=`%iAJdF+Xm
z>c-pnn(B0$rM|nByy|G&{(a5sL#JYQT7DHUT|e=W&Z0S21bJ(oOg&&GmGSFh+Lqni
z+Urj|-I1IA=~{fi;r(V|*K4>1c(U)D`F^u;&)idH!l7jcGQadlHEdmPb#=2*dEBp0
z57)Y0_FuWQ<IT@+fk&0Z4!zy!bd~qetu;B?=C-0wKW@;UW^Z#QbIF1?y=&hEmtB@z
z<mmA;^89Lnqb28^J6|1fy}bQked*O>yXv^i)z2)b+y7=tIa|n=&8L<bvpa@u%@JK%
zU>E<sLNv?x*3<9{hm2P&n^W1TQ4njh)z$0tibEZ1U8iO~J0E#qarT`>y3@ZY8J>7u
zyJw2#m;6#0^AFd9ww(VyEqD(P_s7)bmPK<cI!YWx8rPi{D7!D0)xD0#Hhsp1Q);52
zVj7|I^D1V^Hl3a*{c5M`Urs@f-x&%&v!8v4zw}30=u@ukO3U-zO^XltmU(`97k2AZ
z)B45BC$|Uhd-w29O2%YSjd-tftUF~HnbvTb&bfDet?`QgXO6#JVl~f3=HdDDLvL4U
za0F^yQ^>K6?7ye}>EE7Q<@aGvd5n&pytsUABzu=}Vc<0WE}z&vT3k1F&xp)p{a>T`
zR3zf{*{_Wn{neM3)Gljt-qCZ*#C84EZwB7p({JB>vbH1a-lJOc+k)Zp-_pBhnXip}
z<23sR^9hell6g~)r~hAAd+W(9{qx5vwj0zOxZ&u1NXl(uD#Kg#h#Of|E3SXlxN+G$
z@*Wd+<N618zRcMhc5O@XJofcP!Au?U|L0t}XtgmR$2?W*q{F>cE^{aGpUJVdpIrEZ
z-QH&2X%Wq-Dw<tKUr*epZ?15{IQLdt>v!?8?Sk)2Yf{#WbS)`hyQn$u&!08_?-zA*
zJhFZ6acY0bg&a2R)GaJde8mFI@87(;ZZB}o@RX$v%YqAaRp<Bv*T{Q{+8Qu_72EiB
zMoI63&yngI+EdjUZ)B|AKS!=_mAA1=TmAAkGu;H2NIZ?2TQ%drthIWAQ)mBOxrs@0
z=ariK+()?0UMx{t-Osm?-|e2M8VAoWMp3mSm0L^ZUkD7FZCerICZK+B0~^DiT`nJk
zjcwF!eG2!Bf3iAG<X4?l*Q&kS*VuP!S59`m%I$hQ?yb9U{>R|onf!%&ySS%v_daN0
z6xUmNP2%XP`bOnP&(3Moy_`Dzj?B4Di_14IF|m4e?ZVyFv8!g!{pPK&UAF5%mcdWM
zjAw%Em#R~5^*)JlI@x{bviQ~TcPF*f{)P+NnLhegz$&V@Nq=s~_S>rj_Vip@$vW|_
ziNh4$mP>yYl^zf?>-b{Vx8?JJDc5%?8vOY3ifQ-qU1F00S1T>b|M^-Wti<alcZ@>f
z$8xsUGP6pU%onV=E%PC9>QW<@i3RV}KgqWQ?SK5TZs*qgz?7*~InJdk-e;SoS6|}O
zd8px*bZ`Cx4ZfPcFF$YYogZ~`qIHGP`QF0*9X>ppul&B-G;dz|J8$QdW$sGH_x+ce
zdGMbm??p+Cn6K+@Dd}=WT$_Hq)2p<$evbmf^PY?wTR#3XwBGUJ^i1{Ul|c(yBa}i6
zkLU}z_vB7ZxL^|K^zQ@H-l>y=^0s~ZQBv@oV`+j&&W7#mi*pK@AA1$OOs{BIv8Ae(
z$ztx$0J-XK`xll~7O!B>d|vVH)gg;tXAazXXLvMo(S|c(_LH95GG#rQ#3Clcp5V7-
zS+!@*?$6G07X*UzA}$<KxgY51XS5_RG|jB_qhIp0m|VHws)JmO9VSk}TfUfoxl=l0
z`;V*P!ICG|?X!EvG^g0Pyr|bt>-gTu>M~bYcE~;XtzIHI`_gR57Y}AE)?9gb&aOF=
zr;9mTmF>=XVtVp<W8ZAU1!=i<zqW*R?vF8kDxGz%&SsX(zpb*xb-P}_3_IoYq;>ZO
zd9KHTEZ)XbIiFuVZOBxtWoHp(St%uTXY%eTQCl9!c(4cFea!N!mHEo-y>7EkufC|n
zTpoGm=OR<#%PTYu?5<y{6%S(9t>AhQ6n|PQ-nxy?mizMc81}c5g_rG&wB}TBe4Z;>
zbtFbaquij;w0z>dqsK1Xk^gu}Kkvfi=i55H7`*>+I==qWe<pZ-%x>T9lG~J~a0@Vd
zNS)=GedC<g)7M^eXG&~hs^!o*!#F|DEwJs<_Pj<jgFB1ezB%2sag6Y}HG%gX+w5(Z
zoMazf6A)l{A9nTlN}fN)b0WLho~9}^n^q|7tuve^xwv9hW6V>zMGb!*&$Q|NQ+|ju
z@94cx8`U_H9acMR`Lt1)?OVa?E6+lnP7w@d?=#*kD%|x#Xpf}sBh%c8*XQh?=5k#7
zV9@T?(qQuk%HF$YSAVbUYPqI&M&I$s;VLV6HI=9<jnm2xR;60KidtOr+G72hlB1vN
ze0-lbS@$NHY}((it<k`#apRBe%<Zk2&)L#nC2F*tDBbj0qjCP<X|on>zgl;$@p))t
zUA)GF>blvPr51Y~n>X0c;toFWV}9%TrxL01A3AJ*+&=Cpv!BuZwbws|lQN&23KI7}
zEjrZ^@;adCZ_pIuGrK;tu1z}sY-#rWi0WAkM`D*foD*VyS43GNb?(;wT{=~dA{DoG
zo!tNQfy2aUT&zpGymuD7nEofb@cDh$J`?L_+tQLJO%aqya6VBy`{nVe{ElI#k5{-Y
za|tgHGg!-?_ep#EmOEe88El$pE<OKnh0WB=iZ`0C<$kzK`1a>Y=!2JerX5^a>><l;
zY}hgNjsFJr?vIP|EU(IPt$K0mo<(@)*A&frm4>@m))rj(KV5p0vC%5oBA?q|nj?8H
zPcE2{@$z4c!GrbBcAEt}?)q`L;84j&afX8IElw_iimdM*@5t^D?l#o>Fk^Q645s-}
z2|vUldG&X@b2l%W!>ifsw>I}3e~ZoO>$@%`&sW@F{6VifV|K~m-3Kq7UUlUC4LNz;
z3l(`8HxJCMotF_`W;NqZ%Pny>BRik%PgV)PHQTj}aqgeqOzS6pP5#@{bhk!%OybPk
zv}}dEjW6fXSHS^h_h*=0Vfdf6(oehp+oX7RE+&K3HLC)}HZV?0+fn&Gdd7yM=Ax&W
z{7>F()R+`%%JwL!v7bre({5$oUd!-Ixe|>J9PV`$rruF+Q#1o+e7kK`@Z}1p$&#o?
zGM5YLl`;|v_bx1HTR7>@lGL9${h|47ffDbHv!~qR+`Fku)8Bh$RQzg>dB2pd{gvC_
zA9u-p^O@C~K5AX|s+jG#PVD;Q=Q}cE1*dCHUL&L$`*7dtlsgrg!bfs7cFtY8LuO*L
z*{&NaV%fdpFS0Z5ww#_igFP;Tx$4&u?I-T-^S3vdIoRojx|Hr>S>mJ^KSyo5{<XQ=
zGmj+9<2`ZL_U?_8D(idkhn(^|Pk3rSw|Vv~v-r&C3&GEX1(IV+l3mSb`+9h8Eijc>
zo))9i{BK>#lZYI{=C|vd;vVl=IJ?1Q>vYa_4d<9$EC2MbtD7%3C#U1(;v?TXzw{I&
z{;Ie8#quC?(rTBDoL#q)<&<CZ+MfwCT%jagcW2v+G$BXt%qYwEU)1A{>j>2FM#&hS
z-ebV>bJ>v|k0TGxzUXq8S~vf(YO8dzQYvc{+ijt$+0#EhRw`22|MdZLM$6`yQ{~S>
zTsdE}a$TE#l*4cSbtT>s=Bk&z+<WCa0^*N~%NJ@tJtXq5?cV&wos!~NH~d^WLY_@I
z<dGV1=VL(U@*_)meqGtT>6z08zvVxDtM2!^wdF3EqVe72Qi*oREs28T8;$n4__+%m
zeSPSUd-9S+Co|I@)$&bU^#1)fc8N`^o|_pxFX5kSs`X5H=M}AO8S&OH@Bf}S=kKQ5
z>L2GdZsu$=&DtJ(>+bu8t+~rz&Rfj1ZBy(&e+elo?(Ivj^yzJxvuIl1y$vVdCWOCW
zIn}TDqwDdtH#Y9wo9e%0i6zRcv;7-)-q_)qo6>70Uk-x}@db|}!*`ayzL;N`d%~gl
zTfpv@+pW$m)d{cO7oV13c<12h$^YZ>KLs3NDL>KV8D#!F{Ca1P^qYqI2gz4o8=dv2
z+$sI^=j0`CPah3FuW@)qbMUgYlY?yvyG%o$TAl4Om>e6jqt`B1!2b45#qW)&*N-sj
zoy|$hiss#6Z@uN`eKyC7_HOQMEe`uD=7c^`F@D_fMnZq%8Ow>M{_pnrVih@!y|Yrb
zE&9{r&EZpiaD3A0fBxm~b+i3BKNR{{kI!Uyzi>r@`0ekBep!!m+V99|FY#h2>ziI2
z=<0KnQ|Zr{$KHQDl<wU8aQNWjoHy6HS(j}7WNyXuV~2}crV(eh`QiIZt~-cj9kf%o
z?w|MP*YY`jUe}Hn{nzm+Dc6{+`@p?!=3cYg&$SzqK8AO_v))r|b1&MC@u=mG84HZB
z+^U_p@_qH|EkgdM{an943H$R=vAQ5MV%@GoGd5}^u`9XT>6|p3ow-{hOKUF28@m$)
zj1%hLuexY&@p<X`lR+CZ5?&t9(CS&d?WaY1=8UuYYqxUg@y~yM;ggMjYvo4Agr!|h
zFM}`3>+CYRd8B8C-}3Ov`<F^C2JClK;qJM`ctGdj<r6<@L$4YhaJO_1yl_9o(0K!A
z$VMOYCHp46Y!O{-F!#)5Kb;P#KT{ly?^O0BdaSlnEqE~@CFoM0#5{|@FZL_zzqU56
zU0BFDJtpVEvF@MI-u;<wQFBXgD$ckSvd!i8C!b?RiRVP$n0!^|YYDY@CKlGjzwGtX
z?4(t~J4%vvo&2!*b<%%UzmIj3>VB@yNx0uuf3dmgq<p2?n|pgVdo#yR@lbI&(`Z%p
zaqb$Wf3IHqII2YaJ2uT(`sV$H@MkX^l@2Vt?QG^#-nTt}S>t7n-e`@O^2dd2pG5ku
zDVyy&_$}_v>bA<wkDJQA7js5r{dtw?Q1WD*=k@0brkzHg`aj-~IH~d8c%4(Pgx+Q;
z_rkw>nc`Jf?y{eAX-iGPb8AV>GYNmIbI)2XTU@Loy{F?@|J)@n1ecZktY^@2xVnE`
zV7;`p>a0Jz<DQj7Whvg~^Gl9#be&S~|81^~%cZ)7KjOU|a<=fFG26~@_JaraV;<hc
zhgWS{yET9z<D9$IDN*4(A^!b+yVGvxxJRvZj9h20&$x%%@zaTDg##@AzC4~jxB6WE
zk?dt#k4&51wroM|bMd;Z;=5}67VI`QKK*VLqmW^lUwQ6~WciyDuFaV-b5eZTvGDH>
zDw|GKtGo)<5}moKWl_}R+(R;fW=D9xdY#|f(^(Z|_3T?{>l3DP84o|tu$^=CaF^@c
z*Bfrj#zk#7;&GK{p?cwpxX!M$>)#g~dcApz*qI0ayY{?X#TxpC)nHcV(}ND4wiAjU
zUSH=@kgoCla5wMG+>9*A_9&-cOE1~8i;KE(1z$b1KjK2yozzwOOEv1mq$fUo8?@8y
z9@{^MIUhocx3=gUw>oh(qIu1_=`(GX9ay-NB~YTBK_GRBXi0v0&#i!LlaN&c4Yn%f
zzYeV4UBSA1^SQUeYX0@Xp%=Dp?$2_V|6|^fD9#h7OW2LG@2`1tJgDzm&ieairVE$J
z_Sp&Y3LQD)pBumG`IlTRwwXH)6kcxl;&R;n>8-D>u_=4*Ocx2?!DC%~WkSOzpNBi!
zO7?`VSgias!{>C`v~NMy%->date2b3Yoz{G+n`7y<>cMGnJOU*Z^q^?KVDM$@uGHh
zM@9Nx)qPDt%Z%8j7R}uA<frUCue*IBUWMQDPd|%Susa^cE*GsoFTGYUN=R~-UA>6X
zlf+BQHRInIyt(x$q;*Lo?<+pNPoF*1L-{ORl!dy|@}5cUJCQoUH;yNPl}+uJtntK2
z+yCCJekoCC9KGJ>nbC^ZzA^dTZ#cY#wQkLmieRWp`5txRbRbv$x>yG93;Wlfx%J6Z
zPSfo8zp1(_Hwa$1w{CJ)_9mXkvQGRh9~(YDQS%hYUU=!7x$Tq#f##A4_b)71;Tibo
zzS?<>RYxa#&f0Fs_UN5{RN3Z-Pv-;&Gpk$c9>{NW4`5>rdzFx7w5;T@$TGXQ#zhC&
z)Yh?HiQDz$LQG~x;-`-54SP=fy6Cao>0L_bEl0fz_D`=#x<_xj_Q`Rk_uR)z)@p1&
zD!%i^>^(03pM97w_{ugh>+zXF>t0t6^;PVv<NwTc><ribyujn!osE23jQ+7oSuUNt
zRfc)ZGarwpFNsHukN*7}pZmSnfARzYf$3pRyeBxALis*vuvy7U`b{~{=w5f_c~RDy
zOv~~w{T_-5maV+HZ?9Ykc(`Jt>wWhh<z>vW+2^)d@P4_uLpb+!uHO59^H`@I<vzJc
zYl2FiWl?9WrN7dO8;ZgU8+I)(@Lg8^KyUxKEcuTtzsx>(m$P?2RNcR4TIU31>7Yj;
zmTelRtvnCS5?wA(`|*eF#3GN#^f{H;yvq*=*?a$aSNrwG-Dh8U=6K9<$vTnWe_8rP
zwQ$}Hg};aSdfZP;H?1i3%&t;r*v)?GLe_`c$BAKWoU>cL37CA{{XI#N_1%R@pDlK~
z)XwSs81;uCu2o85;ylkeE6uZ4&OG>9=>+SlRPk^BdxF@mZ7S)0f5xuYHMNM*%x2;q
ziLK2gzS9F^ww<|gXW3LC`A~ypdl@&zok-f(SbxZ7exT2sUc1k$Jrqie-!z`BbNiO1
zeo}Coh>J}vXM=v*<$9*SElVf&Z%v%|ZH;#xm%3o<aV-ty%i=rvnw>9PT0Q@8nQ}eb
z>b*hlqO(8!o|&j~X!VDulN-Vn_ttfK&i^a)XyQDp{KLI60!`jaBRGPU?|YkgpSNNy
z59Th<`5ynn+$HwgSKYo%i=Ne9P)WSVbGPwGh=%HSweVHHdyhI?7idooQswf?-`IR)
ziFw3CLARzW9U<;FMQ{3Q>V+}Hbk}as>*r;=U$HGtO(^%u65$=2PyCtl^m5(8e_T%_
zB17*VpOW)Ld|leSQ^kyJlY3S~?aUX9wln{DYrp%V2@6dsrr32p+H`ZvBAsudKILsz
zD)we?H+}uao?9v(`LmjB!`#k?+hg`Tv%Mj;wd9Hhll$IHWr=@FExq*Pj;+bwJGq4I
z{>E0Wmv=-DT{krsXFAV#=6s2mXX=I-bHuvszHSkB<J9JfFuk)$&^GR7C%<~PsOtyU
zznl90Uf;P^%PIZt%jd~&CVzP{f7;Tky<dA$^H$G(xBcq!3qiYW%Ea=|7OGr!$#0&&
zBHN;gyH%w+kw55R?LU`w@%{OKPKhj?+m&!O^E_|$!FN1=CH0K%7Bs#M71z16=pL`)
zth=JwkNJ<pe6VhRme_MD^bz-m)WVbb&I#4W&MK`uep150gh#c_wr-D&zTkvCxAhv-
zGZwu*R4smCs)yIqI1%OuKl}ANzKQg|QRJ2lww5}5h-n71P0s$sT;fyi&CO8?pU(cM
zAoJamJ^%0hzM**Ac<JOKo|-vJ&5jqGJu7o$%4^}RTt^-|zMCtsdERjm-KmN?iJl3@
zuQXV!7(S*prG2aNm2%Y)aZ206^Jx98X%2PAXZponZoch$@^F4c#EYeypI+16P`qB=
zbILh+=88vQLUIXT+8)ZemaW`(aLt_HnXALKR;4`djas*SW?zF|eKOmwO!aleMx`eU
zdFE=FakB)xY-)LYC+JjzZ{LfvcYWhrpT^6taba}HUiJ6HvGhHUA3FSV+IFPN{?qL0
zNrhro>P7m?-89Ywz3AS}Z`)!PEx(KPU_#K(Q=Bs+Vz|UUEXk=|@&A*<SJ@9!&8u|g
zT@H=5ZP+qj{^#b-S8L{bzy75ou+2eUQRdt!?Qi>7wi+(|b!Oo_&!0{)Y!+Kvmz{Ix
z3cKLye4#1T?ycGP&a#;M6P`2Zp7(BXHmi~R`ty;&MDg6LHCkqic@D3BnfBoe=hKhB
zEX_ZyEX@44=h8nG!J~Z-E}6z&ZU6T9^Y?#t7GKn7o$#`IvAN>$wP_Y!rtj7Xsv5b*
zw#~FTek`VLm&?fvMqkY(Q)d0C*VxKnc3{%9$T>b&9fCv!>^zx2HN4uU)pzV`jV3dL
zw?W8Fld#H_8>2-w={$XH`11dSrK0DT?)#Ey6`RhzqMvogp(#A^6A#$SuZ`-Me15sT
z@!6Ygn=U@y{gQFn`TneD&$@YDX`C}>d@CYrZhWFD`A-9XK-2}XJ>kjnkB+h2p5`w6
zocWf1Nx)Zb3;lykQ%`H({<%YC;;isrU!>oserW$xyYk8TkNRgnIo9hsGfsaO{3gd_
z>R*iunay_d78i^A&r<Z4diC&`NYS0O#TG)Xhfhvc*S&qSW#;U-O(nBM3zOF*2PAGh
zBHQKCc)!}cUt2>`BL7O2!fG9z_S^>_J*Lj$XDnhAOmqk;Pw<W2bT@;$-&}z2qJeP#
z^8HuNTNb5MyxP~*kdo(Om;dP3(ic86&P<WI|K+le`N@y3?kg5CZLMhUTXVT4!Q0WT
zSwLy3sQB*+PF7vfM;m?_=-mpem5A$<;h*{9&++3d{2#46Di2QG@cuZnp_$>DY>_>>
z(RbqiuC4VeKViqGGw<1&sY<_fd}o)l1?bMO$-KQVS*yFF;OS3(Ha%`px4weM*=reZ
zHHtjjpO&!u@21ksw^vy{%Dgo%+2xUvVYS8ho3%^TvV*T}-`lS^s-50`;lcBQzPx!e
ztB=3A^8c!q<`e@<<Lrpv|4y7&)#SJ8@IHQ{eO8RX=OAz24juJ(C;7KnJ?*X4D4d-n
zYca{7;m6g3UVpmnB4<>8H-E~ac=@+@8oRH}@x>KCj&r^4n0w~Y!DypL$vuzsdj(l{
zPcdy%EO{)$WcyZl_LU#XY44+N7CYYBBO2g-#eT!Om&+IPW^euX_V}+avo3`mULdic
z@<7dH_Vv7ayDcR-Opd8ruIT$T%err3%Bru{@4|2V;JwAkv+FP4<ujsJKBQJ#>l=Tt
z{}=!Mu|-R$d|sdZ-waMBzDY5)Os9A&ey1BnEH>%&;%3XQu(UohrA7U>|F(cK{$RfO
zo78ulc)sw;zZ~<u;mcmX|HS9L$IpNB*19;~FMnA$nfm!|@ofI|ZHrFJ-W8l#>=Dh?
zX&i<9`b(URIup;9>$8WXa`DgqwyWLo5&st1*xolM!x-8={4miKI&hBj(9?oM!7prc
z7HCZ>xt;&`dnI?du;3=yt?TyWd<iUIiD8yb-XzTU-ZUlcz=pHxU;Fr6_ka9i{$-!5
z)-on@`6KS4i5JrsYb)%VY0a}#>tW}6=CHLsY44uxH{a8le&lt^yr$EV*Q>W#DqT_b
z`YxSudWFpA)32xem|j^EevqN3q~m?S-KmZD!;Q{*c+7dFASWcTL!=|vZPH7oMekJR
zao)J2mww`moYwnw$Is3=U23Mzt=qQcTFR7tUkmj&$12WTmoCUNLs!bZ#Nd2ZiTX!*
z54V`u9W3SY-t|qt^Pgz**L*E33!I{pT`hd9`DBdB`G<$CE-aQ*3KFrO_|oH8@{GiQ
zW!E!qHE|r<c=GUz{nPt4>{<WK!2O1>){Pw%X>uo(f9rkPsrPMPh<b680`rrkca5v=
zoK87gH|xZL(CvSYYAbB|DtzqalD8)t5|#<HFB6{h^3*03!A~iV-PZ3ou|dE0$`Vhe
z*w0(PZF&5f_p$Y~bM~U|pFMflR?4w)7Q4fA+eoXNzBy*B@7{>;8E<X&UzB(Fq96C!
z4dxS+dwah6J&4!76E3@}{c~E(^vlyZS4^l_@od**>#1MnISOQ`)fJ?xTNRlFEwJD7
zWpaa~hw%}v1B<r3*Ap-@cJRKir=~vru;y3KTap^N*QC2dCg~ko{6^~1Q^tR<m!>;?
zUoB-Rbg1gE#m)2gL<ACR4a+=T4{#i;FPpbwySS^g(9!^lgt<|Zv^7oABr6pJ++L;f
zUDha^aMwYxU|yT%ny4%x`={b}w$|(y*q8ZtmCg#q*E(w(v>146{F){BqW3Lt`LW4i
z(q`7BdsHvipV#kQ{Mjn(;@pF6m-osV9gC5l@LON^kdQ{@zvayD<`$nk=dJwySSH5<
z<&@w8=E})EZ<TnKKU>dOa4#st*6<?31CcdG?&rVk)LzahW23n7tejIv^S6lk_oh!=
ztHgI!{ia-WgJPIp((1z3I-X)%^S<QGnc%R04a2X=nJ*VjE>`xtrE_kj;ls-&i3{Vm
zwXK?AtJxfT@vVvP$18UXu1JcVborZpJZaOvPqW!?C(TJ)QSkA`yg9dDT6P?n+1lot
zaOQsdChs}#`~RC5eCA~{?(%ba`%P}n^H)B>aTiU!Haw5NEU9-zNa;d_{=>({-w$&K
z8~pAwSab8Qkxb!wdE3<=6`VTn2!tK2GBw#D>vA+O!T7gOE8h{#ukzbj`##IHU2eVf
z)qCHr?wxbx|5<H*ddtG1{_?D4$6mGu9Twa()rl*$Z10`f=gRgq?7wmG{_Sn-hL25h
z;<g-Bzx%3S=RB1c@{V>#cF6ud(UlUOZ~n0~@wxk-_Y!6MyU$r&4NffComy(MeJ_h+
zQqaqrYd(E9ijHUOP@k|VC19KRF~(nMUdd1Xt}3{>xiwPly;bg-VvVSXMvq8e<5zpm
zd{GIEY^v2NEx(z(qx;JCJwmtcJMPvwJ@sQxsY4la^0x)cmi8MQV47@q>B;`N6Rx)1
z361@>d|SRpL{3GRp@plHf`WoVTB3mgh&D=2G3Y-Zw36*(#NSI6$;Erx?X}XpzJF!d
z^kShf!z%9=R(B?PzR-GcF~(@urk?YgXDko5%ClqAe(=zL-}J`s?brFbmqfO`Id|Ll
zcrORH^@e|^wsK!yTg0^T+)U0c@jG{27$#iej=x`#tZ{hdUf#U)n3pbfkv_V<Crdg^
zOW&=3S7f%#^Ws*8r;lvp8h*T&Ivmg}^g;g4Ua6cI)o)MlTv_w1{LYl`l4~BtCtKaz
z|9B76U6JyfzLm4*_bm*JY`)gD(5-6Ddb_TR-|ZbH*#A~#?D8l%cj0oKNoT6sYF3sa
zjn3Pj?-rf6F1^L>bi&v5bhk{qiu`J|onJ-oJ^Fpi@XkTSIXp$}Vw_)gW^bxdT6FJ?
zYoMj;N&(rBNySx9B9<)l?0qz0v(E9?x)*m=wIA~6@c3bTs@m+eOpDakdkfCS9X0>m
z^u<r*UC3kU4<fZkx7qD|8rNvQXa(Qd$A{P7UdCssvVm89*$d6D&RZ57Yb*S{&`H}+
z^z#oPcfK`JArg6W?y6-QNi$!aXt^}cdA=QYM^3)x?X@%BtGRqoPEE+Gx!B24x?73i
zj^Ms`Tx(SwEgJ;aNqFm23%zbC>@?%Z&=xE{6={EX#nE7=6seOFV*Xxo=Mld#JKjFj
zXVd*+^J*g&?)!X}6LmH=B_IEA!&l?a!56wN1$J{KrHiH1SA2Tz-|sa|qF~jd{Ma9>
zJ}pcu4*juK^2z*fo*6Nx_%byQY}uE$F#Tn%`7iC{C4VI?D)N0MAJAsISlD2A;7H|x
zPxdaYI}dz5zV^$0w;v)?Z9aHDJ8$G5yv3(wi|PK0d!9>qI_Bx!x_>u9=S-V&wboIF
z4MN<n{(fM$St#xyF7v^>=%_ct-z4`#dTN5xw>_*izNua3>djbNHLEK#aH&|YdHEdX
zEyo1&7FH>_cP~HlNw)azmEDu7YQKq2dh%kLJF}VjEq=R9Nyo%*7TGgu=WV^&;PlO-
zm;Go&rBu)>Z_k+L2`^6l&9(i|T)bzU>5sD|bz85P7K8=8ZJU<!(?IBN!=K2<Sxdyb
z%KnB6+}7>s_#sf-vPVESpg<u&mZe~A>Y}YjQ-0PZiSy`ptT}0W>G|nJ$(uJ!e-Xyp
zzb<|Ei9;SN>mK{})xFJov8}#gqSMW?yrliRA6TvOfAjH1$&C2$mAR)rsh&Sz*`wUN
z)>cvR0JoOGydyKXG&k056N}^9Ro6Tz*k1dbn-O2bj<Z+ocJs_Xns@8?zx?0b={h?;
z+&up@du7%0%ykcBg}f7#&gL)4V&5aXs%QV>Y4`WHGQ5<(nacF=eUevejC|3}tu6_R
z#cg9M9j0f`Ih`00{NhRw8&m&Xj>|du-|Oll7>~C3x71Brzte8(6gTDtOIzFQH(fq?
zA!Kt|Z2te<zfULg&tv>0<7Tqu@tu3FJGHArMEpWCI}NJp>hB#^6Z&vD;wP7ytyKMm
zh$PLKFP?G!UUJ^zp?pK5<$crSdn-K+9F45iBK$7KYCK-#KSy<n&B4UhgS%M1cWjdH
zD*ZK4?b$W6ZOV3;xr|QItdE{fezB|LPn}s~I%7+FmcsrO>-&21D=GqWTtDmR$8J{Z
z4BWD6I+smN$GJJH<}XT-D!+7w(OYK9ZneAiU-zUQmy|PA+%K1%JS$zWURbl@m3F#)
zUUk;AG{$#!AM|3+I5j6;I?BU#kil?aLBgC0p|3jEW-OHHn>9nQ;c<8Q*>~*kFMPhB
z{5P80?aqbwQnSooe4JplW|rXmS@WJ}J`y^Yr`mV%&V+j({+`Hb+_~L<QK<BZ#V7LF
zRCiv#)3%mnOW_gK?Zun)&Q&oB%RYOjV7~R2%jVk?uFWxwI{oC(E5BfM^MFkyw|4y~
ze7-EadzESQJg1V)*Z2=Q$1ZkUP=9&KR=syA)g>xPU9)bd+!ZcA*~}MyL+i2O=N()2
zD)cVwnz=D&`{H!|NADJGZ2p$O{=oC9eaL}p99ny=nSM;LQcRSMHS;_D-RD+StitD4
zE9{Rv|8oAw*ICB}j$Ag`^7GcwgO1@(Wp9`;H#wd1&YD@F$z-<cjIL3u-BQa{YJC%X
zmg|Ra;`Q>-wNK_)$C_7fARFj0UnJ_<ZpXRIOHReC;Es~LS|qpZXh_jnU0vtGjZ3!+
z&UoNkWn{D2)`}_RtkZ`MvArucr|R%ZH7vfU+qn9b_rAQ}yDFY9$;f}SDD8UBp_O6F
zBp))ma)?~IZz46Lem2i<T~|wv-sOFQ+j{oCHBRz>u6DD4t@pL;sZIaVc7<gp3jA)a
zs?E<^SD|$#UPruKbfwC+ho`*X=2^B@2CmLDDHDBUdeS<*{`byJQ#OT)l&)Fr#Iks;
zn|JlZz{|;R1T8i-2J*($UTd&fI(h#Ro%r$zOCqJjZ8G{bS2W*gEs)ARygWX~?d9R7
z=?WgRF08#E@%m?%n)HmQtUZ$2wZ^T}=33XX#_f;yoZ67|d#CE6IUBx)ENnZT)q9xf
zl#0ODNQUE^_xa?kQ4#DCoZMLMXuiz)%|GV!%FKJ>DgKW)KD)T5vgVSA!ejnTmlyFr
zYJH<~_C<hd+H@A5WnE6CB3xS)RLvdvloO^ceOz#&dztgSl>cRsv+Cl_QoeLayxOWV
zSH5xqFI%#4Lr;Ud)lOs4;2rl(88!YNeV{0v%*GunJ2CyN-m{ne*Ne959&uX4c+j$2
zKQy6X_P$W3))I4tY_Ec2reEbmuekmDX@1&Kg7Z<obpDm=Q>Esd`J7xZ#cjpqef|ID
zE$odCYo1l{ZjS#q!7XPF7rdT-+0RdK!-cj<D^@@GDEBH~<Ku;nkF(bO<+x<?N8c_)
zX~M+nOF`B_3wUJ&>usXF6U(a`cm%5i6!k8@^5iMsUMC<{Hpk0txrgfY`5rGM7_43`
z&sHt_wLkMS^Bw#7AJ(j}T(hps!9G!m^+3eW-y5?eRxG}ElZ)r^huPAPSB0wjJURGv
zs%AUq=?yH8-k*LGcEy0-j7`wu$mfR7%^&V-+LY8zIP~OQR<2)2(N`TYmKi^%Cxx$l
zZT6t>akIPreutxVhon;_o8*qA#j*wXH9U}*wY1>IQzfUDPWi{CE|L=7Xx&vfA>lqp
zQr9hY!y=hQU-~&Z*bja!ebLjp-Ml`s<LVp50>SsSp^i_T_BFUzn?!J>O^?htWov5Y
z#5?&$+Y<ZdiAxii?}!zieA9fT(`(|ReX@GCvaydAY<hC(mh;}mT~?05Qg`CkOYqmq
zl$lIO7B%QuCb_+1+0;Y3l2_j}ecdHnzT&m^JkGL1Gh8M1i#8gc;M4l>C+kLS$)AZT
zecgih#NX!KD12$0*t;!g?N+IaCD)nM`gboXYPFdfrd@Dt;jhTqkKBwtu(UfJYe_1c
zV|eAb!NiS4`Px=Tr7oQd*H`A=R(9m7zxF@z2}kEEPR{!CMX<`~+T*&;jJamAaoQPY
z4|8srE>Zj0RW^P9y;#P}TVz6BWj?yNsje_Q?8ah#hB?NJT0&il7dE`8eA5z_oUHC(
zd3e)23;wrv?M(GwOFQ#&eGo95u67}@c5<Ry@@3unJIy=4s(tBs$Gl-~|FYJ36%zUX
z4IZ}Ny7$b!W4_$^Pfqy^Jp32DluL}dc1>z@I`pGZC;ivI(+Q`nE#^ou|Ev^zwL|8b
z!yoJ0Qy%^Q=+@w!nX&Aq$;{^roE?iF#;7U$n`0<g@Qvkd$)dh=hF5Cxi)Ks?xWg)L
z*c$5C<Z@5{&Qrzq&+6r@%Np9s!pfGlTb_`gB!2Y9{krrZi*F(~#KgBBP|?j;dh}qb
zZNs{mOHcJT+%P|>5&83nX@i-9PW|6ad|9Q(ytCc?HrVe9<hprkDl6|^wfeti!Xg_v
z4j5nQnt#w<G}3LWMg9Hrj!}nPAFk6Z48Gc(^Lc|Kdurv?t7l7JoSdqCT~<w<lkZ~5
z;fTdbPOO|8`cD@4e7Nj)LN)Btq=w(Crt{ivJ6IsUe4leb_OA)A4t1Bj-e0s$`;=Oh
zLy4+W=qcHgOFvFcU1Izv*;l+{g=dfL-G*7NuBVujR=(KZm=JqBld<|T&&($Kn_5S1
z@J<$cJcVDL`_82`n>MP8SFDq6d5{s%SiUy>ex{e_wK7MMFU<zq`Q_^mT-g`G^X}i_
zv?-T7LU()BSl>ICxx1jVOlZ#D=T}W5=Eci=+4+T|U%C8xb9MM3NvjpxHt%kHTEu;p
z>&6DL4vnv@R<n{*=N7F~R7o#6zWR@9UG@aO^I|u6Uij&1Pt#-itGRfZi>t!cgG+ex
zx2pa5cVhExw%NMho2zdvF3{NB?Rxu1y=FbnmYSbl(|VNtCU|hgJUcz>;ftSr;`a{E
zzbPztUx9bc{i)wiv~(B?%oaD^FBHM#m=Q9qUr_LLCEvaLOW%D{^u?Liw(9$)n#`S3
z)hu?TX6L+9Giv;*HmUczu>EkE#{KtN#D%ISpI=vWmTTy$wX^bO8C>HEOfuHe7GLhU
zGCNQzd)3VH)$Doi=X=fP=Ta(o?32nPaYO(AZGpKzj_qMsu`pk)p~KDA?5kJq<nIR8
z>h_h!6fAz6d91_#rO9;nOAewJoZAbc9w{w4xT0)#W~=~b>$$fJUcOEHdBQYgxw}u+
z<Y`|nmpwnOa(3VShO;_p8gkF`<|Y5IyD?jO-Vc5kLCa|~_oN$VWWMdaId`5BL;nKP
zPUG4S7Xw-&XZwbgnsHqGof^;Ez%nu5N9cFeXVX+33)?NcGJXEEYm#dtM6+h!wqlyS
z^o(A4p|qs#i!(v<Ip+S|8nCbB%A||D+=jOp-g#GxEX#42xx~!l`kLEvP25){=L$#}
z?Yy*MkE8kHHgEI2cmAxo?IZec|4fc0%bf#0?dGq@p8C+9iC^Z;-9-hF{lQ1Q<OC1y
zTgSkDwVC00!xts*pu@RuuXgOcnLU-Q$I@!==Yo=$=QcI_#s5wyQLvG7@wWF}clA5J
zUD(m-EjxaSMCzDbDAo2qD)r7HKxkIYy5K&$W~;MPK3(*&{qu73ufHo7?u$qZnHKr!
zT0K*ayQgc=m4`0{r%vr&JM+P*&)FP%T{UMpRmEpI>RB1f+2x)+u6JI<s#G&YLib9l
zn)+ql?Wg{nc*}o|ad!BwMfY6FW_+$MZ@w%M5tZ2>K2Q37!|sq>bzf&$uVeb4cWm~z
zz1C^wce77xF1ERzGxx^QmoAx4Q|i?(9{a_AaMyvuV(V=DcwURWko{};^V6bvhDv`m
zj`}^h-90z+$(?5g--OxTM~bxHx67;HS31_WZT**!h^>bG?n{3faGpQb62Eiv#!xkt
z+S0T3;*l{-Wmi|v=}0+rp!wQkTMZ|x^s8Mu{uA00s-CR2w{p@+KGSQ&e1~@m7sH>o
zi&nC)3FlgQ-_SjOmCa#}8<)Rt3tnKzz}gYa`^A1iko@G+r3v|x(#Iw%zPVAke$u;B
zp2x)h?wc^R%k1KJ(Wlx~f5dG#rtP(BKK!ue{E@8%^Q;#~hjYDf&Ybe0!|rMecX4WQ
z(IMr@llf+z2;h6R_ur}J&TZ*w%7?vQf7|Yx9<(#|$FE-TfL$%$SH4vXWo1w)RiF8X
zy}YJs`G+*GO(L8(AD-jiUb17dj+pxDop0aVRsSyiK*2^wD)vFx$w%R09I>CO<0XQm
zW-atL6H19&*_o!5*~EI(JD0Jd<(8mX#^mKqqPe%57u)eqV13VW@X+LE3q9xZ)o8I<
z?6T1?+#qu)Oi8B8a>m_;HEUkaTv{$}BE9W)tI&$I3C=SwxjWsp<j)IlW3v31wOMTa
zx=o3Dzr4I1ws!HKe%<}|B|Aj^8GCFr?Az+i9miwO5;aSI-=?iE__R02EKVq!Z5qSr
zT`eOYDmuG(YTjDTxYQ5bO$9S|1(b7_l`8NrF5j|0X}-U&fa`9yKEWyde%YZCZ`QD0
z=l#_0p5m?&yhs1|R|WOmZW))07;o-zoaXb~%S=O+<tV>paM|X#A6tK@9kDu`-r(<k
zNyvA$QV5fPNO(#}tOM)eDf-iwKi%DaP<rP|zCDjBHIHm@67n?5lJxp<$o<*RI3||w
z)A||za$232iYf4$KFw&I>MDWVYjfgH{y$|GZ+WBU^=x64Z*v0Ve!O76;u_k__jdWd
zK8H!}Q*J(X-g>$_@3`l*y3G&1AND$aB)YwK_ZcQNIlHC_Z#K5nnrvL^z$el!Yi_c*
zv{)nJ)6!!<O;wY>)*rsa8?MmooGhtyXUPc#p;u4%=iN?jFq+ZeTdu(;b^mfl#-f$8
zw$?nn_-;$YrVAd2MSO2hn7*@GyL<Wk>iCXR8#SLE+7f7)(y(YLhs~?WD;f7*j@H>F
zd*$|@9}&w}i&}sEJ)>{QEH3Gj4J-XCtFJC!5V6hT^zO{O8Q$DF$4l}!YtrK<-r6@k
zwEM^e&+Tg-+<)t0x=8HN`#o|7r*>IcMuu;B(!cnv#?18AyUEtq7yGQ($h%4Zx#v<J
z6R}AT1CIW=(#k0`VQGDJvwD=csnqAX`H8aIlADY8)&y6dT%yCgPO#p$CUS<aDC<^d
zOKBU<(4X_?T=_q}^ObtY7r~I|3hfUnCta)kxq25r+j!Yhisfz5p9@>s<<&**J`g%>
z8nWF;z*#?Mi=RpL;Z=texw(`V*Es+BBq}Q#Z8BSM!+&<+$y0Q9aGlt^eUahZzcv?H
zKfUEWulxH&u;Hpd+)ow<zZYpxyuNG4$KaP!Tte1v`+Bxf<vgoE#`#ZOOY}vJ)S6~+
z)^h|H{#0Al@+5EjsTmga(z}x$-_%cY*sl8MVCL+lTdEh|wv1Q0={WJjmpJ`UwpvS{
zxLdCdig2s=EPwx`|Bdgj@23>mTi){@;H;mzNp#DtcG<$Z`$@Sg1y3KWU8wK$y!A>&
zf@AKf%`4n|0z&O}xQK=6zqt_a?qb8OyGQI}=lixiLyfNM#|5q??&#p?Tbglhm2uj-
zRbqB}b7!pB-u@vh_WA#P?`LtiSJZ^1ENJ?$G=GWn+W1X87Z^|eYue|UD5Jz&dg;&o
z3V~XujVIL{tB<)aeiEqKSGVoMnxu8r$#bH)9a$Th%4!d;WO~WiZ0@pP)3f;xVxAOV
zRN2XxlIEKBw2-w{_342evvgg3_h}+Mg$3!e9c%K6mj??ydiy>*!)@y7hgSpj#J`x{
zjo5H8B*wtI#-<~3Vh(cytBZc<&rb&;d48Mt7RWmu3-3R7+9g`=-VW($#lKG1Uo(-8
zlHIkO>rY{;`>vP8iO*lDdv%Cz4Zgkeqpo=HvYq*X+xKkqFK#&bqkioJ%jNCN%Y`J{
zQkOqb`m`)>^X((kUKjsvUdA!8W^0MTo=BVQWoFj@mS3MUxl!BiiN~+g=MKLxWv|oZ
z5WFvTgHiZ<-Sd7g#mV}ui_}aXtDmh2Et$JpcuG&!)T3A1mRp>fvF`S3qprgfeXk{j
zyMA(<JkRY+@RO+;Sw|`qOrqJ}%sJD%F~0Y5Tiqc|J<Eoj3~sXd%a(No@hph^XMdS#
z?rQn#e4MYg{^GgXUE33Ou)NTG`d-CNwl0GHU7rtaT+ys&*l+sbneWH=9sGPN&Pz)e
z-?qwSV|LYycwcsJ^(HmmyT3BGyN0eVu>JM%WQ8Ml_$9yj)zexktM}B;3OZDg6`ehu
zwXUy#-)G+|uOyAdp&qMZ47(Mkssx5s_0`O^Ub}VfksoEAnRyQlEtGvXc3W#X^(=bK
zesK9ojmdn|qBuM!1UXG!{kPmRe2bE!*Y5>IJW9V;9QyfSu~EQ=9xL_&i8i4ha+YEp
z>))pI?9H1r(JZog|L&UoOQ&wF`VuBP_o1HKrJUrxA9C$nOE~UU>wNpXQnyKL`P4@#
zjh$;&HdpZceiC94-@RQ}y>?p7GBx(n$~6KnoEL7HHQzGzs@d8rN!|~wtCzd4;650h
z{531@PEznxhozod)j!O(;VV4OqL6#`@WIlhN3@*0=Pi?U4BkDnw)Q!1xar?@)vQ%_
zHiRFmP!V{wNipi!zurv?F8_SVaG>V*!qSU93hL%&<$mV#s>*qp4#kwYuT%+;y0dn*
z9k1dp<Fpm;<&$Q`^5<E4{QNLUaaNPR_uf^zuN{rwrjy@yPV(A{iWeIW-21)wp75^p
z<sODyYdYHg{LC-<^xDQSKHmLoa;y5w{qGC}!i_w|j5#Ge7Wr>u|9G&KO>jX`hT*zR
zW(G-qLC>tZ7&+r3j{RS`JWWmK-OU?mb&WSe`|tNEsIkhg$`!t<b)@`8Zs^||y=<XJ
zFD=}cJ>_3@2eW#R*e8kD-P3=obnLbAXjzkeZ-<h_8{0oB(pTpuvu9SwF{l6j?{r*r
zjq%R2zRtljZGWDwt&Q2}Hlg7CuJ-7)GhC8vrtxc4?%KX~?TQ~lcX9)#?yfNs^JDav
zp7xq!-u+d37Y0rErtoai#5q!;N4Qcr_WFd?HWu)w+*EBn`bX9KEboW@cKwt;H>7g*
z=t-)7_U>maT(YG1T3z!o1-+dY%>CY8SyI_#H!bA{7yplmPwGnL>tA)>a8JzJ`oe3Q
z2X9A}&6|6_HhGlk=@qoxa>zZGx`uI86#qy2WrwR`Y<@4>%JCxRlh(amXZOCXIv`#i
zAd&uYiQ$q>Z=WwtzR0sf_WECm-EwtO+cUS8$MNjEUb;_2<%v+ky`9Rjc00ehXx-I{
zVV(A=!KHuS&hjVk=C41z*e3neyOeCM$+skSIyV&9Ia)YuOKg8Se~q1~&P*%rH@_p3
zXR5bWMaoZqGPy0p>GUeQgw0QHEnZjam80LjIr;M>#Up?7-tK*Uc<Bv`TRiFO{2XN6
z*YR)!9#H(eeb=0RiT4pMv%<D~{`Kwn6<6o3KMfZye>C}f+&+gtD(;Nlw^gcqcm5?r
zy(_)i5N6C6yR|ohS9#Zg;vbHoyA=ZeF~rBXHi~Q#`P|YQq;Z@jOS!UEAwaLI!eru9
z(O1gfm#%9+Z09wLX}VQtLAq7hgW`haM!XC5erFZmEFFE}W6AmB%fGNI1?UCNnl9J*
zR{s0sMF%!V%f*)6VCr9Vz}@7v)Xd5w+n-A5hd-REzvD%7d_?!Lq#vG@9zRt2C+8fp
zKV-T1%%5AgEmCf38?MaC-RnDJ<~m2ug85>fEn8eQZ}Eg3S+TEv|ILKh{OwX)%GNK|
zzK9NaFW0?Z_1q)=NlQPk;Vqgp?W(!d5&kwU&Zb#sLbW}tw8Q>XXC7YC->FjjwNP*E
zH9-f?*(+S~TrC{Uveci3uf5BrsS&mPGvA_s+QX5{mioRnS-SG}DR0^TlV@yV;9a{+
z==ju?H-0Z>y(S=Odgb-yUA7F@#9lpk_hsM4_FZ<*>aRN4p346JO8p%BzBLDK*=XB-
zam=`BkoK%}_P56EvsPQPC9wNPdu!!Q;h6biUU~k%-oNS%o?MdCBp1K1=nFAdlV4KJ
zu;qnP=FW;E|D0DB`7)%M7_17icw{2K`=^qW^(NW(549gxE|@LA@#a(4scP5o*Qf71
z_*5`$CXapr_nX&eBFd`r1KyuCnR0fLh1LVlEx~)AzkJr_^YqsKtqSoEiVd8EGagOj
zSLtLb-CzEGo%h}yOMgwA{qdyJ1s#pD<7xp574wgk%-G5Hxp{`hx9mc3&Ytk7TcR%=
zH?kZ%p)G&nm3q~>?yxC*&uz~({Fk~M&~tX*gBO*%`sVql?ortocJlb5hvkJL5eGQ;
zU;6fV$;s(8IsXbG^~G<VN(kS1Fy@Hz<!(dyE5|H7K5WvTKL3gSpQ@*to;^>JuP#*y
z%?f`ZX_apur2Z+Y(bQh-MXbv=m7KboHE!JeB6lPEKew4~<vGpa{5$Y-4A-%^K8HmM
z6;GReyuNeYF?N*;{M9CZj)@iDVKPo-%FjC=^iWwSSK#}-+<c~c=RbOsUM@U%rhNCT
zG^fcz?<c#hk_&e9$vO8?nPXjMYgCu(qKPa<*OwpLA8={D^26;{UAzLcI+k$Tr8n;m
zbSh%ZRnj<ax!$&<JM!b4^d&jzT_zRVuJpteMGLIlc%$>n?c4J{U*p|)^^E+KTI271
zN_Sr-?`5kut)A@LVeNN2e2RL+pFP^~Y}c|B1?O7s$^YB<VRc(<RM7O8)%t=wlE1$H
zoV{V<+S+fS>J<ly9bNO{qklR5ukC)KJ7ZT_SHaYMXH0Kb1bR7r<71y~|1+ZNdSy>*
zrug4?UO$5#DmuhXxpv|0UI#-H@5n#*j~&^0(^fbCkZ82$o1~z{?;j^N&5Zozyoy^>
z@_tl6pz;%kBWbUXDb5x%(cXJManIAHV%5pLE#fit6K|+VnVmnPtSq)vtD&yi^gUPU
zj@9L%$5KN25>l6zEDbu=Vdb(ps)$8v(a+o71^aflI!C_rKA83);K<(`*AppR-r?Ji
z98gkQv_5@e-G|ru;lTnON$bURsvo_0(|Fj?aq>#Va_g;?`f@dgtoAW+-I~1N@v+BR
zeUoOU^l2y5uIPJnL_R-UXj@&GcB9b0|8I)7v#eJ$K5BPG)mHU;y30gy-H$J<GIl-Q
zb1{u2@JPr#@hz8^xXE+N1+M3;&3Gw)CSkFu%YOIrnAY+)nmt)_)q3w9RjJBXw0%4=
zy*BFb(bp2&oO;66e^9>0_tJd9r76$3=QthZ@eKQ1^E&z#GfRQ~?>*je_Y@U>F09pb
z;b3HzKT>jV<rl8V7c1Vb;&Nb1Fg>|8^QGwBEo(NsFj(HZza^w~`+O&Mp)S_-E&{W)
z6WpiF>#4|dSuNoi^rroA+D`47wAeSl0(V&E&+idAcJTS)uX;+y=H5N}d7(`3)7xR}
z|23YAcI>d;u6?t(@qm{Uci#Is(z=G~WzI?NOx1y}n$0(DFnGi8{^x|_D<1E)i(YWK
zF#U>eVTmU1>bd*Rq}5E-;|+`n$kXDvyYkh~UA!U;k&m99b$EQQ;>d(E)wL^jxj#Si
zSzF<LHWN$I!LmoeM@_2Q?%!B6W7qizMV@n7|2>4mzI^fFt=;zc-S$?QbFMSB6*Mak
z|CGHX?z-kgCd(t92=?W-j_5Aw`ec10_Ptcb(*U8gGivW=dJEP6zizo$S@-6u8P?~X
zzS?}|{BjxhgvTMzH!eJ}ue>r**)U1Lsm(yMUi?V2L|4Hbo?@F_k6qsVxb=*qJisWs
zW0B&d8{wtPZ1mO}?3sRZz4wk{FTD@NU8jY!Wj;0Y$DFFIn7AwHS$~U6{gc>vCH&c1
z*DfUAWwS4MdUJK?#!0=$-vw`YGw;F9xwm$+uG)LqnBi;iQm;N=>#VE?;VSn{zvnDG
zYd%$%U2fN9w~Sf$^!*bYlz07o&BX0Kv%KNnz9W~DAAe_0SvukR9kGye=Y$rU7@of5
zGj)}Gki4gez}5SUSyDIGoGUz$^7Q8vooo%e2kE*ujy;Y%s@a&kS|BiuJMrtf<p(5=
zGW0%eZtQ*gJ|yn{B73W5K|$~5Qg;j<UETR6!s>H`7q@`JebrAk8+qD(m|OqI33(~^
zhH0xn{7ZS+NR`!(^o(}YygJ*~bTv5c;I|u-bieIi+L(3nd-bN5yZ-u}zPnUEW%7}j
zxq%rqn|IpQ?rQxUnRDb{aox@He!IfB=Q!NZP4L!S*C-M<ap&D#ORLS}J;Ww%a`oH0
z+1$AQ1*djC%UzcviG7>491x$#vVq~&mqj!AyLZ%joPNA`?d%)-`SZl)w4P95t>Dhy
zcZsv~<<^-iEw5VmTv)`(tELye^8Vgt;drggC7Yr=3(pw*%$Za-r`75Cp1+nl*ZWOg
z@Ck?Cm6g1in92M2n`GdE^IBDpm+-FY_WL(ye#x@c6E?d!&6__f{f7O4B#YdG?DfCp
zZ<>WZHfXu0kYjPEOl52Q9QAJ@+BzkCjt*BIB+UArqt};nM%p)UwUKSEN9ZcHnwIU8
z*7nY^pDFTEk~9B|(+<ns8&%%RDH{vTN)TOL&nu_Uvc-dcYgNy@dDD*_i+NZ*?W|?d
z={AAp{o9ij%TD`!`@;V9i`o~qD2?-p4N~DLkJtm)^OGl?6WvkW^<>6+Kkm8ti>@v)
z6h0!|<5%<R(CNr?dw%}fwt4r2n*l6e%;qd^R5!VNc~()_{`Xp0Tb$lFI3HHX>0<im
zaMo~VXIFTCp-aqd6WePNXQ#8ix4sxLWm4V$9CfyEm(}mjUH!N0_0M9-FBL_9Wgh;P
zf4J?~<>(jkWnES8r|tRJdx-t!z4GbH0$ljNE>JtU<@}lTM*F^QpBt<s7OtCfKi8}C
z+kCyd=D%Os9rymT=u@;{UD*fLrkQ^WI=yE+s-ORZ@q5A5_a~o8h(0blv{?7rdsD$u
z?kDEvq3Y#Fyl=;FpWJBj(cz_BiX@-0g`kE?i`5z13puPsVXT1+H;+bSh}?Ky*m=oM
zL-|$DvB~GJ)@`fbBdv9YRrJ*P8@znMS0WiW7o<!r`C-zp+q(6_-orCOuD`cy{pfa$
zwSB&eq3w&T=Eo8<RM<WW&z`%cz4-d88!FN7S!euRd-ROf^t)mGQ>@R6p1qJ}Z|^s!
z|Gf4;sho_jeM#?L92cLsp=mj<sjI^BWc6;f&ilXLJfHRU^T&xlC+X+SX=1;3BH~y{
zxsLyxolW=j)mL+d&a7`(#4CQSXQ?Mw-e%>Op9=48fAW4~aE?Z;qjH<c_t~meZ}#Xf
zDvLh<=GjC0^})g0mc2-b`B^5+w^E|3y04D4KX_KM^a&+{&?ci7n{F0}ea=5_vC`?2
zue@|DW7PsJUctjm9s>K7x~^~A&9Lv>fg84eE+5>tK71162RB1?dA0E8M^p4;_evkX
z?c^wK%H4bBx%?_K#n-jX=a=Q#fAjC@d8>Z<v)_qLp86BE{#Y@mi}~1UT@9|wa-X*C
zIpz>GZB7|WzMFv02A!F6W%Vb|>bWJvS7K+hz{B7|Kyyf4*>3OM&Vg*}kMXD7D75;0
zk-6%^=5rOPN3(BzTzDa<e*Qtpc~g&hs9(JQ<FIjd{FlFhtVJJs7F$`A?XbEUd-02n
zGmDjFwb_L4ZAy$aj~KTdiFTZ1k;&DiJ9nbe>aFLwf=fT&JLS!_->u`=ZOQUQcC~+=
zdjB{js`q$OoldN@>_@d{_A;k7Gk#xTsG@LEZ_}~a$DDk=uDB=Bv}y&%{`;BNW@#>8
zYx}!0UvH+j#ftA=wjL?DKix@C<Yiq$aY@}1XSW%z96x_qRX^>xb;h-$%**N&RyWPv
z$gsXi?fb)m$@(iauLQIje4UfBd0qh97yS?4&0N_IJ`>rvHA~@qpRYjK%@-D_Z*Aoc
ze$9{4WB$=^b~N4gZQmWY$lbob<~;fwRqW<-`jf-;1BYK6lb*TH$K5)i)^h24PVw65
zzxLnwGmYnS*!tM$+PVcdZ}KUvGo1Hfw&^>+-!^yaU3Xo~IdYaqG{bH0?IV5v3wOnS
zFHA^C^@s}l_j~f&{sljlt2Tyz`pnK-z32URS@nPe?uTZ~w|c4DlCAn#T2<?war^5W
z=?_T^$HVv;+pma7q^?@{TYnGN_V}EvIrsiPT-Mxp=!H$~$tQ*-PU^NIMjIYa(3ee5
z?O|NnSs*WS>5co0Gp=3dPZgGL%{*E6_IQfS&Fcpiv?uTwcF7z%&A;H|Z{Ki#_UP)2
zoqQKk*qbJ^n`Zuv>D<<A&G5_nkbsTHmkH+k)e^RrWx3vyvQ}L9X;n^c!Ig`*H5`2l
zKg{z=D`F~qB6V)pJ);%6pKoPn#rgjH;QOeI;r;fL-4Ep*{9FG>^RUURdGab-Q(RqB
zjU{SHSFPHg*w*876K?z|5}&tm?b~|?wqLsMRqod#$k(d-;@FIbmL7}R7x*w5%=>+z
zCf~tBechcGJ5Q}lOAKe_Y(76t;pTf)`*m*Dn0BN*vQ53{&N#L3vd|9Wx3^j&7e16!
z$n>6?Jn7#nBSw+AWzYNK79W24C_&5d#;Q%Ki_`w=aTvK>xUQKc)9uKT?RxOvvV~3d
zPi6)jHoLcBXXup5Z=vz&`Jb(%@)ySGsXmX>R$HLvT{w%)hJiuul-rEtN1My8Kb!CD
z^1nXNP-R_$UC76S7uX*~c!WCWOqqNu!sf)QG#AS_zugt)9kw!luix^D{%|p$+|s$g
zW%ox{wy<TnOG}xad*~}w@$a5=A*Jn3kECCfrQ*B8(_e2+_j$$C$1qde(pBHGdz$Z5
z!_PwPx)WUs*A|>!c=qGJggp*ZPA8<z^Eviqaj4~~-RBa|_elSZ|Gzixz=u_uA0$m~
zYQ8Q!xU%)vo=dN1RZXkpHh3Z-Iopk^Yt!nOif`{S?PG5UZqK-SNLZEm@#l}Tw>3F*
zm1`-zO__13HqN&v$=v+d`Yd(XukT)HH64_(v|zgNtM|5N?q3gKt(&KGBC@ZBo+^)0
zedoQoRekRxBcZh$OG|2&RQ~7eZ7)2cDwli9^2qGZt$!6a{9b=*{!v~=?>qO|_Vnj@
z=>_je4LnrWsOB~=R`!wdg5{D{dQA7P9=bQJHumb18Alc@XXCdsYWJPD;LYO)4ZWQ~
zYhzPH7IweTpJjgQ$<*Mt5$fNkbl1A_6_m>zZP-<@YSp{GmEXJP7W?J)d_C1sT`(nm
zabT~Q#*(QW?;oa|PF~x8POrjM{4;m4v%-&Sd~@RW#b#ddDdaS{9{&H%GDTbe%^hM@
zzqc_@FqnQtqG`J^M;eQ0i(QmUi;tv{=$u>q($6g)&Dhn+xkFZ{?7&{Nh&lT4yaKy_
zmuCN|o78Y==919stE_LRyo?Lzyj8W@Bq>k#gp9CA+wAK3PmF~`J{NdxK4pAG$c>|}
z=B%l!+1>ETG97%2t`_VTt^2Z_dr`>yWfvN@xZg0DDk3bP9l>{9x2OJMtVF?)2|Fqx
z1y_fr6{c8jNOm#5;oI`v{DGlNMe)^begA6T?U%G`-!q}`;={gj{ohu*AI*zBt1Y|d
zefTtElZ5J@b;fm_LeH*mkH24eH2T{jOTiGmMTdB5&KIZkZMd@B%3J?}i_?{L22V0m
zQ^IC$*`1PYF~9Al$|Tj-$1+~*vt_hl30s$2mb`TKoTM#hxMVhG%{IB3vyO39ud;U`
z|LGV1FTSY#nz?dwUd6xtTTSMj&d^*OH)|fx{RO{-R?lNzV|%>kMv__bk<-~phvgQ$
zc+GJ-o5dlmWfG6am!1-f(pwvfw<sEOC6>#zt=`L%n!~H7du6ue&s}Us&K|p)uhgII
z^v`hS{HxZV=IvKzj?TZC-@A<SS=uEN%@>n@7aX4PX!7ryigJwarvCW!KrjDptgOu8
z*J}3~CtZ5^v}!7^CgTEzN!`+VY941f7A123n&0iQQ#gA5x%3+b|4KL6#v}->k^QY+
zR=)nEzr)(j4!em?p%WAr)y%7GRb}wLTWx*n(;4w)&BD%%#!DCltS2!qdBnQy%X$@+
zR)6DWL&d`I2?s+LZ``R=BlY%g;nz@wg8vt<^Dla%oK?5qA?-~OSLlkZd-m3guD$oZ
z#F|I{Uu*ilf)^j!%oG>>OW$sys-^Q*R_ml6i%G5Hyjew)7TsFYcWdpeCEuR@&$@BD
zr7_N{_v{V(H_u8Z=zh_1@$FNJo2JcU=F|1W$j7QD-gf;<?<YpDE-Rgzolseozvp^Q
zdua!o#G`||`Yv)Gds^{p`6Wh`h|iOg^$tfGth8H^k}kI2w7y$zwctAQ;v1oNFMD6K
zJrU-3RQ5UJvquvzMd<%}IgPbqwP~SwPvO2<svNreDpuD0wmH3T>Gd5}bE5)hPRRay
z!Qtr^?ui=Sg6&D+Dz#hrj6Iawo>`ya65Da=*WZoLe=iq59+G^0--;DcON!JTE}JG#
z*s7jYlC#EtVe7K4_S<bqJd)BsPEUJ&J?MfA-{$8}JbuPseySTF*!1AancBW3r#NDG
z88>M*S=_vE;K$c141NZ4T9vd!lHIL7++KIA%IaCfjR^nGb=iEib@nR)t|wkg5Ss9a
z*-!=BI%m@~Qv-jUtG{OE?|<9Hd}Nns^f%eL%DI0nBUQZ%u5G(6ylbn#;<f!3#Z$Uc
zYLZT~_N0ehSI}X|>o4y=eyqsVw)J(3?nADuoO_FlXY_iMPJ7A8y`1A%?B*ct!_3nz
z&t_7r65V?2`I0Ys>5h*)+Yg-Gf4BV6j}}cc57k!<5st!DvzB+Y{#@mMl;=~ovC)6e
z4F9Z3b63&JqMrTwKTq!Wmk(F`m)gJJHv7he*7c6o?;e{?ez{{+S}XInwf=feFU%cQ
zzI?*l+@bNzd#BWQ-b=H(OZQjz@3OwNF6>Q`bK|Zx?;riNHhFiFY3E%J+gTT$Dc@x-
z=4ms@_^WsLx9=^J@H=X0bINuL?fT%WAiAx*q=O}0aB6MFa=$PSji;A>2wv`6m$H1j
z&-#thMLhhgo40uf*e^D$4ZY&i^jhSlal%2bS<HKGXDI0`dHCl3Wbx*9{hoav#P~D)
zbuaF#mzYp?ZN@>q9s0+!*RODYvezR*T8Vl7Q-}SR-?7MPo)kKA?QHmrA2xhD-4g?s
z-<F@5rt4`a?d0O*DEd%d?W`~7^2|%zCQ*+*C7*h7Va_Qw^Xreqr+vCGBYEqSP3xl9
zO#gU<>B`M1FEeNEc3_ur580DZ^7P%u$85j4mtTnDH*VOvilOn@^@Ci7=E`r4t~wr8
zkW|*Onq#_q+4~<Nva0+$cDys5z2~dyw^t|kDP8%rM}*~S4C_>3H%FsC!s1^hzTK+d
zAhdoyuhNsJc2~T7cU8P@UY_>UWJO|excB@kb2l~e%@UHa|9O$|*u>2Gv#+M?;Cg=B
zL~lv|^-58Wd4jiUTXOV2mhbY);$^@8UU2d8H<1xVcT=vXM~0-e1v;gz{^~Sy#v=y}
zk$qFkWoGT%!yJ+u_|r2YL;T#w+u|k+`g3B-bXK3<piuwsM&}YOzWGc0cG$@Ur7sBi
zq14Hx=W|$PYx|A+E;T>x6u4&}&HVCa)t2KkYdz{3h1h-fPOq#oS!i3QJ#W#J+r<u7
z6K_VJnsL*o@8-Sytwlc<sHZMF*Vx6mZ_fQ6VyScH1=>l7MckUXux;<5yGslotz^mh
z$kuanaS~%t^a|zf<XgYx<=ZRYv$bWiCw+SHE7^YQy1R+1AB9}IEV%4zd}jKR`Q=I+
z+qw!xFP?3Z)Hx`n{k`<`GQkIz?54ITZ{8`%!0zy4zt7dCcl8&lYvZ0p-M=+CDkjSN
z;ttWCohznrr&!+3oYE$@vgRzSYqy#Eemnm5rmK!?#S=tSUMv5qd3Wc(dg8Id%FRcA
z9WoIyD7tw%``oLKOsqG4&wUlpwBGVj)px}Q>=Q3ua@F&ncH@I{i7f|%{qmQo(O$U^
z)3o&G$2{K{_UJ)~9LK$9U#EPkX0mZwlK*Mty<$rfR{#7zExyqo&w4(&W%cL7bL-}J
z=d?;W4_^4jI8EzZRaouMqtUKn_V%SYcfEv6|6HsxN}TsOZT0J*jF)kbmYlp_pUpmB
zP=dSI&u;IsPK!A`YJBFxLaRQ#?fD|%egDpxUB+oAnd=hw{oGl-FMPS_0~i0ty_=Pj
zrffNQGQT0(%t^GgL}BlzWAXA0VY`ofm|W(2kkfl&+Rm!0+s*}bU0d?M)ZJFWNaNb1
zx$!SoePX_Q<!XSvL%nTO$n$G#R^pxK+p@nqciaemW^B{-X<_J#pq<kVJ}Njm>^Qcr
z&gIU&lZ9qGnK*cikMbD&%)9pYw>ppF?PDSir>dWr*m0#SW}Ce5Do?~ptt|oku}fsW
z>$L4J6=&L{opK<`es<p*(aojDpDa5eb#;DxKjWF>A)l6cxZJ#v{G9E_#yz&oVpBLn
z;yex}_z5MRUnsM6-j0Gl^>Mcy+W!c#zl?t*{Xi_3Ikj1%x8lr!3*R5ye{JmHv|(ZA
z{=bUuHRA2e_bd**oFd`#X5ankOXoH@TnK0T@%Y@`H(xRy8kYZl`o(LbP2TBE3?|?6
zuk_k8D>bsQZVpe=c~QhQUAN|p>(+T(H`~l#FuEH5ZLEK57rW2KG(*K(z^cORcx9&G
zrdlf-Plx0a%-t`8OTAv~?7h5KQ)14oKKA&-s;gFKTsdLr;BWFbVE+6Ad-|PDz3V#g
zwPU;Fv*oFiTDW^UegD7ydUs*B-GWs{7nWV}xh`|uYRVC&g0Hp_a}*AA9O`GW++M#Y
zsCae1eB_$SHTfKC=PTMa7$076GdDjYGHtuQ@77bUbDM8Wxz7IXr5ER&unp#!kBkyp
zD-t5wcdwZB?g`5}PDi127oE@k3D$q#lWH>k=f=2?RtEzQE6Ii}m9%LS*dOmCS)$=8
znc~7F_1cB4VUglNrgKaar59;UInZ+Xj@aI9i)##QjZWXx&25<C>ZDc@T&&3%D3lw*
zwB)P9%%7iiw%8@99s9C|H`&&?aB=7JtYvyR=fqDO@jGJIo?*8>?6Y0yY)_HnMafH_
z{qmB?yxZaS-}fQs1V1j@=<8+CSHAC2JKYwxu-QkjDT3SS>a&aMEv;v6>bUoA$5OF`
z1<u!}PI|y+|Cx7ntAD?@|EEhLVH&whWVvcPp8n+ga&}_C?Piu8Z(D4CEW5t><h;l^
z0oE*U+MSbX{us9Zvzn7&a!xzu&Z^haGOvVv%|+t0+6t3HB=&Usg~r6(o9Dx58NK?S
z{f!6A8ykvcX5RZH#T8H#{#&w(Q}JJ#ok5V`a;?_H{j;B+jtH`uJo}8Jb*zx>zxx4u
zX2u8gR(|()>}_{?Zlbtwxx2sUk(+h<nLhLCobLW5)^%yep|$^Y-*G$FTrQM-bSkD@
z?u}T;3aR4@AN$(vEY6SfS3m6ZbJZr7-qZ=--W)A7i--)Ir_X!A_R+=HVmey>0+ByF
zelvbAsP+jIe|+tzw0*PvLY@oV3Z<M3oVhWHsq&luiS>nAA5u|WbiJF?WcHhY<;e#<
zK182jZtLx^2-x&ba!<~_pXzPTUhMcDc=P(ao|Rg6<g5FWBX6%h&9I}%rj_x}y?tkj
z>z3PkztxHiIApv!<dU^-v|`EeV}1XX&ZG+1RHz!b=SQUOOmWKn_3Yf%irxN_`9<Fv
zwOjQ5os4Q(DOqvEO7=9XimmqkkLhVq$&V-QdGon@qA7>%yW6}<90x0=#h35BdX%-r
z=D=-5Uv0&ccV)6p2X=SoCFQ97+pBAQx-#L*K_$C~Og&rycXU+rYZiX5m}Xe9G*M{#
z)ll{6d3UQ!&g=2tu?(4C5;5o1-MnKL+k+(6UVP%dQNa1p0`^;ihu*8)(YT;D@mJir
zz1ev$vkMQL+r~USGM6Vk()3=1&O!d9+$Zu*#i9$A&3<;HEqYFRo-5PUdpkYEq>H&1
zRIIDsFO$1)VMxQjxzpxy&r|hGefym0p^pbA-xjwA=_h`Ag&UojHS^$_+7sq?m1b2P
za@{sxWd9zwp3TL_kG)@F`&Q+*ro&Rzr4dnqS<}<xk{;&wUEKV%KdM}A&$WHOI=z0}
z@Kww{wb@$qRNJ+j*AFDGuDr+O=v>0PLO|l5oN!^wpLC{<dzafhyyGThw==Eyb<cCI
zU0vYhORMJEu95rpwk74%YQfxFZ@zT|ZtI(<wpr(?{PDlKN5b!Knz~YMveEZ>Q#@Eq
z75u(UDRWt&BXi0B_oHLB4;sp(-ar4YemG_|^Xc2#ua~xkX!b6!n&o}-YN+UChi!}H
zm=m|%j+`5lEEN0WhvAxSdUG$!a7BISjcA(E$+%9)prC<~;hyA!ZLI#&k0smPVh_r!
z)6Pjw?L5}v)HQt}^NOxG_Z0?5wYGlI61)=8vRP>Hd0pG?j_XQkg2g||0vh@fs{(}P
zE~}P{NvZogC!|X0U(kC-A>Zo<EA`9!`F@?^Yg#0>_Rcn^Q+r=<^hd1d*VAzHQ`mZ}
z#Ql_T&|jyS%Oo<E?X8^1!+xUrvd_H-(rq706n`EuS$_Y_X(8G6?Y1o{^Izr6(if?=
zNMm~uVftW&eOy#8*Q?##*E6;sIpHwXeM*z3_{x?Yi#z?ry1nl|Gq1GHFjU`n*GTbp
z<%)}bQ!*3wtA!bO8$FZzHA(oEX8+0H{so~vk=!}K3wHl1%wKnz@3p6XUdH{_pASo)
zWdBrHZuuj6#Z&dWP1^1pir>y9ev+sPO7J@U;T@wlmtXDatRzd8-qZT;Gvw6IF_tMT
zJa~Tg)g^J^k&H~6K5h;UvvJP+y{l)%e_65XPqrn5++At#_S4OlW9<j!p5Ib@W6vfV
zD0uXH{WF_c9MAWO9C&f?#;uTdB_1y#UDKU~-dWkKYIgf{@6y4?`+a@cH2zv&TYDg!
zIjp~|HP*!Yf#lDbGhVz_3%FC3_376U?TI(Ovny?z^>ah(v)xIjl6%=chZXAtYWnXx
zKb>pocTUGSt(zMTWtYEjDY<u|GD6rxdwry`h;79FdrZqdUAf-!(sj}%uep*D9yzR&
z&VMm2y6?GP^yByaRdcn!DDUu%Tl8KgV$~VmGZxpHkCk!-Wjk-Ix*{2J`RVmwNzvnF
z;g|K+_r^4xGG^n7W(j({EMUd{PnYf$+;@?&=(?G{?bvA^M~#Xl`_JhmdQRWYWIVxe
z|KvK8tlvwSzHD2(@7|6p;^B+9zNf3+6tY?+Yg`%B&~jP4WXtMP=4-$4Nmm-R{rT%2
zuzU6Uz=?f)(*N8aJ@Z+&zcusX-<#LPBGz)wt3K1)>!YoA$@Zl4JFQ}uph#Ip<7MUw
z?k4{yhCX%;+0DG#)oMcAzaO5ANtr8o4<5VY{QVr4)Mkg@-23JPKA&{v=h-)h%^y5J
zu<{eDe7!m6RKLtFBa!9OSL44pKH{H$HY5MhwA25CU9TpbTI|j7%Wy}+&68^q@<NhN
zK77FAwe^RMsP^Hj7uTC!PFpBnqBi4aC2LcFpw?SQoq5VE?yKM2D?L^0%6X2#>-R%;
z_UMNWOTLTk$*Mg4`%Syj`31*1<$}KP&3L}f+agw3DWUjH(_5DORS|4&f8Tu1!=biH
zd6MUXiRD^5%!Bz(o@86|u;83&S`ya<x!#p6^A4Tk@zi3w#9VMCdSmp=eHEEI0<Dhj
z+@P@R-?O(o<~mFB&qzjhs5E|?@I`oH0ViX+`mZUcFI}E}ZR_mgk?(cWnj}0Uf4wh1
z-Ez?{t-#gxsL$pVYzs8@yqh*D#rv?$@<o<+K0aKqc1OaQlC*%8dji)r>?nGY?{R-S
zr_6%_i<>vC8N`-5*<N9KeBAo&6Nw+w-#!kOkXYQ`eD0e>4d2A@qpF8|9XVqp=3m|D
zA)KI6U&Wu*s@}}L^w_kBJFyiHu6oy%Yg)ZI>Jt|u5ueDT`2X0(u=<UGi&EDgU*EE_
z<JH}F(*J(nO3nJpw0?2W4BNCz+Yf8~dA{z1jHTeT0@>m@b5|KIGymwLI%`wW?A7nf
ziffeC>wKzrw7+7z_wJlu$E2UAcbC7H|LJuvRm&?&V8XwBO9WXwzRfy%QZe()l+`PB
zPCU9O$t~sgMU64z=xg8QrmWeM{xQaEon_4t65!>v@N4Kg^%;$;=ggYXa{YVrl!SvS
zUQMw<=Ss5Pa@MrDl~?u}xNo}Y7i_f5DeuLRd%9gBKkxo}`C0QF_q)*U2k(EqDiQG6
z$D!%*yIptMlPwDug(YXRJ(~8AtEyzGW_*b2<ehQL9;KMZveu~ZZScu2Z}wF^x2dgk
zLBIrFVOB-CHp@Bpw_jX3U!-G&i$Y<Y;SY<R+Q^ChDc`%Tt^Vq}>0Zl?bb0^yXozUT
zNs;5Kv1cFLo9XBOJng>Gy@QT1%e}AKwQxW6(wKRrq3m{>SHMN}C-$3!H?7`d{dhN5
zvyGVC?}N7%IaxI&wtTM4e8H#48ySCAV&09^&J~yP9X`M8{FZJcAh@n)hL^&I*56(i
z_^vPpMxV*sCm)o%HqAF{ihIVHr6pV5-d)0&`~P6ihPe;oPVIkO!e%r*SYgwCg_nj}
zvz7Zam^QzC8mgX}9aVeVx$0A<M?>nA_IZKxD^8v^U2(*ELX<+na<zciwUM<UP6u{g
zwm7UL|57C6)8==9Uk%pQUf4cEY|)<MU#pv?e@ckH<+d+a)+TmljpvKqHSy-<0Z#n3
zf9_tMQ_ET2SMR>bFF<i-LUKQAVdqL`mh}0n^A)sfTXuUqbxt=pdS+&s_iml5>KTvs
zzfNzTAk@rZ{WID_rvGEqi|Ow7FWWr-_weg_eLm-k6r+@oT^^E4vmd>GqHp8K?|M|>
z{OzjZPshu?yWIKsbeX4Zy+*9uI>ld2FH^PZ_(Ug1+)aDxoaC|IUgVABqO3mQB@<Go
zM`o4&Y}&|F`6P77nVa*{`i@1v_E+D-ZE2Ns<j@k%$#V-7nDnw2@fFP6F~f%|kzu9p
z^6&oZUrg+tXE*ajoxeoYt|H#m%9?j~w4^mMd_5#p+qJhPZ|8z0qxAV({x1v9IXI*C
zh29;FIWM+6OuP22aFug@jsFhTeY?-7R@>aaBiI$?c-uSP?rQU4k7b5=UpHR4@i%z4
zMHp+v-Q`VJGcQ(@lvgY{xIEG*ckk0%d&8|BeLeW??Y)f^lTAKZ^{da4P)Sc{UMqX#
zW5?g1xF{d9NmrZK2;5e<8~)PmRh!I*`A@bo=-)LH&X5j$e)WSmYeb=;wC25Cr~a32
znKIwT_<HMvGV!f%>ns~njc<QR`}|o~sPTA)^Nq|ItXI>lJhU<%Y~ix<5Gwwzre`%n
z&!$-4A*FIo|1`y5*6I-N>@;nzklm}B4B91+ZV~@bnpF1b=1ZNZxi5?xE?1Xa{B+>e
z?@7LS`Ny-5PM<Gu{oHOBlbIhaT606re*M1XzGL{TOYZfXOw!+I?$ZlgVz{dMsoB2e
zm2<R@+!v4B8+QAM=9iic+_P#VU)K19{r>U1f_vAinJmxO7~Eg0ELr#YTTF)Cr`5$<
zjKZg`nO-A2`(^14CAO)rqc`j9J8@R0>%N=uWfSkUj>|HBFId0e^Btybw_E!jt9)-W
zo+NQv+`V4^+4D&ck8e1uzG2(n%dhPp%zhF6vPUFlt=7lL_#;;nr`pV1v#?g7EhzZl
zirLqnxP>hH==fsQD!~Wl&3{bd?my+IyLL}OQMRYm`Tg7KuZg;+j(NrI%Zkj~Z^3F^
zvT~{C^D9pm^+&$zVA$7ab@TbvCl6n8%7*Ez{vD!mY<^Pqs(W@(9OuuJR_V&UjlRJa
z(ezDrQ^Rd5w-uY*Yc4VS&61m$CNzPO^HOs=>&{%x@8{nJxu`7v{`zPfN65xSv$P&{
zSNJh)FF4q_@yu=cNZ!R+d`oQi6<v~g?C8>Sd{V>I!(8@KPTnhZ^vl2X&r8@Qz2ePG
z?wK_@Q>L~>^UM{PBeXbVTGidZc3)GtS8TmAZ|2KVj~hQ%e|Ye}<%oX2?B->{9~v&Z
z|Jjx|+i{8WH!jUM;YSn8{r{if*}HePQqxpLDZM#2@6M96diByPq+sdN4-;)ZwWtYf
zun-T}s?~5V`_(pskM<6>tGAsDy1RG5E77ahc<rV<=$!X?pN7N30Q2CcWjUwb-OAk;
z7kKvPh1sFICY{=?CN^u{mbBehcQAaN<hthO$<kAK9YWXN^F7#_CA~Cky4|IJ{oM90
zm!97Ce|%l)SdZ|754kV8OkJvS?}fea-<S8YP~@|(-j*UqlhcKdtukXauh48i>K)v5
zx4QdXuG`P056#YfTyQ(DMc41g!f&qsZ!fso`^BtEV{Pe=#uL&DHPUafinsP{4qSAS
z?OR7vhs=DIHQhP+-IIKK-ptr)f4P7E;-fFE6>R+fm3?>p&^7Pe^c{t{WkS78B5}uW
zeqj7yx#Vqt_JM4fp7l8#XCof2>UG%^@V=`loXh3hLdDL1`Udl}m&x*WHFxJKYnU%9
z+v|EG+t2o^=;1%hgQ9)eR}`DOn@BBiPv0@6XJ*$FMZR?Q?H$6;LfKZ$Tdw$&d(PX}
z`@W{JPHcIUnS5m*o8-!J)~ok2jPlR7-V*q*E9idi`J)GFY-ilKHSw76s>#mk$4+(s
z$mDz3YWVMw$O+}NN47DFvrlh!Xt-ke{+m+V!}s|Yf6SQJ|9O3QNavO_3yq8yT`G=v
z@vx+Cy{P@u8IsZqjH`S${Vft>-M8&cpGM?@gx*89?uIG}JX>r$d+HnOD$nO7e1Tip
zdT+G3erKN0S?(xu&10hN`){?yZGkg-vpB*U9{7Ejyvt|j$yq(N9FFH1E$<4=w?20L
zy|%#F)b@-!OH1B(H_Lrd4;Goc)<InG?39_?H#&ZK*sOI!+T=5{olVueE75b0afz)z
zoB2~OwWyJ)>O-x?Su>TsjKEa_$^K?-JUrT8R%lLqzA>)l#UBg79d2L#&r3P9eUYK-
zsVRl~1pY3$7kusF+oM-i9{xOX@cVMRYw-$3pUQ$Y`SwlLG79poH5SV@uE-0TpL}1R
z=Q@A*_4Kn@+5#Tt%X(Hw?kPQf{`0!l)Us7sA6k7>{_bmg|9-cZ<khQ@$t4!M9Or*{
z=lV?deb+9NCBEAtTw->G)ppeUT;G>>M4Wl@v9+^KnS6L~`ONPL%S9txLu;3Mm-g$}
zPC0mnvm{=~Il9xKb=|}K_v%}=re!|4=)!z-?G(mhmt9t?-il^r{XZr$Wl^{Gx}}=F
z8<%w53ez_-zSSk<5LsohUDzP(*=7S)QSZV@y44A%PhT-H`pGFM@=|kF=w4^$?58W%
z9(RiWS5hZ(IAE{p-u2I3UWizixu7ojM-Tgj3x(RMPpj?tMT;$ZS<k%M@!=1%s`Uo8
zYo{MQHCy?cSER#hgY0XyHOhrP+V^io?TihTXZ0=Xd3SJfuWrcty)&=zT;0KFTsvcj
z?B<u--`g6lSAQJm-QT!qwsEV*)($J5q<aSo@~l5D$Ps5LySnXn1^>!@bK~pVUftf{
zy<BaL!VMGS#>+2Oh3uQR;FXq0tg=O6;IEMH=eE`Bi{JnDFI?fuwu4+6-|jzSF5P>X
z+r&9e{#2a5<?C1Ws_zw~-g3DIL`g|noISidI(x<hqiSP0`%N={%J0hlx>ECy0Ygk@
zG<VUuDGy6>>?d?LFn{~XJ>7AF_@DNhPCDXs&eC4_KeJd&H#L@Ny-5C^kZi&2D{eh)
zUE*TdpBFAQHA_CypOKsTJ7r?ujx9ESOWi7FU0%Xtc+B;f{neOn?B^I3Tq$KZW#X-Q
z`)5w~)Q#PjpG&OhUH-*jO`mV_*|!(+Hae^cIx4m2`eT3Iei8Pm6K1C=KS}b6T_~)%
z<w0-ri*KUc-=zvFu5AqM%==UIKcr&kAE(KY%l6&#uQ+hLS9j@&^6mdCbe1kJkF{vM
z%$RgR=-nIUr>EvtUx{0qD(k1WZ8n$Eme+cce$0&9&FoX6*T=0o+_e3_vxc<U%T4Qz
zUZocLKEAed;pUS^1V#GaZeMjk&t-x1pAerPmvXq5?c3d-^z3Ji)jURiM<=I`C5LBz
zZp+jzz1MZH^vbd&CHtrMC>i=GovZ)jmQgm_Xy%u>cS<g5H}_t%sk^;!@{R0Sg;Gz~
zE#_~t2tFRQ%Kfz7qlG?3xBm7h{5r30pi=j(Q+>ii+l~M2bXIX~oxw8k>YLs7Y@2MJ
zBrw=_8mKIr5}8(Ly8YusgH3C$gm2p08yd{Ry6~cjMwNK<y{)?nrcGHZQ?N*N`>{hF
zf!<6XGjs3U3fX3TV}Jhd&j+`)UT0NN_MDfvWQy}9&ilC&A9{ay|1|YjwONqUp8hkD
zOzpid{w3|&zeqSvXKzGuS9;bXUa2h$UmaJ>_UYJR))R6e;3mtAnSa@ebrLRox~CHy
zIJ?}UW#?TVHr3|r@NoGxe-ytZS*$zDCR;Nz>}sT^Z1`T?%X?1OsvLMEv*^ShvGs3W
zpH}Os+iq~ZzjW?}n{|sW+t@f4-rL!4eMLp?SGd!xxtkwe>-xF!O_{mLYo!^g49}iN
z?3w<eZ++kS&^ey36l2BY&ab*WN72|TV8!~=MLPAh8y0Tloa-9*?TZgX<?eq!`o4xv
zSgx7&Tue4P<LI+@sX4FRpKRctpZ1yk*Y7yZDbH_dAJ#r6-;x<373CIX=e5zjEaueG
z*qH$)bGl1^iA^*X{TgUpw&ugNd1AsUcjebBPL`S6prdjill{VkoAK(U2bAyE_e@`Q
z+{;x@Z1NESoBw)DTlrMJZL;~X?77t4cLEEqX0C8GQM?oWcxU1A-Tf&~!}SG@taglj
z)uw$(DDld#xMf_0d0J<9q6MB$E0I2~k=`f#@ac_36Srx6u_%0y9JE~ZeGbdT0FG7F
zO5fl8o9cY$@mKGgnJrWAFl<-f@}h^iMZ>*pwV~7LTf*0jK09&-Tv)%xO5^$m1BRfp
z>pv9Do>FXB@;~V7M#(Gj|7S8B;^hqe5qPvO^z+IktL;8}q&Le2E#T8H5`B|on0~N9
zx@r=`^gpU|CcSld_rLwui8;Y<k2**32-@-c@4NDnrDW|_3-${lw!w=Jtx_+Tko(PE
znazKOd+4jO$Bh4OzCXe9ygG8<DXD(VZl@ht2h;NvPUuX|+pT@))}dpkZar!;dM#3x
zkR>7fX>-GM-Y~|g`wunVJ+XFz`nFHXMxXsFiWobE54C>k54x}VEBR(9vqS6g?Q6AW
zS*;IpI%C~DBWREEv-uK5FN@wqox4^nu(Bpl<fg6N#3yCbOz*C^%Cab>{@a47wF_Tn
zX9c-&h_Y5GNq!dVdg^kZAgKTNvKdRyFnfPe^>`@wg#W~5`+VQp8Nt3Yqhmw_O-^=c
zN%B?Max(nPj!?K8v{A`E?O(5Yz0*De(bn|9+p|^A?X$@|I?d7au)1Q`<oV|(v|f2`
zeP3($U*=;={VlRy{xfQR)K#i;Kjwv^oATr9w;48A1*~EGdd$;Y&uZRxx4vrcO<I8x
zOOCLz_r_Mc*MHkKrBU~{*oheJbF+4Lcz?>@b?^1R(i0u5CBj7wM<vge-kCC0=C^(3
z53Ua@(<SQp*OuMO{PlZsjFf&-=lTA`2%CAnJ(2n`4}|8F=5LB&_pZEquPS+!yhh32
zO>Y(|hFYrq?wKuT$$YT%c`L7(3ftoA_6Jp}xo2$p!1aE&VQhMUM)KOpOF#VI`rTmG
zqRvkfpDD2F9nxEsx_{Cp<HcU@dv7iIug1A`#+^qi89Upjs(JQWNEd$U@X+=-t=;Id
zRxW<?ixT|_r!2#Ea$aozD*r@q`uUcxCAq)1oSGUdlg@j@#4vBhgZ}90D;dr(eE!d;
zG<#LL+|G&|9hon?HV5)?$KI>{|5MTC*R?GZv(%5id;8b8md~L-tYwnO3J33!Pwv0|
z*T<MdX~ytPwKyhVeS6s(XU`&KjX#Yl^@_#!)_UnUwEEaSInsG^)3%f0tMuKrFIse4
zUFplsMLUG=S%k6fsaUBIRkdYd`wa2F8<-ch8Td$<T>qwj|5I4g^CetGxq@$(pM6y=
zP^iJi`zLyC%k*iRCbMi8jePlfir*w7;iZ3Nj=hNPPqf|n=KD|Pr)w;CJuz_Em8`yH
zg6Zzb#tmBzz3RVP{r2z{n{}-<^44$sEcK;(&+jqtPU%-T9uYrz#mYDdwXb%)PbD3i
zj;HQE>-Ve4wOA@&=b&2A+{RTBTRY|-TFU&tS5wXQfW=GQ%Dt&!J7pfu)!IJycY}-u
z$D8RF=LZ;^(O)<>bDF^Cspl4~+ctCN)!>O6wCx-}PV<_6s_&?`rQ2GS124?#@0@!2
zR4-y@ul5Zemg$?HHQ$>R5%<opZmPxCZ6(nH!G0aKDzyn01g^ecn!2pORya)K_x)+I
zYMN)C={Cw|&t-in{d#)Tr~9WrX{@t4`|-Be-&7SR_D#|*mn8B;{4H4YT^qT$AL@tn
z8Jw(*Rp0fqzCTD;=75X!o9|~e*Ed|L{63d)r|>j`W6w)}vR{^7WpLL$e~qKct<C#h
z%W>YRp7-(Hwm${>ul*t=4KL}glKtZ2#w2!6t+4x`n)#9?Yic$~U%tM2o3L3*i~YAl
zyI1L!a>sA@_~dFHPfq2c-`?(Bsyl+e=Ka^=jeee;IQP!O%1iv4&9)}>B-OTWxq4;A
zrn6ml*?jqy95^;p?c3V?2|gc>A6ZnBF|W2d_y5ifJo)X5c3-*JCC!*VDNbw4-Q}xg
zN@Gqr{M&KYh3&qy$gWw}j@5oUy5Czd%+7s|%G22yGOQ;GFRbtDbNX!SlArXgYTcdF
zcNK-N*xxj0(cQYExITzs8slH{F2l*3XZml{CC=wMWcPcX^->Ezoo=fVc?G|gOFy?t
z&hV6f_Tb^Rb&2fWcV^AeiT?F(8H@c7*T~iB7oYuEviI!slTSXbzOZA5X-Vdh%U|VO
zEl(D0mR*|5%=_}1e*_2bk$HM`fdw=7ec4s&!Rx?#OnimKhOqkB-ic=4MDDgJ@(A76
zO?WEN^<{-Rcca*}MM^Hya^L;5zEH`p_S7epb^X~YsUxQI7X5s_`1=C&e%JS<+e^N5
zYIqc$bSs%MRiW$a+i$7t@0Uu%v}L=e+v~?&+1}LK*Q;8}xWhu9t$P}aj&$JHK+|QN
z#w(rg7gni0^XGMu6!>IhzpOd&*sq2A7M85DKAaX*y==MVjDwv8?IOZ^-`w_kEdG4M
z{OgWhzc^DEID}l{7iKU7RQXPQ{ES(8Rs6jh5!@;3eDa<CRX283l(#Pw=gVpDc5`Fj
z{_48P)r0Hn1Xri<bXR<r+bgQuo>6|*>b}Oe+PXCrZ!hmjSexD%E#0|B{+Z#si`)WJ
z*;`|*Dmwj>*}s=>KNB|Fq4{&)Dpt$9<@36k4K$8a<ahFBOb@BJGxtsIDyfFN+GF)5
z+AD7|**vx>vtd8avU4AU>+%beuHSc^p~X4nmgRr#)OFo$Z9;mtof{Ww{;`<y@NWDD
zhAfx!MJFDF*e8`OerufUQ_{$)wYZ@%HuB+RxqAL9tXBWI^Rz2$mMwlYVfT)lGL`Km
ze;jV@Ymrb|U=nEg*!Q3GOUFskOutU4&s)8&=?;tL8F3%8;G1vE{#fvMrMUH6{jbaH
z6lwAD;g(6_oFXRcTw3Cd-(352PGaiu58Lm(HWPQPm?gB%_1-6sd!mZ6Wy-r-J_+hQ
zeULqWS4*Ix+oI0DRt?J>KB%uVOn;nn;cLRXDgFjpR*k>K;*YawYfYJ0ofc%sn|--z
z{=VHWn$-=zU+l}W@-a(b&ON5l{JMDe1@<86>HRqjP25W>BIOvX<aX}97CiS%%Y{h`
zwW4bdiRH#;=`B@Pns+(&7UNp+H=i!C&-(gt;o_9d50^wXch9f(TDdtoroDLSui&Sq
z9T~fq-fVI`lq;&e!TVeLOjY@iG6BWyHy<%qZE*2#Ha9-<!C|_sT9?-6-wAn7&KzsH
zWb>QH;^~&v3oCvK`Yp~nlQu;?E%;sU!3ft!jNe!A>u;XC_d>Is-;s}&UQ&C-O?!@9
z^H1ILNjD|?(AA>UFYVG>dro`xvBk2lK65Vl=*~3v^4SiR0q4VQIc<0+8y<+2+4{i$
zuF0zN?sd^ux4o+HsS@6N%kz(%h}5RSHM}#oAMHOXEF9SN^5w!mjz4<;#A(kE3BKky
z^Q3&@y_feyrpVc)KbD<3<DSPg#o}(`ssx4y=O%A>*gx&hh1L3-3tDxzFZxpaZ?)_1
z80r7(<%IwHaxAeeYCpoh?Xp<UsYc!wr{1lWJSvZ-|9KgBZN`+Q6W+%&;|q>G+A{gh
zz3}TPr$jHV+UOa@A(qiA-N}^cDRyS__2qs|M-~a0yy3Hcqp;-R>t89;cD>zZ-nD1V
zk%md}CX5$*w!HbxdhxaRzklIjqA~CG1~k3AmcM5or>p&{Hr2Ie62G=A@2fsyZ0Mb-
zDYNXhx}*|Q$1*il*7YAWdiQS(cwUsj_dU|#l#G$FaNZNu$cr~#8ZA`}I%s9HM5y5`
z>%V2&XGxnZh&9<ftKoOdPj23I;hqOq+IH=m^T6m^n)^!0S2yprSbw{JNOkM%a3;Bf
zKaM2^Jzl%&)#Jjgj`OzuP1>sSaLuzo=9S0lYpi?D9AIvZUgu^w!|;#kxx&SrUh8!i
zEi)2Yo4s4$)wk)dZ+t6#^)J`-_s105$teeXWYsDIHoal~bMbe=y_keGp@(`-X}{I6
zHaas|fW^t8c&B3LU8Sbir&gK1;&xx&K56H^&bj_EPqs|eJ|(l{-+u?Yb82h1?pqw0
z#s5+GP-)XNnWUdLbN78)l4}yszN0UR%c$&|;@bY6eU*$eg<H;Td-PPQ^N0KM8H@RI
z_x3o5xol+jGoS9(`YhI`=vTMGB!0youI3M;_RD@-Bjy~L{wIrB^vg%zjDrt(PnYa!
z_^oH+an*>??qj>9k?~EN8-eeBNpvndP?n~6>23EenP2gIi7VwYD|E}g`ev2SnE4<q
zamN1Fua9)TvFhA1`Gny5s*edz8W-3&=!%CuNc*&MFK1<AmiNKna*hvbA~Fx<*K{Sg
z2>gjo780EM`kDJ;z5Znu&njD235K02UdQF4axW!+_01d>EtMyx(mMa<M*HjxzPoCT
z6N~+v0}oEkNUC`>XJz51(>!aR@LW5#cWeI%4!PH+JGfS<Z+Udj)yicK!-eP9PoFDg
zJS&sZt#~2OD2I1?N^~jv2bR;NNp90juHWLa`l(hiuhsN}=sB0*bng|%*88|WG!vJ(
zXHqyf>zu2ic;bb7Vatr%miDo{*x)%&SXLw}&Un8~XYI~O^A&S^PiC10pKV#;&81__
zdhy5l!yo(@h1vhxDV@Ii^T(F7*ck!}i_Cxas&l0NJ9j$NI8ux0+AT-EIl9{pa<=-f
z;`$lI)chl4{T8;D*Q~nApK%xQT}WEfl~B>kvEU?o<C8Nf+t)qvyE}c2uxIU=53$y9
zOV`eeP0_R}Y`W7lE$x6#O2&ja_xB#WQsuYGT`^0i<yMsfht~0Qf!NB~VZ1D^i!HW_
zEz|sW=lyog8(NKCulIQ^=gnRhB6_81&&{`|miukK<azk?8S}|2%#0r`UbT|@iu%f}
zrrX!FbNuJdE6Z$tw7+cqtTb8aHz9k4l6jxr*e)TGRlQ+1<L|(-{a-8Gp0d<zyR7(e
zZr5dYlkB?;n%B$D&sOI7F#n&1L}kzC8`CQO_lMv3xl8euVR?RiU4(qi&j3-5OwT>K
z45tN8c3xWU`CnDG@WkbkzS6}D;`jF@oId?J#WP4h-{|R<Hy_k4NZD)D=U)1C()qID
z0hi49-tecYx6382_a9*qyyO+M{`b_48r!m;79aWbh0DhD{xYS9C8zptZM+n&!Xe%g
z<{4)`J-|2S#_t-LZ}$$on=!S2)v<qTMa|6K@o2n!_VRw6?6%9UF}LD=O?p)8Hi2i#
z_PSRWVrz|)I&)WYoSk~-)Q${O^{X$9m<>~+C-5!MZMtbOsqEi$+f@Y$oynT73O4!A
z<%rMRWFr~N{-IDrO<dDAVBL<C)(aZ0!8vCZU5~K)aLaVAsQ2A~sSek~yT3;J-{U;+
zMBAz*JlxN7(pD?mGso0T<f`}2^{nKrTzB~pqg+?3_nakm6I}R98j>ev+&_G`z`N6W
zLg+D%lCWaM&XkU0weHeB(@jOzUuu%<>rD>uvtIPPzjluEcfQ6Q?k@x1v3qQrKdFDt
znbdXbGe4(l+`T*hq?GvKrc$@BzUl_s&#*6Ro~*;k<MQZ**OR)ldvqn`YOmh>)w1sK
z)YU?=P8_y3(`^4SthljuXNXWLqrTY%G0(m7M)NI<7Yp~UdT1l~<AOuO&F7bGi?U`u
z+K{OI!fJ^?_Co%hntbjisYiA^IU1%WDjOy*pZv{c$=XFP<u<<mVtsg))Yg-n$9HD7
zys6`H&A0wqt9!FVFZsQG{Y6WzM(vhHi(g&h0k>3Bf4m8b()aBNPYPzrbb2cvA$tCR
z05ki#kF4R`XK$uV$u^#OV&^(9zFiHI`ik<D4cJp_o?iWXwbD@ky5;V<S6wf$34Z7Z
z{j#EUm&=62$fg_8PvnEHwH3M@jOM&kEPJB!=o*`ZMJ}wae-}k<;SkmT_=7!o;@+uT
z{2n6G-YH)u&rOY*dPeX;%`5*`682|!>sKyZ@@}u|ipwiDJeWEyGP^o|!&iyGFqYj7
zHpu~R-|UQA^jyxZTuD9chWWa6<r5otguYol>2#X(YG&Sk>zOP`rjol^zVKKCPd5uo
zmx|@;o}VEmd3$puxAciUlfR2iKXOs`Hz!M0w4Bg;GxrB4H|FFmx*!w0=bOc&$KE|_
zOKqGyvnRYXVlNC`tGRL2p}O8n4&`aQTO(5R9KPN;`pihQT#Mo5j|A_R8>S>PxE(xM
z_~1ji;Myp^Q&FYCt{Y<Bh@X&n5_!X{PvXh??j(Chqm!3ZuN;=UeBNz?)V*Y`>GhXC
zSidddZY!9qU-+uXYuj0;hcDQKRX+<yH2!?#%<y>HWR25{(?2`*B`#4oC4J`5ri$sN
z$v)aO!i91A5zj&ykG#A1XijEas=CrPy){4buC>R^JQCA#`|HcEv4KI~m;YNk%Wa2U
zXi9RNn-<Tto$+_3%$n-nl=3VqrTx9om1mplADD+M&|P<KV^I8)-^z=9TDgu-Z!PPs
zcdt=<;IpOrPWC#P^o>XUw4Ij{%$TxMXreNc;HfX&2hHvVJz4lOC@t;sgjFt|W!{AE
z{=EK&Nh$jy%ZMBG&y2%`8glwYKZiu@NsKnSaOcLY$l@a^o?LaatY%sMVGzF0*2bu2
z>t0%ry4A7s`1x(`xkER9$^Mo5t@Yx;qsvog@AQw$UMu|V;2M{+@rDZK=9!%(+ub&Q
zUV2v|;+|cLQS^ajvqFW_gH`S<blUsiyza@v+-n_wY3Nj^DE<ClACZ3cwC4=X7zzFz
zca<K!`F`%F@Adh!bepc_2<;1qRa`CerRHAitJ817Obr{FR`|1iW|C!{?lnF0#0kN-
zS`s#L4fp?+{NLT9yz2Ja6+DXd=Z`M;m>-lb_*ha+bVtXMjoi<|tR_`INnbRvc#7qx
zy!)^FM2~tE?w=@r?|EGPf|=S^y)0WMZ8UCE@>6f^jd$KACB^Xhk|FD=)%TvXDE_z-
zwfPk1;SJ8;PI6A&T>fX7)-JYVL5l3sK68Ugq8OKdm)<Dx;M;{Sry_z5OR8sAoGp(1
zQ!G++HNaG3@19bPwqucVb=bRhgzhc9Z~dG7ljrI=f|-{8t6FC7(lgyTH+Bm90{LfL
zr>{>A<P5&Fc;<_fP4yK%ld@QYKV+}gDqF|q=Ac;Z*}f-1@J4C!KkJr~9htXe?PKI-
z*v<HM%J*G;{6*D8RrYs<4*MP!s=QjJR{G26fL-%-dpYL9n!PITdhQ<lr~Je(<)7Dt
zV11u!nydV^HyPI7y&d`H(Fey3ZMWX)<}KORQR4EoRpi+Wr73s5mq+<c43^AgU9c#2
z&#rR|o^Pt>*>`k`zx}o8Um2W4ym<UAdP{{j6zB)PZ|^z(HuUAm;&j_nJv+liJM<<z
zX<PBWV3uf_NBXwo_Dgg=*;YEL=jtj8hiFJ&y_x)Nk|C3QQu3oqr$0pdPu#fUMyu^n
zk<}a9c1;yJ@Nc7hA<tj=CzsL!zguJ^C0>X~bqtHT^V2%&f@DqTl=hP%%hjf`3cGH;
zy*Qvs{2Zslo!9rz`=`e!mYDt7a=&g##i2WkWd7P!#Bv2)GcL1y-tRCq+korguHCgi
zE+*vti);P%kU5*#WAV!4Q{OC-&Z#<ennUgGIqmWdFMZ23On3QoPkJ+@(c(&8O`iTc
z?z3sZMk<_YYcAMc)OI^3Ghb-B-wKTj@6R!6RXC)Syp#MM=W=Hm$NAC+vnTKG2%Wz?
zcW=bDv#<B2UR~L%{C=aayVr|VD*di6Z<c(1@<*tB1N$P)XSwM;`&lF3h5d4Fk-l+<
z&7kv9#qvszv!ds3KDm1F?7=0vntsw>PYNC4E3|AinHaykWQN8Kg=@#;&eVR`d+XQ2
zPx4B~G+FZU_53+x_eM)Ob1F8yEbJ`_m0G)^%cnVDugF24;|i7rd%JgX`&50{{$ig`
z#NOqe_ic90_1P->HtdP;sbDAhxBovBCp{LGxm;&9jrG*TX}e@i_`Whdp3n0s^|Ox4
zw7<;{;{ETOG}1obS$SPqTI|4g&WYz9Og*yVO18by{h|$97chTNkXTWw5;*_CH-{au
z=Wfh!;r%OmtG;`eh5UjS{qZjuSI=1=S^RmXc>9%~mZuMf|J-&ukL6*dxG;}#-7VHW
zp=M{d&?EQC!tCPs*Pn4(Q(<meAh$SQhhgH>PiAk8g?bLE7v(Ze{bd_kGMU}w$e!v_
zmA*L=tv9DDN#`93RIbg^zL3RrOuN+PxOZ#T;mBExf??r?Sw%mhuY1f^T9yBR`~1Dy
zKkMo*aT#c@VdI>p5Fz@mSN*NZMms(gwrf-PAM5Rr-R76@{#>5h`#olxWtVwXE!ix}
zmJ)X9p@vh`#0QV=J-?*>v-9Iqm+G3y(+ktrD{u=0S{15(mQbG8kz!Jx@b*!Me07ms
z?!RSW=M5*TH>}PSJlt{9zI=)8DUsRC$KK8Dnc{Ona_PCpN?)ZFwS~@Jd$#=1q>t(L
zsj2%JxL1^Luh*Uu<MR3VCI-K~MFHO(^P>Otv~a0w%f}YP#3$WX`J;Y=#db}>m68?G
z=39MVm8-|79-3OaZ@1V-*>f^_kMkGFP5b?C>HRwixq^v~cVs6mSZgu&l$p=l3c0Kk
zQ$C8!<3ID_^@lm1<#w$Q`TXY3@7ya#8nWN?p4jlt{JM}WSK&3ong!)SSK1VR?h#+M
zVR>q@!S0`B9$$_{y!BbGyxMg4Rj-}Ga}TDz4w_r?E1dgO@Gm{S|CaT6C-fY5bn;BN
z+!(a=Lfh8(U$<{sS#buhxv#GK^XqYr!`H;@+!=n{-q<F~qa|~GyGyuzR#M9Q{Drfc
zg8x@tU@@<-toqnoYg4FGcKDNb)bHi-_Rn>Nr<Lqf_*&g=6E4v^@7ZNu>!0gZOyWJB
z)UH&#vr%A!ZtmVXuOuJKFU7kp|8vR}eHTCG=U4Vo`C8e$4`BiZvxM1>n8d~S&)>hG
z{Ow)MZ2Loc8UI8+@F~7Exp`DCe>I;)+r8(ir#8>!pMASh?bY;FnKr{mb3R*_<g;0y
zEsHP9Se|}+lI;vzZVgF>h|Gf1yDC?&+w_iU@<DMXE#D8#|7Pw8nzUor^GzX36P;3X
zJuYW`yv?%V_>ZinmT9>R4a!C-EOVZ&;yG9rZd`eM(@cghKYaD0(>0yzJd#(%WV6NZ
z2y`%<cQhtdG$P`pfw!P&PyO@2&JCMFrIqi0e7H`4V_qlgf;80$YumatG#~lwPF`_b
z&+d-Y^WTDddHhS3eq@%ayvHH3^XfG|<Kr7{SKf~Gm3v}*Sl6ykY7bXz?2LCSW6u_E
zQlG$JRb=*MrzMM7f6l>4#;Z1HHq7~0ns?G)Ay*<INRaCl-_t!;1Sb_f@sysTAfMPU
zpWET#lCwXIjAiou{~8Ds$cj7^)3jqy_7pSqm>96()qEDtxl0#tS-MV~cX#H?oi-f3
zp;I@-d^EV)vd3bPz4&cYx$s+412!lwnR4Ogx1J+gI7B2o4Yhb~-Q&A&Q}iNWqSk(m
zU(s#nTOvMYt)8c_T#5NlfATh!h<4V;#v)4`JTEnSeLAHX)tV^1aMy7o;|ZM_HmukG
zJUl4Mb&YHL*62``oIvk{iHwE`CViPM1$X);c`~lqQ`CL()PggbzH|Eemjq@Xw8-K0
zSfTOlxW{^%{_PH@c6*yE?W#U5biK&iHhNX{2m6>8XO>#NxFEM)=xJ5XM8@8v%l4Zl
z^DSPNrY`;L<J_V>e92;Y@dow0?hpT#zVGjzw{O4Z^jytjbFOckA*yOwwBW9#t|_~P
z_64PBOFu@dmefrB`^WmIoOi*NDV8PfE{=20-OjUm|NnITr_Jdf-}~$|tWW(AdLv0}
zt9t4yKVMeCI##Rjug8RU&8%wo`OL7D|HqNK<$*HiYI;^ieeL8bJf$$dy!U&B<%<V@
zG#I)n<v!l{{p9~1N1pb*g_*3=IM};HLxcV-v5>Yt>O3WA;h*!~o~tgMo~iuauUX^n
zQn~!yKmX`GRku6fqZ-96+4Q$qD&(!kn%JXOEUV7e-D^7+`qd|~AvyTHfzEcnPUbsY
z=@xFUF5i~WE?lv3qK)2Eqd&5XXSR4aOg|=~clK}HE#A1L408*EWDQQwV!!=<(ca>@
zUzcjHKmDh!x-Po*)zV)-zHIdref#o4$CO)dsvYlj$~<bl`|*#{yKK|i%mw#a8t3Qe
z$+leBu<_QMjzX&juFj|v^0zb&->R5ly5~ukX;+K4_JhAqix?lNCcIO+kQ`9(-|WDI
z*nGcfn<RDAD<d9WlAH4GdF#a#&9%PltF7+LU8ynqvC@q>hG7jk-~809S03DE>EXi|
z)zY;(s_~D={8Edmq^{yS-L~ruTwcT-TK#9w?6}ZG_qUyEp59aqP12Y>bxFR2y6+{$
zcik>)m)_Fd_uE`zPf~mK{+zJ1jQ&LFxqM#|Ue^9x`$_mqnteWxX`f%#>s89#vB}l8
z8FDR#*&7Z${8N6x>d3BREoBxT^nPX>wW<I9{sqg$G<Uy%KQrU6ZRHYRb=lorHnCmk
z$nupMHb*~gnISQqIpb{6^#vV@FXA>a@q0>54auJp@jEs-t8hjsOXBSqvF~y-3XZ<h
znD^ZA-FcfkogK|?7ap?a%&k>PUFozg?)NnY*>jrV@yv_UVw8=h&h`nM#edvt%Qg#!
zWd8MvU!{e&I!OQjyTqM4cKPPjNuvJ*re$j`QJT4VZ$d}T2DPmxkN-{7kP+UzK)$k0
zKyWSRseOXqYi0#+|9485QSJDHI(J`777y>`Kh^UVq#Z6gwI_!4>MqHNu^IdSiG9wE
ze7vPTM#X3A^CuHyjN+CnJPB@D?fa_M;r78zJ=3h}SL7@?GvhaZhgjr7ub|A06Mryl
z+SPwRXV#4g+jc5-IYsFP%-_cCtlz78?e!Tu|M`Ybqn=FPZl9Ntdujr+4%-T$9XluO
zKQBA`|DEI2%^9ts`+r=#`ylW|qJ7hO3A-E8d&Q40PV8U1YFACZ`9jyouZNGjDE#Do
z|Elm2BhQ8ID)pwfy!G*0w}_lv^`~1!L3O$Lktfr`dX?sV`04rNb*Rh9@3OT{);(+H
zoJyN>_v^DcMprG)i)~=o&Mz7z$g8&~Rp4N~&t4^lV@>;?^du-fbNIfUDY-bb>TAWp
zH?>DkM|s`0y~T4T<8s($k<cAyX58oeeIQTLd}hdRwdRvgHGStX+dVbBKZ~K?LOEW3
zEyusJf8$>-lwlSUU3@88uRUZBllX~%b3C#V8{hRkS+a4$$xNH+DH16k{wuvsyPjFL
z`>gFf$8UEJehjj$zMPaZAvY_%#3G~o;-yDRQ&l%la9GY*xcIqpbdew9Zmlmq<yrYF
z<rNq5CO@BZK<8ZYg$mx;Ax}T}h&<W-WNUVR`O56VTILqdVw1HSo>oN0{JqlbZ`{b4
zD^$YFRkv!#O}}+U4STHSua{&znXqV;p{xI^Lhb^GiL=uWlyOZAl1<y?ARd2mfx^A6
zDareMPkz4~CBNpA%%%CeoR`a*cfZ;s#C^x_j!Riwh^3_s=NvhM5-+xh2#eV_CvCdU
z77-D#<mJb?wQ|vHe!d&e`?BbWPt;j<SjqRL5y!5D1&(5+k#FmYehbXp99kYbzjo{U
z_Oex+w=c@itGvT1(qf}(`Cyu+h){ZP;h}lcj}=&cd>gRzqN{Ag2C?*;VpsO<o?>%l
z*_7RE^G#YlT)CWFtDrg~$ne&$3(Wh)1htKvOa%iPd41lnoLP16Tf6$V%Zm@nbR68r
z;g!1Z^u0?7U9U3j^4z{&fAu+^^N#Js=Kj^sc3gYdw)#}MAFK9z)kESw!WRVfzD{2J
zXmhvbah0xy_P!1-y#<B)=jgjO|9_v@c58`=Ft6{*9Sc@}POA)i;}armfBd=AqJ&<T
zCx15{UhS*$WJCMpZJ*_v6{59zr`5PC|MK~E^WlM%kWF_LJ0AS2e6H(zsKj=`x_!|q
zsYd_Q*WPv9rNz9L-9h&6yGwDq>u$t0w{M#E+az3DbLVr8`SzPnTZm@KMJ?`V>ifF*
zVq44YTgUw^_3K`~R!{#BVy_zLF#Ub%x@j6m)AGJe(KR)i8~2Z)NaNc}jcb<W(^mJs
zJzt(;WiEZe?V4ck#Co20aT1&*4Ze1*vr?9(pETzCcjU^XSkv7*Ja$ab(cnGI^<|gi
zZ^_C$hCk<PUv3QOJ^HMzul>}SJ^E^OzrGy$=Fe|C@3wRI#2rpQv^Q3tn||+I>gJam
zj_(cJ!t!}ku5a^ITPj^{?`g8NT;B0i+T_B_$(My)ITa31ej{*e$Aa8tl386lA1v7B
zlQ733ZoylP*6P@s|F}x}E`E8D)6$(C?y`R0-F0F~54j#HeslKP9`P>knfX1TgIc=6
zlUunMUd`YBJ1Am)($^~sSG-*Jdz+^Dj9%%yGL@+ELwglm@|hZAk4}DV+hI|?<?N!U
zp3ng19~aJ7&O5#H^o;7?M}F_i75<%g)Z|^9+{U&y1}B^shPOM19d_Fqta-KGBj(qQ
z+kYkbXWdFTRHfB(x`3zADtfQKz2AbTPuvbyt~ZJ3W0X_hYr6l*>6@FY8zn9+X_)op
zlt{<Fxz%s`zkE+J-2663zwPAy{BPHtrM`7J_6G`dep6{rFm$g=RonUd#Lmzb`(2Ux
z6${Tl=QZ@c{Poj2agiyDt{s>=VZPfs!S0y6iR%*GSLfaN?s3w4K~%%*uXkG=O=PxD
ze8AS*Z<ID?&SH+dgCZv`mh1L+{4HD_xxMP<#NH<<*Xo+?EctwW=i&_&s-oBD-f2kr
zSkJxe^M?t$=1q@z@S`Fn>0$3mp^M+7yY!7sg0~B~o>!W>%YXAltJdoG?==l(cO3Q6
z33x2Ni|1PO@^hsu*G`;w&06_$?VlTxhgu?LEDluu7#Z<7!n!hdYFnMp!{Zm8CApn_
zeSW>bPVbAi4)S-r-)moa=jk~IUZ+Pd+!jC6H7|I6^Pu*(>wmsaeiN&vdC5|?ApE|`
z_aCw>i<cX?fAP2%I91qNL-n2^vu4gRX<@BGwk3amTwZyDg@?J^ec4)@8P!{l9i6(}
zZ1pZ%uIUF-PW>={;m+VR@3TzA&e>jt*Pk*f@C$M^>NT0M2UKl;Z1MU`1ji+h^P*b<
zTl%%#ANDj{vpTZWX35LF`yzCNUM3iuZg_3z<QCHAV{USE)(5${1)>~h^^8KAro8yM
z<;L^nqWjiX<{MX@3j1czueVwAP~w-l&sG`m1z%w93fDG!%e8x3+$3L?X@OEFa&}l2
zi~TM=DkRI=x#OAB5rs96>;7<^uagK_c=+0i9EbNp#y9%p<D=HC(_Un>ot@k9fzir`
zYTfGh+onhyj=HmL<BW@~7v@FnYC0%v&9`Lx)s+eS>-r<AylM?SeuP?=zWD8~&32K0
zZi+YiW|1q4?i{e)muZk)uy`wPOU|v!7uL5j)`naE{~Lcd`nj_6UbWS)Y~q)Q2JH(G
zf0ovKCtTxg_tG^=>Mt++-tqSQ3(Zr%SDQawEO(?NDE*yHmhk=qTf+~F@Ng>rJ>aP;
zrhn&P|H95U1-^lbJDPbWtT62S!lD&2_v+ip<-TrKJGiwNPGtV@pB0+N_UM^hn-*6^
z^rnf6mzjTI{5aulR9sn>u7ikkg+gF$i$_F*;BCjQ&FlZP2gW6u%6OMYdLP>Labuc?
zpM&+v(5!+Fm!n?loDJ}4i!<G9vglOY(glV&{P`gcvtLUD?+lOk{<>C`Z(0BG6MvV#
zP<{A%<?hYaVQI~Rg|AMY2xI4(I<@$+gjRF^Q@Qo3=8yULy19>imOj}zBkDx0+szH9
z+1B^{s9C;!-Tefeqkp=(6IO5EqxVB2d?x31qX+Ms*9czFw7I^{>%k)rZBOr$uO|0>
zUh$kk%HipLx7Yt|Zy0HDP569jwd~f&0}rRC_CEG({dS*uMNLY_Lbj{38Gm-pUZH)L
zw?8p4_-1MH$7v6LAKI%u?dOgiiHB$92IuOWKXjl+wOP^U)c?KO>LIqK+mwDKC`|j6
zke1E=??uATXRUYBqh>0rPnN!V#P=Z2ziW0cKLz*c1y8e$5PPzC!c4vCpDPp<Wy8Lh
zoj$uaQbbzg-kXRgq4rO@GaJ8Y=PbW>{9k^w!MTmgn_6RbY}@eX{2Y%?+lc?(wg<D`
z^xR<C#<=mt;f{%ZLbfR{B+q@H>ia!fdP~@{rU|A;3Y+iNxOIB+p7uWQ?9{ADJC2G;
zua){2-cvc#|HGe&sWaa$o^$;`NV1bd+_R(kLf_UZ%P6!@2o_QNTz<E2`rg&7rc#mO
z2TnT}>GXZ+WtWr>f7cau(mx|bjkP`EcX(FJlz2v=)0Yo_3rMd`yV6$3k#f%{WyyxU
z3?5!fZPNO*@;^UpU=g@>%t(+qCg;TA*^~GmHw8Lr)m7x#UfDR6OE{QWI9vBq*5ADr
z)_!b99v*mk<-$jiH}x?_nr+_Q#=)CcNq#Gc@i=InbkNVzRMJGD`noP_zF+vvinyg=
z3Wm|&Z|L?cPyIbJL!Ix$a}BRGSELsHT%uKY&h5x7-Y&bM)05`yD4K0HZD!+_Qxp0^
z%#FTQKaxF~!=3Pa=I7O?%b)EsRX)f0*;+3_=-||kjQqcy?i_ooykkXdxPrym<jZq5
z+_d9AB>uYXTg()t>mK|4-=Cc1^o?03LulSh_43K8c@x9lUEFQ`eDk%lJMZ3I;J5O6
z{L*I|S8BhXahYlFdlhDm&L#hMGIjaso7gvQJUWffeP3%|M%%||zBz5C&gMMnQXj$>
zM|w~9+B5%6T++PNvKE4J`O7LRld6surTO&#nRn8soMYeQohwqFhIvJwxHJFLfr+JM
z|JXi6h2Q+=8)jyd^!s#;W_Ri>wk_}GE_xdgw7Mg;J#4}mX_wG~yGK`d6{&gn{<{*L
zel(rYRey?S#KYnh7gFjL+!S9Sw4-?A#gr+l^OimBQf@y#(`>rzSJ&hFxm}NJIlp(!
z5mTPU%ieNCUSJoVX*ricO)2g9b-V2Ki=G(u-|d@p-0i_q)0Bu?+MMcpZ@V1+sj*O`
zve#_8>qCj#1-aa;N-EwVhhC{EPqR*c>wUYxwrA-D3;P>BlLgPZPHjD7=JYtqy&%{y
zGRw;EWI@29peF@+`x?XT()x7traKo!r)vE8&AB{uUE}0eTR*+JBDlwnGhs{1<@xK2
zPdt{(Xec<|vi?)_)ZZrlafuh(55!Mu*|MIqN6ki^;nTOy{Vh`z>UsA4-E}d)X8-md
z5<11_eGXMV-aS#I?)jappR+Z8=P3t^U;KaMbk5uZGanx3ST&<6IrVzdhiC7ab?yk&
zX$ck7trz()-Nfo$S7mxgu8!aK@b1mmZd#;2?K-Nkt#{wYJ6vy+8ePIu53T+<yJF_m
z`zeA`kCeNn-n;w#P6*Q_<!=_T;uHJ?|F;_3sOcB$igF+1<J4~S%WU90B6R+4b#IE~
z!yvUyO;bN@`P#Ome+iS^nrpAw%NcG3m*!}ur)X-no!??Tm*tVq<jjNrcBgQ^YYUoG
zw$XE%X|ZYJ8i5Noze_&cI@dCDee}m!yRKjFt}XLn<>t%H_Nctm@y_!&@9k9|cW6d0
z7Wms$V)TpsUvuz+15PO&oAtcCtqR);;sRf)OYYdRs$f}SCqKWR^wEP1yZboz@oroq
z?^;}-+w);s==PthFLqZX3GLS8S@`<YJuZ{pK-Z}M-KSnhy0>u6j4=)4Jo(?_+QPk_
z4?mbYtFUU$@_R9XHP$+F(I%dMAD{I9$okg#QCwTm^nv0hh3oN)JPa!~IEagGS<6(`
zU!&=%w7_KsW8*=I!{NOyU!=`0>{9w3B>!)d+Lx$JuS(hvzFe&2@oiy+zurF8t>+R9
z(zdrRw%_exe<r9yXK_M7^o01cKF8ggj{ke@Smn5x!GvAPbixrE-z1d`=L^ST4Qp$-
z``bOf>1QaZoDjakF7+?rIm?p5>U;BzZ{^0Pw~Aiene?#p4{xWH&i6dN)%RG|*w#J?
zyY7AF)S=JUXQ>77bWe1f<#(|BOG4pF>5q5UyPlkvzIfG#%fb3G+!vNVxj*4hM8AXR
znce%Nl%-#MevrSb)xV})`bKM1$u<t@DAf}6PtTU*abHz=uYalA>ctVG-R2srKdrI1
z)xQ(A{fpEWQRjEJPEN9EEekZzdKsS5z#8r?&nTzmJ^9A0JH1IW{_6bru&zUIf&2bV
zUbj9K#;Vs^dGk%+{i6PMiG$C~m#en!P>bvMTUJ}Bl$X78%1PJ5cJh~fI^&)vy}mqA
zR6crtt&>{0*r5-5WsmRw6|lSZbA!!~E$K&Ibg!6l%k$Qc>sD(oaJvLAH+<Z*=gL}_
zT^U-><%^WOot5iKPcPn+e=g)ec*hr=6V+!=O3aw^XrINO&M$lX<{O=Hx%Z^<&e@OG
zEoM*gSe4X#Z)WCq?&94CI%mJz9zBiOTKTitwIh;`A2cx-B%gfUA++w*%-a`F@7#N3
zz3<0srrc^Zx4Kf=PhX5X_Ip|AzfC?Zu^}3hMBeA+uA9$&WLuilx6KxhKX$bH`!CB~
zTKw%(psnt<&j+`En^6@k{7vEGtOha9%6kVQe2iCKFj}p*HtqYq%KXnoo92IEee#4a
z>H7Y|Ty0<H3NA6=EUFcB%zNTL>&+DvKf|i|`i*NCUQgm!oMSd2`h;BBVpG?daXVh~
zIJ$Qo-dN4@_1oqR244?%ht@g?8lO*{bV>5|s_Rx_H~&i9Zq}@Pm!-45^!i<WMP`G$
zbt`6W%~<aJ{?~QqL(#k$>*7|t$xmPXilNWv_UvO@zHi+5bM_6cq|`EjO-3nRnXkl-
z=dX<CI2859vBPHfv#nB3eu!kPauoRUbG`Agiq7+y`4Man<}A40KK+&Q>r;o$e}6FB
zq;caP0mqHi#cO}NNg24!+oUN`Ubp$1c#!#|-GY}(GW2e*dvTW6YxWtYP@(9n>E-A3
z{{}}?M$i0Z>#nePms-)ze*G;EQfw|sW;NaSRtv8#I``>IS558w*{*i=)yXrfmKC>g
z%N$sLmhYUXY_o|@R@c6J%4bj3bUhDt`69le^xKBrR=fwI8obR8b!dpU-D2VvE;uCq
z#+m6|k}1!Eg-X#jnMWVGb9~sr(O$g&_vPw3r+-fGr0X1}Rq*Wmxnrfy!Zt3GbJ~rC
z2Uq*cy=|U5Rr}Z~+dakoeE%C-3M*OmIySzxJ}4P|=(hFA`<9%c2c_3;<yevw<gLW9
zxvJugzhJ@)*(+D)X`R@rxm$eC6u*-n9@+l#<5+pxpk(UvwT5!rcigdx;aNFp-Tt?U
zk&~S)f`!w*C2O+Zn;^*L{UPJ*?GPW0_T+ehkAKCA7}xF=YJT|F@mtpim2A7HyD!VS
z@;58~l}fYS@JpKc>mj#=+Do?w?SC?<Vi8}Z+)O6Vbhix>k8l02eVyDJ@uqV9vC=0?
z8<z6!x?*`QKmQ-M$_Zm7zw4{x_O99GYw_l1mCMt4ZF%wUUrw1HYR~m<`uprjAJU#Z
z-Fa)a*_xAuQODo4IO^^$OZq4`i*MttmW&HLSLZD3wcDTKqin-}O8Q;mi|AV`a=nbg
zBkg7$npn1fv7Xh1tYpcxe?#4`ZxL8`v1rQtL(@+;b#`&~-(6La=5qbO%4?3(`<}_j
z<?60F)a&+_(T%@((RYt5p}_v7hqYY<3^_Ks?3h$P;ZmgRKhu_KjrAUp6Bu^hE!@!8
zwR`7@52~+cMub!vzFW1(=@tK!hD6(9<##eqY+QbSd?I<T_j{7WN7h8)o&3K(_clGu
z*t+ekj@Z^cC66_>*o&+ZXr7wmdBN`w@8z6plP{es+|X><A!x+1)-dRtW80*lgFD<~
zUpE9yI(6(Sr<dctz!O1tW`29U<l9~!+mELV!yX6TH&}eB>FmWtENWg~&x&!(Hks6F
zGI>$lci-@MhUx@emGl!v>$XVDpIIvZriy(@Npy8)4TJR#&xH3JQJh8#W(z<4c;Tgr
z@aLkbUqt*AHuz6aF4O-JnNoVixLW4CtySu)gba<vQmzimQ~2cGWQw->pFY-}Q2o^*
zsmN*edx3fC&0JmVj}lt7q-OAk#a=KxuAaa8^wW(tx>G#0CbvnY_BYIa^lr+of{n3!
zUlshmF?Bkx_A`>1aQMjNr$3i<+Fa+{C*oQ1%t`vAkzl2u-8G|kH&S(%x3c~?m+{9=
z{>-k|S!=$pQ@ENND`{xHjJw35Z1vB{?)Sp?9A=&O&g@6)AwRx_n~rQ{tzzo>=GG`~
zdMIYnXY~@<qC3a_ADjL5%l(#~E1ElkHgT6vWKTZA{CVbds~gWf_nR)>xN+}-NHf7n
zeS4lxEL_}_HT|(|Y0}cV{1=~e*6sM0YZc4&O8U{?<<%nR?Kj46pSoEzeb&0XunnC>
zyOyh8+S`~LsPswe`KP+u0nHOCZlBq+o^wG>c9yT(wwYO1rTdsS8XPXvVo<-{nXPR3
z;$i>h$Jb^*KhZo_N<`=3YJcPUD4z2D&KLb`<({x-GOL|AZCK&(fPLSl_qTn1nPjj3
z*!?=e^wn!6F%E^e&1W72A5s3L6+CUFwfuvEpG=pg>{r@#v~_7<bXdr>7ff1hJ01!L
zv?#6cRAIL>OJ2Wlwe_nToNW)3E}V(jr<FA)-$9`LasKIf&)0C8S$%u+J<0Hw@fugA
z#j)G$<kc5dZ`xfV;bnKeLSWzAE9>?=S!}7iYJ$o_YgT8O%Ke{LE-%m4=I;F9{7d8E
zhs(EnU)XF-sC*uI+9|cwihuvRh^IM^B6`!7biNKPmIx5rm3nJ-u@$3d+=&kl(*6rS
zzk5S#cG>YmmR}TvuJJyU3p(qPzj9~H;pXZJ^{@F!#kPvv?Ed-RgU)j=cH4Eit->Vh
z-Q%={1-wSep_@5_7R^{I+^i7M+;H%O+mU|l+*BFG16$?=O*oe^eW!55kLn!^5l7Pw
zU3_TMD%tiy#v;<VS+L|w!P&VVza4Q<`G4f?><f<;*X%p@;dXP<O6BPC3wmZ8Qhhtr
zOm0rj<|}{o$0*+QlflDp!XmlNn{-|pChnbK!+Ub??YHmZ!ufcXM!%o!QdyO|)^pw8
z^LK2SIrgtH2`v^nye?f;q-4Tr<qeHLOf{V!P2YL%`{9LqU70nGIn8^&@=542`y)(e
zvbk?B%YFE>{K-F&d+w|UPKWR8WwUwd`>A-JZp;Lg#i~)lj<XrdbV5(u7x`%O$l;ge
z<EF!B_wEjNtKA^J_tD%%S<FqGo!a%bvsqW}<9l1jBs@nwpoz&qAZ;D<I{Udl_Gjm5
zS|^Fsp7%-<VrA3&ohhFmJo)04gb%@TAw{;OA6V@&7y1em_U;g6>9o=)^5NNYX5C$F
zwYY@K6V$m5ROlP5$*Fb`=4)Sf)5XaAnWw^ZO}WkmbG759ozGqS@6ROemC4t%CZ5kd
z#&A72PTArxW0Qveg8hqHCox~-3yF@{WNTe9|L?H{g<TU)X7rntsU#ch>Q#B3@%lx^
z7Xd4c*HO=EEsqEBuobLM_pJ82rPXcmUBqX4x%K%=W%Yem6{dtYl_pCpbx%3axuQjl
zW$kIF&pRjoSs2pd@>XU=z_qO}UO!J-_G+zpP)tmr;IauXJNX4JKK7ElvS8KfhjWUn
zyygl|Wm40%(zJ-&`{KbmoyiYM&HZ;*Ojs5)^Yxo+&iaLnO3n!eCLLLCrtROi^GV<#
zUzyXT&l_{&1GY@ODjyuCH;>U`eyGJOiwU1A9|kU6(s#h-z=kQ)rvCMn%h;X2^>F9u
zO}f(6%dg&VnsMraS)bpu^-s)I*BSAd?cKrfxq7$V{V4XVYnCRvN{ySV-@A!(@_)R~
zoU3-_)BO46e;(*;^SNo{r6gs+D^QkjG~hw8^d%ie?jPqluWNgV9DZzbQRR?z?zXI^
zK8<~MJ7ig#9j_-F{^V9a8uqdH@2pP=_3um;O{%TBlESW4w?QQ<dwc(a^h*zCrfrtC
z%lfrN_-B95gq3%!PD%bcv~lkO)8q(qWAChsa~>oq@cs|VWU&g@-*i=1t2@f~jPMz=
zu*vpXFS8!p`s1@wN=0k`?axY==7mdKcThc{dRvB7dh+Kt`{sS=zPkJK`xSRhW6z1K
zQ9tN*WWC>WalXFCr!H$RTpi4CwlVVl$Mc7F_1cO*T=?dEKi922!gKO}tu_?!t5xbR
zsPj~F_>y;N$)6vEmD8^#-Z*ka;Fm_oD#K-mOmFA^UfT6==cWk~a)m#HYXr`gI@Y{k
zKBeFO>HiM*zCAnBV)Ep@7e?&9s})gIopEpWw95Qlo6bG0x?Y%iHgNs6AOX$@6}HI&
zNgV6T{`Gg7zl#Yyr(ZYmzvzX?6EpuMhn#9`(0a`+&mO7w@<WUnv#9oZqn|bH3zxAz
z4AQmmj}kGt*WXiFp6K*w=DNA-zn!%{eeC=E=-?Vz-%a1nyw^0f317R)OK8n1ql=1?
zT3shO1AkwaS)ALl?VPA_`)@yc>4PONPRrFD{kX&Xe2U`h6_qjl2FoUUFgZ1HPB>xA
z)22Q%<#46T@9>Yenwj5SH4(mV@Tb~5rg8$?S@lB~GDQBwzjx#^|F!UGpa$m-uiRku
z!q&sfgn7GUL$-$%*fGB1v{?0!F{kU>YuEQnV#S055+wX)oe%2L4;2eO^Ca}V;I`n2
z#jR1-?5wub2`)%$+Ge6PQB;)g${W^?3HQ#hp7@iMY#^bvbNkl)d4H89Kjdx*oy#;)
zd-EZmxy~!~Z=Lw#zL|MSa@CghC7TmNPtM+R@ahNeosF9<Z%CZ`@-FW0j6c#hmpypV
z|7@RV!OzL!Pxpu#doA}mmDE&m{!MgSD)-fh$qZNPoCWrI?#SzqJDK<?_UuRRZBl)S
zK`SN(FL)7~tz0l;jbGqljV6wAZ#}D3nMOz5crB;i`pDF3slu1SV<V}|eO-UvUh|br
z+s{V`A6NcmX6)Lg{hj;K&Z-ANp(!8veav!qJbk~`;BEa+i7D$?9kzUB5Puc&?Ai3-
z9lukSm0q9Rbi?dTl=b@daG68LKeWC)|0ug$=>F0jDMx07pS$$@H(%bZ7AEDD%TMWc
zsg<l*u#eH`#M;J5*=rAdDctwqhrQt~xg3UDD-V^#n|t1JG^*kJ7rFiPfrMZE?{?ZV
zyZEGgw!SfT`6N4aV{xBltoq-iH#2mfUXCv;HBb9ELoEGzoBow7zBA_-WAs!LMSivL
z#2$E6rnTLNuPyfTvPUzPamXr06@CBQvS^aHR)V_As|1$Q3mJZYbNujpjyHD=7h@|^
zdrP(2uX!daEGG}{^VRNs>2l7(q2too-0T;=cf|Ku?=HNgpr>Jzc1$~JooztgF)mSd
zcK2H>=Vc<w9!zaHu;a(IPkS%Vx?mBw#9v!Ic816bHBa---|p?R=E^VXX3k;WoIJTp
z#7WCf>GZBOy&S&Y0(sl2A2JrkDn%akjkMMB@y}oE@03$)W)Wg4GV4k|`yRv8*nJt3
zKD{oJV@%6h_w4qu^K(|zt`9uxb3}C3$^PAM7jC?;^L^q<_Zlwom&!rU=U8rAWU`*I
z^oPXu>z_Hp)E-3|^}gos*gxk|=ijuA$13K9PM#giY2sXcI3rax^g&2<ZoSdhU0&rc
z9KCb8b)T+oQ_?Qpbl}&chx0q$UkvtkoYXPje)g(ai)I}uGRXNi@z?eSr+>@Ox=s$-
z{$%Rxz&i&v-qxu$eZKa6Sc~Th9i{~#cB?$TaC<dHSUFB-I#VtBYMbL{sUD4|w>Jc6
zR-~lbrI)J|@s><HGASt0<WhWDaE3HD_r&dSOs_s^UAf=*cuh!}uiMesK-N#k7I<eZ
z<!4R(Wf2gTWT!EYdB2@|y5nbtX(rwUcRH7F>RPh+%!x7-`MP1dcpLkonIRT09enlP
zP02pMUtBh;k+<Wqc$9s6bBg@c1I?Cy|HS`Pp5^VQol&sXws`3hKZiM=?>%-1idMOQ
zp@|`?VY<0l_O@Mbqq}FZ*vc>3p|oXFrAo;jn{WGD+4=QEVk(Vll&*YJvM5S?v`t2e
z^__wDa~F}HCbJ8f6@I_HofS3ftWb-=r8%b@mgr3?obuV0Td3o>d1W!jL;d;F4zF*&
zy)O9hIy)wnpd<6kR|MYh_qn)ck?^botJ^ALEbs4rnxOoD*)ofez1LN;^<Vzl^n7Z-
z4dx@C_x&tp@BVq|o{{-K0|uYB(`?nhe~g)9=kh7?W7W0AKMusc{nXF7XrEoJY%Lex
z%b$fSg+iv!lZ`DjSsuKU{iojQPgYlTig#&Nl=CdQUU$=R=?AvHFtrzga+ldQd}4aU
zy??)Fx_7ba)tK5wb~eBEEf>^J9^SK%RqOO#ldma@$}E}~KR%md&lPyo-Sb~xah?&I
z;%e2rcZv4-oU+}!r*12|DD88#XWh5GhYBZ@`)t`OfA3gpx0v>BnT4UOm2ToQx2x>D
z(|WvhmWR2?3E!G62g0}8O#AJZ{AsS~)YyuNaStkO?3bR)OrIs7e!;8WbEDV!IsaMN
zd_CW^ojwwrzhC2*y}htsxlhEc2e<pH<8G(d=akegQ`XD*ng3(U(~mc{zPk8&_o;u*
zg)1*+3u%Ah|5RI4VzEji_$K$nt9Gspj+eLj<TKmt-B3~3Usl(h%2^@F<t2Ld!<t`*
zB8q?Ac$*%&>8Q7O|3v!-kqUF?9!tCQ{;2HQ&DK+N{C5Rft?3n<mhmn}D)+*Q#wfk(
zl}%@M>YQfqUT7PwzSe27!67-_+=`vbXLhwlYCrt9Cc19t_V=gRe;U_ypG$D+GG<)F
zda_rSS$r|~u?w=Mmo}6pE#E4(YL(A{#W%V%Q@T%yO@FnZ;=ZA@|FY6AJ2+B4$nIrZ
zntD(8Xd+XMxsLml1+kkp$cP;I8z=NbIrDMGv|c99GiP{3@0E%3?SJ!LXN#Fxd|zwr
zZlw<vm2#rTPU>#9$v*wg|AqPL=5sTDp6O2cCwg~(lW+x3;4H0&JWn%P^d8N5et1Jh
z`L!cOkNs^N)|N*WyeoKfu*YX3yL&=SL1CW%TGk`R4;QM%TWHEGt2u14Q{mP9o3aOw
z+|gEDI`LM=`709VG(Rp>ys&!d{i}1PwcSg+d4xx&H!t&(+Y~0gma029FQ0Q=#d!Rf
z@tKK1OBf^#?tW$V@Z7R;<M}BvrZNs3mzrg^?pmE{XER~?)qAVvS(e!!-_DV5K06|M
zpQ}qh(_tr@#y`?J4Nt!rZf~_+(3YqqANFBw(;};;=_1UA++toA_Xy0qJ>$<_6R)5b
zsm_}j)l+ZJO>hYbl2~}MjKgzkX>Z%qxgzP@{Mt%Fi-XS}53Y5L)NiVdQhpH3k)ZNc
z>CW2yWeR`R-8>YcSzlfFR4HY>=O(tQyI;Nbe-dhV>ox71c-E%NPmNc$2p)4gEEM1H
z=Lu89k&3gw4(sm^=vT;isV4g^f5Pgl^oKW7HZ5o~-D7a3S(cfh=1Im%8y*=`#^Zi-
zo|>M2kYfJl-ul4LiCN|=C!KILO<%<BekyX)rKO^?<}3f5x}`&ELD{LRCq<<==V<f9
z1Q-P<zGs@2^!IFhS$=T(#dmEx!yX)A6XIO7t^1Gb>OXHb&J~!%C8f8-WxwtY{T=z!
z6<4_@Ze@-rIndtnId-@ByZhqvt!qlwHYHzHJ~i{5uj_`6%tbf8Y%$<1IU6mq-$(gM
zWQyy#hZ{d?o19JZZokHDZNR?URH5>R*O_Dn@2QXeY{}r{nIk12+mIZRDSh#h@3F%5
zjdIa7x;vgf&EI(U@F(Az9Tm1$c^P|ZraX!b<C*WZPFN>$jppIqh0D1zC2v@AvHeWW
zKHgB{bol0hIoke*v7NYYVw`B;!n7#yd!GkeyN(sZ{+c6?P4^s%Q)8YTVJrG@PYC<Z
z&wQ(&EIfb4TVh$`-PT|GFTGs6afx)-DJQ9zj;22u<sTV5-tOoUFrKm`ELSvxeS^GJ
z(9+$@md}_~CcAj+T@A0BaaS+belwr6&m{GKyI4@8{KiU0PE$#fNq1-Jb<8!Ib+E_Z
zsC%P9m(D$lN{t?y@)tf2Y;`kjOjl}M`n>Gnh8Z8@-Zd2+of1*K>bP|Bi4NsOpO}h{
z|IC-M`gJzWb-(6gzn>@c-0YYxDQ<36d@ZBlGR1$+rhBnDRSki@Zn?rg%pVwvX1;jX
zWM<jX;_#FIkUUHBncW_KLMa?J8o99_CwykH*m6qgi)GFOSDyQVzZWvLYwiD9l(~KS
z_51Tad%s`*b?fa&rrU<?ouTQe7r$7nR8yZG<Kw$ahgsBQ))YHgi<vV{99n#e_ito}
z^RDbq^UtngtKVal+_it%jX0|d3xq=tpDFY#vrcS2#VPjSc|y_mD|)Hb1@o@<ZQ|W4
z5Y~Lf{0hhBv_nU^bCWv$E{&dhd9tgc-^KRmEtxJxbDtjA>hb-7Xj|KX(-C@op*;4R
zcD4HMi{f3@dtLaon|)evTkY)=m0y~>9D8FHYtPm>ZN{~A;j`siBa?JqZTzrV<m({@
zd;Yb%&bM#85?1W)yH)C=Mg=pMh@f9eLtx6TI&+7%cIo)FU1yUr1g`D>v%YQ9zE3|Z
z)2?jjb-OWlvHdZwXN$CUtQC9W9ewYi?!j+s&R(2lo3$^YocF0v&PF*t|Krn_*m}5Z
zT6kt&^On15i%+wAEPgV-_y2{Ghf-5-pOE3t4SxGC?{DIcnSV{@aJ_ReedAd?bFxI2
z&NGXwds1nBk*)JP{bsLP&ZoT9_DAcTFR5;=w>sy{-qYD*(tb#tGp>4;(W6sZO1m5M
zkL3zvK5&1LB{%PeSEAyQuZ{9=&3xL9JKB2h>0R?)k}Le?0;j15yQHf+R>WVG-)g+a
zD(a`$yE*UsLiOHN%s%hI-JrFWy>5N2%dSA1Jypt#Ou6rxw<)`IEDZE5KX6M<Im@ba
z2b;i?NgkIg%M>FvKkk~bHusaM`$ykm&D6|Pj`}_A|7>3z3V(A>$lZA9<Ag#>QL8&s
zM2c$<ZMd}g+-tt6J3lVA*m%&d-8JR5%w(<G4#mB5#U-5t8K*O6$}?JcWON(aovtZw
zc>JD&{dH%U^^d&!HyW}&{!=(C|DNI3HTB&0D=Kb!c`s9mO6E#kdp^K6*Yk^rM`Po;
zcN(p>YFyFUrPE69YTi1lUR!45DAaLJk#FmRyOkcw4l$QPn~&M$>XvNY?b_Uw`03yO
zTSu2M?^rx}b;bwP^bMD;ap<T$5MW9Uy><DCSoL3)-IEn6`xYqu7htyRT=x9=`pt8!
zgWgu&JA841$HB~-fqynI2VLmBb9efuiOXL4t>fGtzj!0V<NX~+!&kh29wy&^qUKk>
z{BxBnN<FT5TT))Wf2=R;Ay(qHBSFk%rNsKEYm>7lD_^-bqjF>R{f1pTelAUU?4vSy
z{hvNRf%0>Q{rH;aPrh}XSG(M4?pFEP(I33sdUvl}{?J+PrINqiR?`JJRg+h4Taxlc
zW^2vOsC88mM}GX=-p@JZd=CGQb6+p4mrt11C0+Ku>elk}Pqr02`ey80efH4RCBp4L
z76uAV4qRU*68PwU*zp2Q|4DxXze=y>X`Zw2%*ha;=goPm?CW{s>NlR`JattokwJL!
zuhT}nCu=u9x+T;fHzP@@^kK~6DG#1XmHJGbWO6!;rO)-b(2mp|#k?#>KD*4*6NKuz
zHp*-lF&9`A)fpGLpf^i{^=Q_{50M3_>3{6r_#29I7@zd(eHE#+;0%AM?A)J9H#;s}
zJKV&0qo8xHC3}M%d-U?HFW=od!q4!yo9FYY;)vuWSM&a?ZdiF@-bXttwO*dgA1tfc
z)V!4z?zVHfbKuv0E$0*a3mz<zUzB9TxBrD`dAY0Q27`us+t<!iDu`bs?fNeI?R?HT
zOdA5(4DPSmpQ)Sj*szLc{oK1hrZJUHZamw!=la5VYHEo|d|ng3IA*=G`7?t><*sPf
z;!g~zr{5`P9^cUawdXUhU1!D7ix*{c&ox9ppW<k`AoPK$iSaH|shzPa&8~7tUwJP2
z-MQoTzPs<YE1hNj`dM#w>6_&R$9KDYn*3v*(Rana@0+KFOb-s6aIEf#9;c|{Us2N;
zcWkCqu2MRdGEr~MhBvNDFP(X(QDC^@gyD;@i|?1JGhDN?vfM1ae&d>~lu1c5y?O5B
z?=!H7DgCv*lz;Ih2RlJOr<)9OO#CnINo-i3XMJDz=fxB!77aV;HP6yTU5~ZK3Vha^
z`Fh{2x859XKFc$_zH?q%r_7XprHON{zCpph%jXVX3l6`%GTHOgbLQFWjMu-ZOBAXX
ze=oLIRrz?;MfUh3sfNF$bmj=W|HAqC=UkPUHrbp`(cd@a96$J@i1AOg{{wx&<yVdP
zG<r2RZYnYJ(UiFDQoiEuiWCdMi^89dnC_EL6FU9nzJ%G9h+paVtarWI+cHx;`q^x`
z7dvj|IdS!u2)~qBmm}-jcfu}vzVT1xnT!{T)OhFJ`+5EEzl=qb`rk%>{_snRd&jzL
z-+N1II36ecXo*_+_4TauhP=6nlhsshFI^WtexgR^_67@qq60q7>rd=CH*ML%C-0n_
zGOvE#Z#$8hskQjs<}1fjCv2`aT=M$y3iY*-mfx!qR@ikH^v21aXj;<lXK>SFIj2DM
z=Ca%JtDa3czp`C&?T*D>oS~h+xARsQ^lx}*HS4g~y1wM?=f3@!Jni@V8Plr2@o%&F
zAC`IKjPuzIyZ$!GZ+K;O_w;MMEz$oqJn!v!R_4cieU*dplIQ8EMLw_WZte1~Fx9m?
z)bBL2(t^+Q%-^6&ZNF`$soZB>#g!O-Us-lSYQvrDE02|hq`XoIiCp9FzRhyqkvtU+
zZo!x^OX1J`>a)7bR;jMud+Mm)p2$;5{T>{<_6BAv-QD}zMexzV=N~qHRe4cia{Sn~
zPK7S+83~>t7g^L=-I!y(nAEI`o1NINV`*cV@imNlX7P@mrJi>^AEs5jcIF8-OufCe
zpXW;ELjS<C{o7Bykp8_e>!Hj{HU0Ejk%%^{*Z1nKG0#qp@hIHvvc=f(V~u*L$@~86
z$7h8b%xXRJF7Kb3N8Q}6dPmLK?@V-k*v@a4P?=X4_drs7x9hH-tB&tYy74W)!hms_
z=#!wRb5kPh-gR7D_}+9TACH&m!$U`Ia!hD${H)$wc3w85sW*>pt5*GwRcX;2uIDdm
zwSAd>bz_g=@gv6qIaQsnOqY|@o&NN3PR995n&*PWm+e{2V19bXQ4OB`^<~oggJ$1(
z+2i|Y<@Q5+!*)&kzvrEqlNzgG=xy`5nyy>3->!NsKc}H4`@zKdwP{W65g*(3-OJSB
znkf22@~dOu=fio*a)14_ruTQxxcc><+ZXL6v*y&AE2-s#_0CUl7fQbpshlHk()07S
zcUJSYm;aurEqwO7Y2l66Vk@6*t2iC{E+T-1{o$VAzw9@aluN7>FK??(UVmV5r$OB;
zSHTwM|D0PnYaeah#M!*S{I2t}mA~!RoU0e9t8`$vf63&yAxCBd^B%W(jdPRd-u!5$
zc=JwT+~QA<X6ZTq$bFtA`)8un<hy>&zoVp{Kf372bn5e^`^8r(BkV2Z6vDEOO;6K$
zsd}C3PE*<3p9@+~{`|k{?Jbt~Hmi;1ELwW%q)Oor9gn)j+IdG8+il30@^$YY!)O1V
zCC%oE>@iV$;Q25y)@V^pjn{qlYl;!ZNA9w(GdXK>TK~|_>!$^czrI~k8Qf9GdH5b%
zRm|u2+jiUE>#I!?ojQ~Exy{tl+&O~nuU8(|^*BB^tA_i{+26ZQvwptcS+Rc7bOqk7
zSTm~(`Dyn)uvp#EUs7)V$nn2*#5|h?8+;>zy1wnLoMgTC;9C=x)_7JE3-OcF?wyf8
zvbizZ-1L1K+qBv<BJN?v87+p^?%USt?}*x_rsKe)<HD<9@6e%WcV;VN!k4!)i{-Z2
z7Ip5p?<9~RG0$efW+ijI=;#&kC81#{r<2=N7!BC3#l4-fdGcL``icin7O`?>=}EP$
zO1}L64abqDU#sTTtx~*b<><z|u9Wxv{pg_P9rvu#@}GX}kVw}%dvtr<vXjXy4*pE+
zJ55;?{vUqjq$(%9^zVzV)yr;Am{>T8|55stBd79B-=v(b*}`mlXz?QRi}_QRw#+R$
zTeYlJeC8*vS+mx&yb^SIylC#tv;L~hLM{mhjBl~NJ@dK$MSt0xjqYiGq#Blci`_{T
ze;_yU(b|rM0*1V2&$Dgl_^jzIvEcn2>DJz6SEb+TGL9dY`$;m|R598atuRvgKIc?_
zV`g-N+tc$wSAs2n9RIvE&_l_f;)=_pztOMnAF&q+pPtHdUtBs@{_Fp1=>q#5H7s{@
z<mD>mZQXX~USMHpm_hioc`JpCB&LgT>F?)fEnMojecLzB^(Xi55nF%l_{8Eba(A*^
zKO1j|^}AJ~yLwiBZn*ih3w@Jo)<2$q$$8n?EzUiMr;8j8f5TW<6C-P~Q24p9&x9G;
zN$YM*o^qt*TKDv68)uon;L$K*-N+-O=xFV`pHEO{`KR}nK60BLPL|GJ)wFrxlZoA@
z_`<t`_|3fozBEltGD=}dj8Q((`11Gc8B71IcVB$Ib_TD<52X*)xwWr_>SMV3+uypo
z%BAH#;+x<+^Mv;4i1Wu<=V_Qt%(peVxLf-sNAl}1WwC8ZPb&BS_vGpL?#y;Bd0$e<
z2M3GSOW0PMB{*!4%;Q~a@`34udtC!_zu$G1@Oz&5X-P(J?Zgv*9cu2p8|;<DHJz_M
zx;M#V)w0!LOY~O1DG4(dn(=5$hKJYT?CAf>#jDgrEjkV;7+Ng5>~(mDCLcG~<g**A
zCe7tK@^N}%>bCd6Ei1l%sGGaIfcFE(>y%1qsq24ReEU7@^NTH?FWzC9@Jftf*GKQ>
zn&w6ohm*QV=l+>0JX!Q7y=b3->Xq}m?5<l_ip9;S*uU;@Nyy7{?}|QKA7gb6d8^^~
zJXy$LbEe^*##;T8d$Qkc66d&e&TO&SrG5O>H+ia~6_`IgiMl)4&B^kH__e&gvoCA*
zMZW%8^vz|qPUcy`O}!Vxzt(Oo=}*g@`*>eT;H&#v#9S7L*=ZJTkmWn&<QKXx=crY}
z-#*53JHE!N#wg$CnI_c8vi_B*rSeAOZPSeB?91|6ImPBw#ydID=oq7ge_Bc^891&b
zxL3$6^iX{G*Qvs_{P4|HOWXcsF&C&;?ccoB>)iA;SNRuw{XXMyW5cPaxNUk{Y<wma
z>9?)8R5bJA;w+X6^G?2FHJqBTYj64NLq|{Syr5bwd}`6F<Ybe7Q<-OOyyE@hjL*!5
zJoX^lol%Qfd+pAb%iXP&TIW;69p=_P<5KvYUHs?H<Sk<nugs1#>|Buit9tG0mJXv;
zWopS=Zn7?ys9PACJ^OHT<D}Dkhn=oe9kP7pK6R#EqRH9nu=$;bQ}Pr(<qKGxZS-8T
z<?7LxhMMc!ivBFVd_Lg0Ah&+e#m*JW-Ch5)l%+jMTNim?spp$dHfyD(yO((9RCM2H
zT|Lu<Nny_O?dl8e3;I3G=H4)E`+H$Ow;1u{ifQR44+7HGykC<(UD;^I7Vp$XhWFmz
zWN#d2IIeN}aAbF!x6|JUe?86T_f9Rp?R1Ru%%|Bmv~;tc8DyNDciz1$v0BWx{$O!j
z(}b*PS2?X`MlH3GYhu?gEZ{MY?aP0@?!(f*;ff8**h&H}2#CMCX&U`5;<c&E$_?-Q
z(u5Ty6(+w~W!n1sqqx>omfMpa3%U4M%$DA+AzEdgWqS0%q+Ry~7*4BvHg%l*vA^2G
z@}S90S1IpVCcM!q>sDK}ZIqq$B!17~wHM0rI4bNP|8YqW5w|~heSg%tTGjU(F3YVo
zQ%s-H#>&!X!{l~YB3LcSMCdzfpI!K-BJFpz_EYuxf@aiZ@BO_%Gx7SjG^ryilk#+x
zE*m9mxoB+`!Lo1PH)lij?tjkCGN+a=IaTy`;f8ghbN7Ur+r4lvOuA_OzEb#VwGvyD
zdAYx^+RS_2If6^gi|efxyEfKO3s|vHw(?fP|MY7Nd0dg(gidZd685xhtwF|&r>Pnf
z|NdBV$hui_cG-Pn#imc;Z@sT|wWirse=J(|;*rk}PsMtb8La{FyJz>gou3~Y62!Xb
zUT$qt?JXuton`kwJvm#X`1$*WqA$G}eBvwC9xpY`<o{=K!$|%3(;byzb0=u-4Ber;
zz+Zg*-KSm*)5QKt#6;bb;OJ4dbm+Bmi{0vRz?FT9r1h3FM_eV3Y&jLk|BajNV^f~%
z1*dv7k@?%&nqB^U61V!f|IDuJ%knd%W%N0gC3D0tS@h?T-Q5poN)|4tx8UNJo&Eib
zbMPLYwl@n7?c6)(bBW^Su*q45#TB=%D1Bc#Gg&TkPm8r+HXBbIvwyDWu}zEJ_I4ZZ
z`SA2yQj+G<I~rZ}R^OU6p2YjkIh3sLK4r$bqYFanyVt$p^?jCC^vSTW(D>W;u=~;J
z&DurBRX)yQJS_F@$hqvQzm@Z4F9|8E-Kikkbz5=eUH6ldMRv9RnEbbtojvdF)cIUz
zE;a0X91_N}bfe*=i2I+eGH&y@{D*V#j#S<7N_PHj_IbyaM9mDVi!{pZ++*MHJ>akG
z-c|n#&I<8Z**B>KEacW%x%;G%<s&`Iz4GS#=7H<D_kDS_axq&r%P!vD&f6c)N5t6m
zTobSDXWPtUVx}3BE&hY8ZkDvw*-P(c^GH|o-!1-gd%g4BJ5w$Reo@Gmh+yqFUHH+t
z<@)5@55j&wCfh$W%ADF4mT~U*rs*ZOKP%o<mfBWf9>#EfQC98WNums!p0Dlwb!)3j
zl(WJ8$@iIGD>hGAV0@&%)9F)~@C!~)FAs;Oce8F3+_{nP^nx|hbC0KY9(%0ZcqeQ7
z^<%%bHTRdUv3a;`MYQ2py&M~{f8U&QE}d0*Gi7I{grI7uN$6E;E7e(1ajxq=J>E8Z
z@2ArDiRp}f_NA>#T65ZC$_2j9*jcEMUTY!oXUpDYSyydSkHjS$f4t_u$ccrYEloeG
zNKSH<PTGFI;L@Vq_p<s!-P@1I{_wlC((!Q{Z(Gx>7thnG<?N#F=EUqe+&5n-{A2v&
zWy{}I>fG<&nD^T7cjDDX1IOQo1^v~gh6qf4!DxQSZHx2fX>~_pCrGnwP5iL(sa3T0
z>9wYDOcRs2m*ix5i1Erf>Ye`9q~R|dd?Mt|q94tndd7OUPFMZtyCt3M`y+C#+n0Fr
zti@*!uo|3;@m=D=RKNO;2j|KcJB|vu9;irqc*Og!*1wzUYowCiByK2NoVWakW}vIZ
zvXE6*uM`%2dl4}4b<FSSXATJejC^OU@62Ak_a5(uAn8?$^rFJ;?NehyR?ZJP@BR0r
z>TdlxN2=~zJFJo_XILOKgTrwu)8Bukw?DBRioWm7W247BvCvhszFTvaiew5?+Zo?`
zEK}yM*K@Anwc+}yocP4=Ld74C{daZD<0rnqcWmiPcCKoL8#(hA)jgP0J^4iSe$6XA
z4gXmG{MUMPtX^u}{T*hnh0hB5ef?Z&kuphCuD+!HpfDq|?!A<IueYtw;89_C!hEo2
z@=q6o0F(RLZ;n?i+ON0cUgh#-JMV~WjIy`+8#*mww_^L72&42hN7zp}$C~Z&{;47S
zxM4!qOZ5V2okNe>O@){eKX1_IwR?2eL%`s*t>7h<t!tWjRh?~5W<K0k+u3LG@26+*
z*AuR%Kiu>U+S6Wgx>rI<^Rk16ExX3<9S_BFnsz>(WD>q)iL3I*S%v2p@3+0ezjwQo
zTh2+%&{fMh&uZVXsh_X-Bi%h^jo)3CY}EpG+o&SuAN)z0+?ikB&CHt=p>ljl{8^^M
z7kw>%souL^Qko{aWI-~IZJ<8yZL3t4r+>n-^VGUG?!M+-uvmg|`f2kmJZ_u3V^gnq
zzF|2v^+CGqz1e-cm;JpME)&h#<Kw7*T{o*~y=*7bdiTF-r}tcVdBu4B`#=8WY>&)X
zpPJ7TT2@wI;ImQubf~kBsl(Yz!E4ugT*_RKBH1<neBPV9?OD6@1G+W-{jtw1-FVb<
zui2ck4URjCWl#0rU#^lXdE>{kGWAx&4<>qRECg%k>sHLSJ@BS+(f6}*U+ODv>|SfN
ziFG!o$;8JtU8#{ywK;z)nE0aRcJI8nQ|V^F1CN*aGM{F8EHPvG{XD9=_Q8?kHH|Y1
znmN~f+;9CZ!<%VQX<voaC7+x-UwiE=V>ydhyG$4h!cBhG?2bL8(0+6OhG#+PS)%Mq
zd$}w)99W+1YWy0xX!{KF+q~9uc8l0#JXpTgS+4*6AK!_#O}by+biWW>%6fh6&8O>R
z<{BQ@Upn`ewfutkTiUNyY~pX&pL=y@V#UiGjXzf={wuw-hvUf=tJzImy7Sbxs{C-;
zFPgFZq0CE3%geiqTmu)yv8hk6{`YgySJ~<1WjVrvn>>Hby|GGgPKYU!rS)6Bx#w-C
z>iVZM+pWpH{^mMM=q4Y*kAcN6??sfynFRcl-YS0HFM(Z$DRsMR((HtdVvCkCKf3B>
z{Bz!_&bp~Lz0}&57j~`tpWN%jb@c2kzN?#et4@&bzxe9Y!O{yHN0nEeuPEoMeo)$(
z&A0FT>fXTq@5=;w*!FpJE)rw^^;AeyPJiLqE8Cw~aCOaSd&D8X?)zcgf@97*Q*GLr
zf|Z(8Vs9+9@RF)zJ2~aiL#IwniNdqO=gbtCp6DDBUea&3&}%J=0+&_Nv^)1#giTml
zdFq+Cx2E~^i5G7qt2h2#{ycnjL6ww`!_P$l-#NEupWFQMV0-4uhk^6|%~<FtXnNuP
z-w3Oh3ch*q#$h61o?k83T)eVGuhS=Y!IzN6XEr-^GLz=JEMvcW{Y$t8%k+ZvmyRYF
znl0?R8}1dza#wc4E}dnS0eh=f25r4FOHbWw&gadc+zrdjI1H90wAr~#bL*?SYqWmL
zN}ruc;<80;A{!2C8og4ioN1h;FY#YM{>6dAo8%^!SqXPG9sH-c?gI0v)6LAMCoVna
z;kK&nOiuczUgM=gpXOOJJnHwzRe8K)<7Ku-?rTpsET70b*@UBLhx&~&=CaS46Y|%@
zuB$zLzSvo;Yg0Y@LH7SqGXt-%%E#A7YaG#7^@=m-5u;J`r?$l4>lK9`m%2I_%v01W
znES81|I@VT9lze44P@Y5$$Wl7L9oro;EuO%Y9FnuvDkL$mgg~#nLo8}vOY24+pO|y
zj^|6i+rIXX75nZ#yvO~!c>5cMsvAug9P@5y1byCfWbM5-yf1$BUr~xvkl&M?Zt$P+
z<Z|niqSmXrZpasYORzmR@6WD)fVm|XIR4IZRXDxqfywW~4aMs(<UB1fF>+n8+EL@o
zv|s)WLedAn9uM?*So^4n&;HdV$1mF_JWu1l7cR2$(Y3kGQvA$bp=)Gk?F;=CbK!Zy
zg!A`oXN$EY_mrp4x^RF~I{4zO!*9%fuSrc>S^i{ET1vcUw(x=EG&Vt<EhoGy)a$16
ztk>Y5aQxy|*Hc;l-#_X2aAei4=vNJ<$2fD>oMmw|es@rn`&*2FgXq22jGx}eZt%HW
z`*ulzjIrx7?G<yTa;Q$Mi1~HN`Fq1rrIXiI@^7vx)$?QEW1W(occ!+bj&V-7df2<~
zbK~;nH!hHpxh~6l^Wvw!H>2msoD&y$z?c8wUuyEVg(9!7aVWn!6y`0Ex1rE$>-8O%
z*X}i~vXD9OerEDPm4aRO4f4)@GwLdu++W*ZapTa<{3-hn>YAqK^1KyPl1?|beD^`M
zBqcw}%yaI{ZFAkr6<&VSVZN(;rjYSzsn}*erPs&Ut*wRA?-|~|Z1`L8?Ki=`i+kL5
zp3Z+~9lEjLmD9ck-bK90Kbj*76^~gz)rq#->0qYAZSdsT!OOO)hCU}dYkN2LcKs+Q
zW9pKbf4Yvx_OP^5NsUaFk-Co#`!x=YMJpFNAFe*a6=fKZQZo1Q(wN;5t7Y2#);V39
z#qn^yaMKS1rp3({#FZ|+c~Q9E{hx5t&rNfR95{47`d6Dd#f05y)M{2bl5gVDsXOo4
z%)(QqcGVo_vPmnnb+<nH!o*;@jdOlNgiQI3S10%G|IHP7^OyAorcb_i9+qYNVKSFr
zD4Y7b{C32q^7)UAXQ?sWtodxe<iD^^k$j|z(>yVrIKBIEks`V`_Mf`*M$@%A{DE~A
z(>3jE(+B$&<uSIH?_%hxQ3y}@CYShMrnPOZsqBLrA*I*%PE0i8dactW*4?HUI^*mj
zv6;rjJ6Kmd=?ee2er`w4oh2t##l#f9+-aO6=VkcKDPr3@`?u$xx_MP!c=PGlj_SEW
zrtW|3oeewwUh7di-L$?+o>Psv&ciKMI!JHj_2`t&Ia3y`vig;Jti*Wpe4P#ZOLqA=
zhiliaHNBw!?^V;G4d2^jUv75!VYID1;r-c%`7@4OKCQ9u)3q(p66d>|o>Z=`GmJ@B
zJYI9zPM1-TFGn@MrQGga`<EkPQ{}u<OrLs0R%dDF$1UYDbbfHO$-gpSV)*X(Pthq)
zB%egQP>RfpK5}W-f|;ju1myJ=t2$0Ot7U(V*<3Z{Qu=KFj>)F)72Zq;vYXbPQp5bS
z;E;=AB=i5R8Yd@QZOVvW;m4*d{q)p_O*iKL?DKu`>GIx=FDiduO<gzBsJrLdy$!EU
zB($xa!+GY5wc+BfzSFO+*srnJn8f$rXtQ`oj!T@Pf{D5Qj|NNa8FHKVMZG`zp3Uvr
zn-H5Py1lo*<rsgR``KP~<Km@v&KiI1*x9opS6yZK<nPJnHu5r5HYo2r;mGqg@6W81
z)3f&kS>B4=75VJ|o4TQKp7%D9JvN<^P7B>;#7Lj0E_F|e<yM=vrt@RIGDrUOQ-b2N
zq$eBOFMnIHdExgN<sVk=E<L`?v2)c`!xu6}iEIzg+h^w$pJ<rzZ*R2tKgHV-Qrn*!
zR_xVW{m@#_Yu3*{kAG+`$$9tZ#fN0GUweGkI@{bo#Mt&?^)<UWr%gOXw}?B5$nH#L
z(QGR9_g9>Aa`8=3k-1KLDr1-PC9FStT};0}<)Z9KA)o!in|srbC0Bm_{>hj9u+u`b
zmm41c{_o9E(w7--F=N7y(p$`C+IzWLHCUcqdhTmE>*d>;n;UNC{pY>%Yri~;uiJ&C
zY-^YbzId+bzp&-U=4B@;r)Ne@%Hs+(IhZrK&)9CkrC)_ArQVjC^&8~uw$5pr`fg2z
zMZUIr^k4OrrN&1X(*K-4;;g>NHApFS!@XH5?<Bp0L*}(b1O|OL%~;PcxsNwqC;ID}
zO9l)#L>9JMPEoY=I6XtT+%eQR_`Nf)NcgrX2WJH^T|eUZVZn-ba?vI4YIQkpzT5e0
zaaxvel&(sF@wIr<e?QNBT)dI5R49L&rD0E`1kZh2)9$5}j8@G5O^-~-<egLSL5{<O
zrzOE)i%XQEC0EJPo@ElE+rpxP@4wPd)r^_y-O=msc7FMBqbY52C%C4}5t_U&{GQ%*
zrL|8t*<XL5sgWMt@otxd&%X$5jo*1(rnhu|+&4ceed)~K$EnBjy2MWHd^~Xm`&)0P
zwKJ|6?+a#8bY0;1Md|Va1?k_Kxi)5&GvoXYy==Sos&>*N>lX_5Jxrt~aX0KzJM!h<
z-X+CdH^f(#naCJAt2~;?duw+4vy4XFKSni7+<wz8oSYE;{@?@u(+8Cn%x0KuC_Q`C
zDHf*2iz(mwC)%<5eTruNCSA!Nl(*`Te4Fywj)+D3PQNqvzj8UzbqhoG`LolsJDxM|
z)?AqUx7>)UJ>|~qs4MR`E!Udpx64uIyS^Bw!j?ZhHfKV7d>`Du`ZUk=yZ^p)i<uH@
zQx~^9I&%0--S2&eor+B^|Hzj%n65wlLDd^K_pm!F&A-38$lDfXzy4_Q*ELf5(G7b;
zUN_0FE?D3g)F{@Y9QeBV@z-6`_B<@ikGym5*|#Tr>>nowFL}FTRqnnmfBDr;n=VLD
z2~|CH`SgKASr4zZmrQo&eBZ{)efHbx?cPCUf8tl)TP61Pul~JE30{rnm)%FsEiUN{
z6<ytQ;I(fn`<t_ma*cPl*w?A-2sTt`P+J&V%j=t<QIaD&L(aeVe<0V+t9qurJO3Bk
z$1P-*^5m~xIX6m^t8<Ip+2<<{m>5lAnr>#M@1}R?r<82FjhXx$@mZFs4&D}*U%1b^
zvFYI2&nd1R9(TIp9h6rTIYh8+-2eHL<=v9xGJUy^3~ShymonXQ(TGaFYNWmYNMY(W
z)2Y*+DPCAOZ<TZUzE6iG-#AT9i+wJ3lXt$Idq{jU)4^oJBM0-=T3^dOWvnV-_V&_*
z{%<++GyOYFyN_(o3S-~;caF%pgX;v8?D?;qewCd&;l>O0ii$cLwcxBE33>B^lQUwb
ze3>gc)h#BMUu#Ox)Bc^w4ED#ku3OJtpBw$C^2etHlej)nR+DnCoJbdiBPX7jKDu>d
z`RU04?^r#RRQ782ax76k^T#Qu;`ZC0=IZs*ou+1UjtVjt=`@?Oa=k5R{WDj)?M2(9
zy}y}+&hT$Ch}gQQRa~I*TCQgBmflEfr@qLKu8ew9r(B#T`oYZgq*uh}BeAcS2D>&t
z**&Mb<<Yy9NBu7y`oQ&L$E3$maryV1CM}u$|L%_$&T$1YDLcNbQ108~bjilId}_sC
z-W<h}nq?N3kMRZ^;Cy-h(A4)4ah72|u{rbZuVN5!c)kDM#j+%WDes<5{%Tl1H99mk
zaE{w7mdr`w9h0(!0)+eb7#4K}ZBCxa@z~9>r%-cu;-fiPUy~=W9zS_oA?F_(=PKFi
zN97B{V&46ZnPZ#MGV}BLidb$fh2WG^5}IpoU&%E%erT2bvh$UjC(hThY>h8`ly<rI
z?J04!9&xkWOXjxkTu<~qy%Bc9zHH*2erf$gFI(0XigR2JhkZ`z-}KIRMaiel%fHQR
z{Qa|hp3qv^`=L99;~IY`O!!uPp>;u;b-nO<OZLh`(ah6M@42tY5x=i7{N#?q&znD8
zneMbmpWS=nWS!`W9!J&4a0f}rkHyUbN4#b$ocFVID3)2;cQ&#9#hERiU2L2>loL1H
zUCGk+L41<P^S7O|cZAld{nq^wz2#<8+N*7EADr;2(YZT2MJqZWR3dR3XD+K%ik+X(
zw*9*U?B@T8xNOz@rLZk>$M@BpNy_c~<-I2RGO`nP&J63E>m~i{ga_Aw-<`Ku<nHcx
zq+K5Nn8A=YdBu+KsmDDP^meTOCY|%aQe*x#j<ehDCRR4uYVnB8)s4^l)pTN7x5m61
zX{#@%+*$GHP+ZsI`j??=N}pWK{Jz)rzR|loA9t+}+IjmV8;demi_mqZgs#74JKt7h
zC99oh-19=s+_dlVs#BA$x*u30GdDA?^QXg3ld{9*JSneN*&MSj5G%C#|Jh;tRnNK2
zLFF&5ZrH8*&iDN+iGbIiT3FOle=n}iYg=<IS>=lNm4k_ipXT0=RWMOm|Kv{dk*;)$
zGh!bn^`|AM@+_WMYxOmS(eHZnhIi3tR9oAA1V*r#@=pA7E%wz$b<r96JJKF%oBrwT
zyxCZ><Yh&7@TK;6jy7*u%L$jIFEVde3b?;u+85g=mo4T?*fhB|n5-&Ul+ye|+4t|a
z_U8f5k~sg%`NS91u<g^oc<BN2d#)nGD)o=o7Tt1x_366Q`<;t!9cp-SV%HtTIZ{$@
zvbLO$-TB4wxQlz^F_Y4ejJ=<@9-O?nf32Bu=rr}Frn?+lvKia|OPk!>mH+?Kfir7m
zuCL$3+BnOnZC`cRhlulE=KM`6Ft4>_Xur?=|FX>153ird_+HSBknTNr)a&W#-!9%4
z1FBgZ|5scqjkxNz{QvT+DcZB9vRrRIU~$v#_C`_thK<$CUn6cy-r{pMSu*YY+av$(
zF7PfmGO6@+rC_dUiSMc_zbBt`O@1BLaVb`ZH7s;b;PvAr_OTkaU#wPJu2tB!zl1+*
zt$niNB)19kR;Y>H3BC|g#hhNX@<&$EwSTu>^6ZzNaZp8FqvBU!W!Y|@1u6-F*Lbf~
zPv=h)epWUyu6XB#*OSigpROz}qIoW5@|r*Y(s<ZTxtmlyX$wx-|DrwLUS_YjfA!8)
z{=w`IYVOWd56%5M{}#8mQB3W{gX<-`Wlq>gPqX)3alA+N%LmbS7h0d~QV#wQcl6tY
zj|^8NKMTq}<m0b8Ex0V}>Fm>$H`=^Ev2Jauu`bI#bmhO4Q0%f*78=W+2?QM7yPxqF
z=b=}Z_$ow2m-Ae<zW7#?#oP14m50h}+mmnFGsZ7(UEg{=M`K~Q)1|ATcC#3sNQlK}
z&u*Jwn?9xQt<~qB4=bk@@aUKANLA}}Ogt=o?jK9yfw-OOS6}{L+vpPXrEyw}&{w~Z
zweQaF;VLwntoUy&<I@*XLF@ian-{Dacp-Df1M^2$58E?@2b<3Lvv_v>m3Qp-B0g7p
zIVRs%pLJm(M>AX6YSr5viud*|cv632m&U*CrVVPjHT&Wm9ON50lfFkSeZ06@bjwN4
z?dHZDJ+Y^RSiKMaNEH40+SgozQ^n0>-=hNy?y0|Rkxh4;)e{~by1iZO8KZXQ?lVD?
z8=kV8&+v9&_EeB-yP#{QrE+9Z_X!O-ZNmrQyzy(2uWl6nBYFRt<fc`U=hdHIXkToT
z94zrmXXibMtF63exsF<TtV!)$@l`^iQBv{BjAGuakqZvh1XR0k-!1*e()LnBt<%l(
zotw*d$1hO0{${>%mrKl43Hya>b}EJ%F(?`w{qtyhxxn-F(H(Uu+b<odm9OQgUO4T@
z!`>@?ycL{2r#&m*T50e79+j4_ttC9O^7w?S4qFzon5-==Ui|N5>F)MkmN(y6oLXd8
zR_@zWGm-6t=*%4rOPqFH$ojSMTF}S5$BvuW?mkGAdh?`jyQ}%^x>?5;`mH>0cHNJy
z7yqbFm#Vw9{ye+q!9{<!WgmBl*>7y(AiJ~s;@{}m>n%40tW@%8;6527;&5?kTz)<8
z`n+$~r>?P_^Mhmct3Q{&eVf*`N;V~>?kNZJf40{DQzazI%GKLrST}B|N?cbyzcbv%
z)}{S6XJskVsx=x0RYvSmDZi(z74;Mh={;&&a%TO!UDMa>&Ul<ZckdU!+t)p(vHiCE
z)|a&+d{K!@PS7oLZsD$|$$=pTb-TD-CYoxnzPCBYw?FsIHnyu1q(AEk#)lTKI+4<U
zcH0Y=%1(dL_p2gi8E^V}SAe%rWy#bZ`BN5`uS<^1IOl#T_r$72o64SZxG)LDt<Jjj
zv1rLs7D<od+PyVlf-0GAX&lKbPe(ETcyObwZnMbxEDJx?gMRO8JI`CCCqJ;<zHe%>
zpMq+|%iUXczHL6Y{P6y_8%4?_U*%M{t4CEH=+Weu;M)Ct`jlO!CU2%3Gw;29`%{RB
z-<)f_OBiCNRW)B(Z~Xl=!<~A*B{h4pxRer0JQW{Ve^@De>+K^+B?*hoo8_)oC>FSe
z+2k>=4XxYE;p8d3{MgmmGkAYqWHt&ZN)?gTc$&I?PC+O;TRmIYaYoOhlK0~aHXAau
zXC%#$-r4roUD8mvucyoB!(+~FHRbNPM?Gh=)C#OJ^nM(=>|Te>kG$8nS+(}7>=x9{
z@Cp<VU+a8y#hR_t_PS16>!G@0<1_7D7xxO*ykE6tBHxRD1^w6WTG?_3#}wv1%$X7V
zFG}COtWs8HbC1`P{r_2<@{Th-o@H=4Jg#R=RO0V{c`T`qiY6~|`F|+z%q73I9jmjy
zt>x=+>Q2x<8{G50+VHOTCA*5k1IxQln78j)ng1_rHkbMq2gCA~-}%OZ{&C9hr;D^2
zHZA#7B-{C~mpx8IR8+}QUCTFU@w&;}({}mpYif%1Z;Ui{IPS-hwvhYj!c&fS{ysS_
zY<v2wvyVeEW6~=5n3mnIA9hCxu2C+G_;_hi@3gtXn}UtLa7;gWBa&&;E2d4l84h`?
zEri$)roA*O(a$My|I+BNFz1m$#gXzeyN;fdW<C92*WLJk{TFwH_m?{_xpQKr^yTHO
ze=lxmjl0DDH|^0xHQjR|9Gh1kWQpg#eydM;T0+lFg&994zO7K28RYi5M7|@2GvUso
z07LDjcYb_Ze;OS>k#&VTp0iQ%#f!h2ZY}VB%_L(tP3zC>Z(7>~68TOrlw5mz??Lf;
zkxh4d&M^i`Jl$*EwCnHXupJu@L@Tv(@I}|M+~H-N`(2@M_wMGT%L%LUPBr;e-cBr^
ztygr)l3TL4uJ6I`l^@EqOTJ3io^w?R53%wQo~i7*z-Y&Xd~q$le@5Y5K8o_UF3(Ef
z-x74pSR}d5iFGamlbq9&Gas||u^u_l?bvh1S1od(%<jl(52d3H-?|)n-0J*wPt`>)
zdv?tecsV2B=Sjz3WxOqd?2l@qQg;1qjx}uBd!hPT@FTNRIWNNxYnAL{j6T1q;=c@A
z-S?UMf0h?ThFPWXdoO<eo`YRVKkL87-U*hbQ+;;jGriB8`Qo3auK@?!6ld+-tDY{c
zoaS!nX}jv$iGZumUS-$FRAfHYvSr^aJL%ZGp5BG^U5rPgcs3|Ab(wHP{#_HUZ?5xH
zd7=5kEyZ1?Q$K7iJ7KNAuj{pPU}3Yquibm!xDV@J_9Zg^jWptK67A2}^@(5BEqdA>
z_h-j9uWZiof8;saF7$7dzh2xLyRak7hSwurZ9XY3vOawMro(y-iFUGL7t5!gKHS({
z{L6Q>^v|i+gH8qHhP(7mPK{1)i?1qQSP_$|pgg1V-KpbV46I-6eNFREXqX*lTw(g`
zk%zfSc6|9A7HtLxNA1%(96~H-Zb=9Hn6Um;@7twIw;a~u7S9aqDUA%S;w=kVGc$G8
zx5euFmNuqnec$0-?e@61EL+Vwd+X$GnZ&<F>k1CfTk_c^HgJB^;z=`hAA5ZK<mTJ8
z_pZ#GBfabU!-w7x`;Ia_WK@&bdfaM##=PyGYIn`sW>4E%zWSudhlR-s$~RYUisW7P
zB}#Xt+s8k=L2Y}#zs#QdOVUHOnKkL^pYluYDy5C#Gn(Iotxw>YzkL0N6PXFZ&oc|I
z?q9sV{Z7L7`BO`l-s4dU<t+7gDSl(LY?)f=&KoulTVK>p+d6l*#{>Dxw?g_33;yk$
zCE)s~>6u?*XeRHmRQ=ceEKjCo-}~yJ`cJL+z<X9+&w_fHy#aD-=WB9h|NWq=Z1nZ~
zyzPRognFxfaVi;HEP1>8bDqr4*ToBK)^6qVGuz3!FmLuM3mp#2+t>Cj+FtSQi1ptK
z7p~uS-+1q{nE!&vV}9SZ&)8V$^y{71L!Mg=zpSGbM58ne`dspMwX5?U^k{unZz$;U
z`R|)uZ|+T8cPNYDmC2IO;-#jCK0YaVE%jKn@^f6y-^3*g9RE0YO=G%K+S1VG&#Tf>
zGP~{3yCl^k?!x=#tW$fp=9{l$;nTxhIa^Ks>bWahzwJBS=4rAlDe|O{<HFku4(yXu
z$y@UF_vhdzr?eQKZe6ROenITz7S)%Ne($b`h>F{(^{4R4-B)uDuXlK}kIy|ke9yhs
z;(K!+yxx6pt>hw)_QPA2^|Udo-VrdEyrOqE!&P^Mi7op#KQP*<zj5V6?!cA>2`_~|
zD_5-vn53(HS~tq)xbUNe-CC3O#_8@7RaLpcxrDFdowj0K-P0{{Ow0L87_$x?{&(r|
zu5Z(<q;`Dt{Iq*pZQ6V0szo1Lx(ys-DlN_*;FOYH_~^TnuSpH_<VLq$A{VA6FWVk3
zKC`Pc-QcstvA;8v?6yxl@Fzs4vthy#rdM}ww&c(0j{U{G%-oO5|5Ky4i{LH!#kc2e
z(EIu++}~|_qTM1rmuV|*KI^{|_=q{|N(pCv6st9JLPBGe-p9QhQP=gJ-Tqd3I7-2#
z{-=b3sc`CaEj7DssWWwEoV(h1fA0tVrlR<BiYyf?HYa~lF|0UlQ}y<Drtr5d3H)O3
zO_bdX6FS*7CAzO%QxgoX%{}%oE2=1IW`W8k1tHD-(;SbzdKGP0yQFjbtiy&M5*R##
zRVSM5`pxBiZ)3<5O=~tTh1%GcalDr9_2+KuT<x49*Y`eX%GA$ud*`)mJ;u1YQ=7l<
z^up^W*4`@66b+wJdq~#q?1XrUl-@u4wuP^Ko@2)Oq-!@<-j+yb)|D%=)mPo)`k+};
zKjGQ_SIlouXU+2PHedNTw6p*1Yt=a?W}J}T&-<-=)15ahrK@-7RA>60ZSC*4ydozy
zgvo7AMD*&3=P$0xjEOj}(xN3kX*s{DWzWJNLFVFN5ofDhK6M{Tcr)eb^_(R+OSyOd
zY2kjGo!p#!iL=AZz3k)Ce=98_)|@)O>&l-BEvcJo>DN|kY1|Y3n{ek{r&@DnXslT8
z1*e0a{yCS9d+=^K>T4aB!db9YdjHz2rS~}OUL^S21>V#*GXH#5L1Jlk8k>-cW3TA?
z#=356F4fi5b|zd$I``YLv=z?r(|De8#@bZtO>q7Rw<!IpBWt<s`!Wy5Hau$x{uNrV
zQ)J_V-51wHSGT`Xe0?LVe6Hdm_DNSCs_^ZNK3M;Kmwdd{!o)9K>ipdP_l_JqG~@9J
zrS5(IwBM=x$eq4w)4M4qrhXe9`|R;P?6@$DL29w@L(RAi-($YJ><hAcS{-;NVfHSA
z)qxikAByj1G+&k8>Y({3t@onPe~o<q`@2?q*UiZg;Ch#6Q>AaQc~O7uX{)Lxo^@(*
zGRFTO%1X&ewY-=udsTD;W5cW9%yUs+()ha_ys{=w^Pe*5$Qh}JyKQvKRPRJ=_L+X{
z#O-UQ^Zge5b_@-;p1Z^BTT6M_may)b*MF3Hg_uQNV=dZ{lpx&k{h8F%m~GOR46igc
z`R%>l5^#@g`s=7|8=jfi&WYamxj>%ZgK<It^YMDy@7zf@G=H#b1Ukn^&OXxK(dyG0
z?03?7`r4+S*IGNIS?(W9=@f2o$b8eE(yJo#NiX#F)&M!N-giMYr*5Wwbo;hu+m_IS
zj}~~$op3$>^!>+Yj@XzrtlaBUY$1N~&zVD6iei(i_XOVyaCoY=Y>B@2zhL&FNl!Pi
zB+2f-=Dk3^W0l^kB^m2qss35A`ljwBuf(|~-?sNn{I}e2<DM6<CRWYwl8p_2ayZag
zEirTP<EXuidX;PEoov}+6!qXVYjnoUwx%`R6K(61KIv@Id#l}WWbs9r$>-QZe3`tL
zoVfT@w|yG#KJKDIy$$Pba9`=?mwmtB)W=mHOBr7DZru|yi9`B+A-B>Z-Q^!s|7t}k
zO*}QLo6Y*C>B{XqY5GocS2nJB!jjRhxnoh;*VUfN8rR-jmv|K_^y`AuCEeW$8~1(_
zx9AT(Rl?A+c}r`~2hnq)8FSTpSZ=Mn;2e3f)#}E_h5V8NRr~Llx*1J4Txln_>;1bq
zGfZDTFq)wp)p^^|X}{D=+qKUf(+}_VS$@h(dyy5BnsQC}>Yw)-H=I;olQX4y^YYMK
zW?^^R*J>6|r2a%M@mcy;_I=&tGg<q>1>IiD`?odJ+gq-?sa|?z^Mc^SoeLw1e`J*Y
zv)!IC@z2BQg`fXizp!RUGaFNBP-0Y?xf_!O<C}}03eP3&lexU$x9%O87XdLL?jgE5
z3pYmZbe+{bHL4)@Ptemg58GEM<$==<1aoP;N>EkMQd(<u_gi08$a!M}?-Pd(Z3$id
zZ_9K4t@l%8`QIe7_FTHVUjFYNg$}*rr`+1@9x;n8({lL0(zfK<!}iUe*=}5JpYZmN
zw|2Sea;=|{%742bFdXPJkLHXFOX$vC&h%Mi?!}Xj`+R)o><EbOU3y(DZ~iNdOJOy)
z+PxTCSM6Q)p;hbPt`Fh|0|eDS-P+sFo?|D`6zN%P%T)KdNbiI2w)y|KHYok)oZGql
zg5}<wk-M#A*Dd1P^QS7VBJR%z@kPyI+RJ|_7yS>Y^T=!VuTtpy_Cuuq_a+m~-$s`Y
zE{xGO)VnZWV{!a>n>_BoIWL#?<<!kedc;={XZNs2^wP%r=8x6e*SwzPd!DafsNTrP
zXrln<tM?ZB1lk!-i`X}<{?w|Q@A&rjSMK#^r81S+N-Kpd_s3tjD?LkFFC;;(x_r%r
zU)GmhKgQpPx$UYPklGx2vo!dc*I`{l1zpvL(=?{d-q*Fd)?yih#slv~PfyruFWLAt
zt2+N;g?5yAux(~6i|GlIHhU>)mA#+#%+PW7U;pCC_Ps6#&lEPCs{eboW`bJS(Wn2H
zw;um55gVB4KeK|N|I8bYO{S(Z_P>9-#ZkkGtK{Q{bO))LJu>J1F5!w$`&Zgv>m6J;
zchi}p{HuSYu8Yb0ap2n%{|f=R`~F(lh!?MY`q{QSmH)h%7?+yhT+KGdtIN|(Uc24M
z6H(+zTr-dJczDX+?tOQKY<?8aIe%<(`I*hvY9=;s*wU53>3=p}HKAYQS;1?&=kF#e
zbh0ik^Lu`JQOf(D7k<awTwVCNZ2!CVnrR0Xhv;hmsxr%LWo4aU@Z+k<-msq`Zx0p(
zI-f05`rC7E{ex}ZS~r)Mb-bK&|6Zod{CR$Y&-niEN^H2hxv8bjPeC`iDx{YyE#YRq
zs+6d!MTh-Em)JEvGuR!ip2$DpyP3*pzI^lD+qISd8_lO3`0+ra-6iW(Q=ip`pKc2a
z49m_d@~P^*TDzXlbFu}Saw&V`(o1GLmmU1m**x!{@&SQcGrW1?<L#<lxfMN+eTs7s
zx3)a=a*^JRtXuZskM5@0Ut-xhRiL!N#;(T7*LLASnKQ8`Za+P%yZT3_!J7{U9v>)=
z(iP>DFI)AN)z$v&>xrk9FrHC4eAVev<?gA9(iaWmf~sTpFG;*<wD$MjF7E=LLnZSn
z@0D&ovPR%XikkMU?GJBl|MuLE@9L_5GjE)okoe52a#GdID@iQpOuW0LrU`2-ns##I
zEd}$VzjgWcH$E?K^*(m?OTYy6n7};d@JOrfp1o7gew<m(J*A;agzfGUgQBper{z`A
zXLntx(Q^BH{Gn&w!%(O9F;AFFr9E}jo*LOG{5dekI%&b|h>ULej;SGKNw>`XBy(Rm
z^%UuG6&me!X%0HEs!6LlEW#t~(dU%qtN$MUmbGls+KAm;+9g_ir)u7wbrbQ+Y>-oO
znBARu$x6#~=Y(qq3k@spx6X<S50>8UeeQ(qXJOmfpU+nm1cqyr>+tEt81*NopUPDH
z?APk!t?6}GPWgbQutK2f>yPJ^zt|+JOT-@gC7LtOEV<*rt14TiVE<rAk;QKAZi)uX
zk=!b)*Jjl`{%`M~5Rm!TzI$ofVb{y<hxw%vE4?h)CLB1Ee`))osNj`d{QF(68djEf
zv!qYD|0?xhEFZ)ETQhGK<##c9Ty<}=m0T%%{Jmmo=-!He1&h`;|8Qe_nj8OmXUvkf
zHmu^`riHt^%|FYyjjz3FPSaF>?wfb!XsL(Xn7#8u%@w<t7Gb92M#>GxZz{96GA?JZ
ze*RMa@2|{iwWJ=`n+L_hRCCVF@Nnj7oHzMfJ7fG!6VJatX3e~!dDy?KP^dQf^Q>Sk
z;mftNERW<Qh430J{dS1)kcY%EOAm$65)H*?4pU{``pG8$-NR>L<}NGr;Ly*WP*;PH
zsaNC{U3}E4S0w&#!xq=3gVx$hg6c0iOU#|POU|fXRaLb0!t1jh;vN6aEIY%yJc%>@
zK+cMU<4>2b=bLQs`*Yy^%3HdsdWQdd*-JL3G4CoUWhq`G_hk!<-`uWMUEx1=X1%&`
ztW)}-XpF>sg#(tKn7GcX)ja#BwK->BS5VQyD#n);k8`}Ngg4bTR8CTzF!P70@<y|t
zzhBkP_-nG5h4Vo>-|CDs$y-YL^5=DzDPOshzv}G+ZOx9NS@WJo`f{KBD<ZYcTa8uc
z)LD(hA2YvxKIiHx7x-k_i^-<!xtG4nRj%NFsq5g(xjn1dq4$r%Y4^C*b5863;nd8Q
zyRz$ybQnWK>3=yRHiO$sr#@Rg<M8{ro()dYH<wL|?ELa$j|RUfH}B)n%q2++o=x1D
zl`dwOWAkr2$LTPKGb`6QC%9@~Dr^dvb1Lhi_T_U2U-dWLc`o>Zd-AmJf^(-`_2#Me
z{m-;xjo!Cg=X89TraSU`yOztAFDh2N&Rx0w826J|)xNiE-URXFoiL0Py<?|w^TPSf
zYj)Z1WZJT@T~FDg!9_;UQ+;n6!^W0_l~?|pIa!|5`})VW**8vZ?N)xqxvskM=&haa
z=Vx(#=l@%OQNgdaVDf{=mVSrT^LGC3ZIqa}z~a>D35$=*<q9~@+o2h=b7jf<H%&q{
zZ+CTwU;ba8o}97!QCLdjgBAO?O<+HKR?qnD;_nBh&;Isv*6#aVzb@=@P`MXZR$vxy
z>+Gm=>RRd1@9O2!%xdKxCRU$K_oz>d_;22s|5SXz@fyKo`!nzMg&1@i|4%6uyWg2z
zmX&w<fn)ZD&^Jb_ZcmFc<j?lq-+y!IMnRqYvw54V8s20t%Y36<FzM(MrnRbn&L)N|
zTcrK)MEaEzQa>J*8W_hu`D33HRn~R8_T{a^ce#IY3;kMn_1^wv+BdwNdR-W1*u8M<
zu5rmMarE`OTx_jh+?IVq&raFvUY2rTy2cVNiSufo6EE~W)n%4lnZqm@Hh-tQ{nn+;
z=?4Q3obi2`-*J70<%Sz4W-_YZJ^6h><2H#U{J(#?Y@8uBtH*M`<AjXemon^pKkr|-
z)u2pjk<MxJ^{wasZI1PKu(LN8o94z)IE!h;TdwyH|9lhrJEQiG_lk4JXI?yWohxMl
zWADmK1>X0B-3`u*Zsrp?)igun>Ls72vW`ykoP~K>YmQwMIyC*=rW5mJYRm4tzUdap
zajQSxZtAxd#tjiO3}3AeNnxGw<^gl<>7pmIjA!rv>LusgmSJ0#>*loWqU3TrPwh!B
zpP%(NJgGVLAV&z-znzLo4cSjql3VJwt(SQ}bAt81neoY-&tCkRb~Yhux?t6UbG_Af
zt_c5$oBMoO=t+Se*OE8PyLDhoxyK9ZjBQm(i+iMAzWBFIWR2}imzP56?WUU!Kbg5}
z&AIBnrZkg}ZS}G<d1i8cd@&(;ViNO--xn`NiNqc$IFS7Hp{)1HSI+(=sTwC6E`Ri0
zdwAOGZJ#>N-xadqzZqD`ynT|&v&7)_sa?W<TiF7wMV!{vnYb*Uky(5BSkScT#owm7
zo>j>|)4kpOMOxHD-?b4l*ZNePVh!?r_bR2RYvv@YSvS1nTWVvcW*xki8*A)sr1oWj
z&*_Vrjt!3eZ!d2)cMjTl#s1|_ze~20GK$-Jo`m`Jys&b<?`v2*_xRyG4p(!Pt@}Um
zJURJf)25GyESP+1-k&Jm#+!UH#J63=<aL4T>)$2+N-po4ZL3(aG=i^V+KGa|kN@o(
znQQ)6_#DdK=yBd;sbu6Ix7;0!v-vk)T_hlPB<K51->W~qyfu}$_<M`homrYf*Q8p!
zIrJH$=AZZ^5NW?)=M{Ipl{+g!qiV}i6Ml1vpUpdeW$B{!OY{A1h5Dy{*ra;mq4Tc&
z)_Y6z>|SdID0^)!J!zF~-16Y3cHC`uBmH*|{91VL2CrXtk$JIgVwYLFNH2@S?3yo+
zCj_lk<B*@5G}B#U?IiuIWi=muvhRBPv^16dZSxN;$G}EWvzRj34@>9&>uuc~&uKUH
z-`_9}f$Y1!g8%jR|CKhgVBpj%=bQ24;1}I<ml&+iD}Pueb9}$u^l9R12^<r4mMr_Q
zRxeP)X+gW|tqFDuXH7ZlAH{!!C2W818TmA>i-9kjj;EzmWLhsc;UdXv|IIAknd#5U
z_p9$bU|PBBR@Y)K>HeU9bCUL-dcFT#{@bGmw<P{o+<Bp0UQ6}4ahucb#Qm)g{Qs$L
zyj^~06}weIp6a<0)=ObrnJKZ0PJ8>`Hrf@}wok<<*>=)?i`cG+NWlm9=Po{_yeMM5
zg~p8eZ5c6ML4RwX_O-9&so0?U;7H~fUGa#ViZDY9S0@Dp1%<Rk0|O9kY-wuX75#9k
z=5OnT=1k{nt()C#E?qjj_(QwXzeNiUE*0{drSJLs`;$d60p(q7?JxLQKK9>bytAPB
zp!>4)^9|GGyQW+|cWD347FIoX<tx=W?>;#?v@{8PiuvDld6{{0d6VY}9goPn3(n|G
zYS?h;65EGg%4(ICdzT$|E%n<Sx-Mo>rIzPL&JRm?S0-&VOPy@I{dJJxoB6Dq>T3Cy
zmWK)&%S@3-`NY>N_ugFgPDjl2sy%km`A+e->z5dQb78-CJ+b=6yNzM#s#7*ZF5Les
zXzNjjB_9~%KN_;VH7cmho&Cg3DSf+3|NDzucii&&T|RM_o{I1Z>kDsoBw9t*zBG!o
zP2t$D{cg^KD$}J!njM>0?^zH#>(h0<yjNT26n^@+on_tRT_5u-_qnfD-rtlUaXHO;
z$=$0>B2w%ThriccFk9!?wQX|6JJzN}PZsy-Csev7>=K_~VaF(va*K=6eAOjAFSgl7
ze*_7C_-Pv&YFL!IMbanWg^2!Sx$JcgDXA9|wNC8c|KWB`riZEGq_v#)(wfp%Uq83=
zpF-5Og=g-~XPHve`eH@XMV3>Hi*Mwd5qgtWJo%1e;>%AwZysG(Vs#{;YjfU8|L0w=
zr|Vhq3rZVDM>#BhCi-aeqbDoYobGl$AntwR*J+=fk=veD7G3r`ps`Ye>3;OxXQh0Z
z0+-`>4`~-^PYeh<EwuK1`pgRx%3R*wZn29I|9s?=$x_+JTYj}J^9d44SUs(=;c<^*
zjp`HDCnwdmnX{+gx_GhZW<%D-h3edouAkC$Nj5*a{_}-qH@+O!E`t>h7do#A*&8=w
ze%_}OrOKt(9xENwO|U-~=X_v(l*sn255%IMO!V~qc*HEmTX><xgX>1;7db55|FUG_
z5$neJ*Pezx=&Ip;eo*P^0<YgU+}6)}#OAlUOGAC%zOO6RcR9`#Tl1BZOHz+H$brvw
zjgH6S)O%;1aRk?wd^^dO;JjzP<kB^NPS*eUmv!)0quCMd*blcEt{?Vq-g7H(O3##!
z8_v!;I{R_#(PfpsPkS%UY<+q%_erg8b+Y3BqUQEj+du!y?7Khpf8X@=t1Y(Iv8ZVA
zym_1^wDy_0<(gdn<L`cy#XT(unDp7r>tDBG^A>$Av416;>ovExd;P4ef3rzCdbdrs
z@{?bu6;|)+y^*5OKh@cZWl{alHw??)I3HlkWXk4Sb>`|PD-N%S1veLX%4=WVrRVF?
z`u*@{gM~NSZGLaJ+Sl>w+9I`dSH4G5X&Ni`$o;dqTrDHvGvVr*ij2Rn4mIEPd)}~p
z@8bRc^q1V1%iGYkM#;N=*Rokrj!Z8yo~N96Tx!&tbfNEB)t!m)Qc9AO!vE+#sj@nn
zD0Y_VZF;{$(An_+`=>oib2$Db%D9{VgdnFv;QR2Npv>;vzy_OS4U^@2&j>6Hz3?mV
z*U}@?)c7vkNO$NdXI$*RZ+Ym_a{*<#FFUkuZgP(BQhDViuld*C{eZRqyBW8_)|hr?
znc1_P?tk?;_-Ekx!Y^kGH}AX1D|6yuD&t4t6x9VTTw$l(7z;xmcDUZI(f6v|Hm65=
z-YcWv`NF^D`+6H)Z|XiWj}Ps#JAUY4M1{82cjcw57w&A9GoO}XrdYzcRc^^*j!%VA
zjfW-}aFkR$FIL?1{8XEJN~nH%;^(QMM{=4L_iCzpn6}FA?dP?3Oa1YU^}^+zn0c$k
zCgyQPhXkwq@{PT)EOL|5f=kzaJ)Ul-mLggG$mc{@b6$LKg?Hlwt0w0lgZxi(bo`gG
zsQnXL*uL@ms|5?M3eEdmaA>cq#tROC0**yX`c2zDl+2&ESpD_0*S)MfQaPHFzKHC+
zbE^71OHE{@PO4^>#?@sEY?D8UZrnVlI#<>DjsNe=5+=0;?|3gSNIi4G%<J`p%30->
z#wH7m_3qBBedn_={`=I|uTHXRs?U|^I9M1pz0hGpv)0bvdy9YfW<Ck9((GZhOw@b1
z_KL(;(aBK(MMBoU_4iq;`L6w~v-+A#OeMdKnDCD;{I8#>@;Lrm`}CsxtY4ESY>%r5
zcb{SM+aNaL%qDY_H!^MYA{@zPDG5_%+|4_u`})%MZ98vr_@ya+IWc+Sy?M)?Wv<`S
zzI)@rGLGWrHs0^gZSuSweS!l#m~5`+GQ3KU->Ga<dBF6*DFtaAxh+9W?+Sg(SBc;L
zouF{<)kMiPCswt`wwqh_wceK0bPmfom}=a|`RV%Es4Jls(vk~Tan)Q-yWwrgo?V*G
z$T_Y1$)mui2g=e*s%Fn#DyOll?~stg9cin@tMsKxep*fR3`%uA)bKZ7;#YsbHM#8A
zcx8j)NQUamkv)P}UcBGvq%U#9aVq<f3E$5Zep#8ApFa7(68W^(+xtGp3Fvq3vrlb4
zVKj~Jh&}_`ABC+fHZfh(f9<RJKQVgN!mOYpx{r2c+66q}T)i*H^UZGo_X#4~=5XtH
zg*M75uG8{;S?47jpEqCjRwLi4no^#)wQIi4c*Y^={pDCCv&M!sZ&tO=@oc#LdX@4w
z&&6t`jlbXB+_U4chSYs7AHLVpCq15C))COjSYW&LVcJ5yGu!*+mTo)sc%s=+tv9|K
zxCJ?~Pl}etw5;v=|81%BOjn(5gHyHfn{u4)&p#d=tl^yMGV%PXl4q8Ar{3Ml4G8he
zxmdsLqjF19(VX*oFK_79XD!{@^K$>~i!23Kh4oDxT+T#9i*KIb5O%imxc!1pg1dfc
zW$DO$x6E}7+}d5oQTsI{`rqM27yp_(yZxA@neoC}#VgkOqOXIxUswo!KNhyO?!ump
z3+G*0FfV@F-Ha7=&F%&~3pg(KUfa9*bsn45qdx~zMXk@CJ-aL7<Qi@EX`TmKj){t^
zPdZU%ZvXNb&)#+0G;BVL704d^x!S~Ia%b%H4gbAVx7Jv@_6YRX-}{$k5IwEutlxC?
zI?Gu7?{_9KX)b@a=c3r>xV>H4U60(awMPk;H9w7Bf9p_Uqe+9#74c)eE86BykXLwF
zz$7yD%v!6{Gdo-Fn6-qiWJ;gNYRjxzQC_%zs(_-}tDs38Y=Kb^6_eI|T<~7Q?ep~6
z;Zuy-SNVO}u=DUXqo>?^dDeV3x$?B9rJE;k-bTO3-->3XOZoUD|4s}F4vpiu6m({)
z;>X3lRriC{CeDfY_-5v+l&6PsKSdqhV0pT0O55zetdk;MXp6pkvHVNfeaWr7edQJA
zQyJ{<ZMmjw=-2+sx+0am?}D7__SqkN1P;%6)8OU4d)c1Pi9(m|-Kjre==aR_K>))I
zw@=-oQ^mfnpHT95<HF#1uXMau&3@))bmVep!0sR32KOsswWK!RY02(A_uFZ4W{s2c
zN5|PO=WD*7*KIQS>+6h5izLp@yz}zu0}CmgXK@Fsj!hTXVa+eubx<l|@x+PhUv8@$
zJpaR@d3Jnb+6gZ=jpP{}AFOH(iZ{&7_3xhjF{Ze0f!(~_g3T8{mF!_{l3V!8it+et
z&L4cW)eF0We7^ZRAF(;8D_EdYuwYlSxqa_(#jN`CG1D@9Cdsy47cG<ReU~+1@wrG}
zR_WBu2dd{TZT6G&<=$i~)2W#FN#<Y3@73}l7H=~n)`aS7#k~~&akb{MVOW2g#ghly
zY&4f0U$#1h^+2G^O9y}1H;-?x;=UC;QE&E@0}pm>sBKx;x6L~zElyl3B;0I4M)}`)
zCr$T$Tqc;Ky6xinKhHiYX^WXiABj76<3Od5@xK|Dg`O4ZUNTMBaN>0lHC(d6)L-<_
zgkLFb_HTc3K58&kS!*EoM?<aY=B9=If4Kf``SRK$UY_gb^m(eMe;PfPnmVnU!Jy8Q
zQ{ZZfZM)*Wj)Po_m4q2@*)46>?fF}=XYGOo@~leFt{?ch?y=2#C81RgtEN{c9g&~<
zMNqaVt!1ar!b{8T*m9@Eo}Kz^%Ys6kRof<RUuvY`n0RNsl#x2iA)ZD37k@|1JyI^T
zZC}l@t^21<G0<TBSma)zSQDdkJ)m^f{gxedPu}<WdV9ORw*4eqb!758#pWrYN=cqo
z9-Bq?ExW_yWV$u;`jMPVz7HIvUj}D%8Qz(>=0@J1rziR)^9qBWSlegyFPmO*)IL74
z(S4`1(8ruR?7JGaKm7FUs)9kWg+lnh-Re9BPDj36D|k@!`G=Y_-=R+n{BFu!-~Drn
zn8kVFyf^LZ7w+v9KHz5<&#11U$#`31S5%z;3{#z0vD52c`Y+<J>al#gBX*{dm~L*}
z2G`y8pNh&aJUqj_ZJy_sx)lt(x6i02+eBTr(@0u-W!l46e$mekG=5rDJCjrR$LvF~
z?N>u~<pzb%s*s+l5G`}D%vgDovPr=2&`Oq$JR_;zC9j<i3-f<DEX+{;diwi%OJ{x0
z#;e<AzrVXVb>n9*?u$(~Jm$t8H<jIb`Q0r3H`98Bt}XFddEC<4cJF!RkKA$(N_2VL
zwuB#Q+)!GWxA9V?jb)m&|I!mirzSWD@I5H$yY_;mGF)5sgV+BK<@cf6SM71uynoYL
zxI<=#<AXW-?=1DVsn$Ji_>}R>B!`Wg#Cb)SJ|0|kRPW7NQ=uUC<62%bYutrpv<|uD
zExT;GDmN#qPO4>>#MYC4YNg*@$?95ZyXx!w3m-&Q?7t=PUd%5`Y3qdrTms({I6q!2
zoz(Q<kz3ra$Lh=KemE-}Iyz;;qsw9~;g@bz*t3O%^oR>t8T@IOzVcqiT!*<E`g~R}
z3CN!N79ssmXXZ2MHx3rtI|2jGbxn2o@Yh#_Yn6I|ExSbOwvW4IjgK2NB}{ttXj{jw
z#vnF@-=8EmR%u`A^FA!dc*V}?$Apqyd};R&Oa9-xQlNdu>$VrqJ{`0^@38A}-mjAt
zv$ak<t~_*N-Z%dHm!F+aUMukDuvXDF%Vd2n9(TRDZ>RU=D63pQ^jf{lQb5f=tNieG
z#q(2^?VZS{X}y^zZew}>Eq~en|JL_E46YS7Tw)g=bJee9^MUOxA^uDe`R+>&wm#Tp
zTd`|mul@bj)~<vjxBsnquw|>#t+g+OFBVM?3NBFLDCQTnwn_h)B<M6pxO2|TG)C*&
zD=Rc|qiYU{tk~N2CZMlD`lO7vzQ1;vOy*mWfMZQgoyxB~54`c&Z_nJeX!mMszhjA$
zoogoN{IkAculnFk`?UuPQa7r8>#I5Iczci4;rl{yXPS1un!JAIk|LjOk;qD}XXkk|
z?u4vRC|)B!OZITh{&mqO^B(tvXTChgIBl)%BI`>lxcageZhZMw<J4S@-;v5fYsK^U
z1$Mq?6?l8Eta?i~@B6r9^_AialLX{^`~J6IxUlxaUh_k{uEixDzZ-M-pl{8lhJect
zn56gYbV&JmqvxLF_64u5CTK7HKacxV6vMT~re)r@vbVUK>GE#(JG*bMS$o3y<Bsa*
zlRwltPraOwxY6|gx;}FsH<peLuZo7;Ti>JkUhZYxTs(Ky`w;n`Q@bqAE!F&cOjmpt
zLsaxk8M`I7E-w{3cqhofS29(?YSzPu@<nTQq$GqiwrzYkE!KQz=3a{vH#V}x<$3RO
zaliKDZd_;VHI;z>zI)7{78?plOkQhRlwgs@nOA-LY(e%Hy%ViD%0VyK#NKdEioM0b
z9CYM(u!9my!a<j6-DM#jsoY{_JDyce@7nl>Yt9|cCAuz2W|QRh*<aYdj_pF`$Ak+{
z($*NCW6pbUCS!uT{;FAwT5lF;6}-GBmr%3+j>yjs*Bjsbuku><>EO)%gFz*m_oM}>
z{hxCpsC&-wd$kfjlkIL-bLPFcwdIba_ySJ}hNFs`d5z|Yn5=lU#@9k@S07iqfu^Je
z`@<z~8uIz(=DBHKacJ0VXqFtec`EB;k;)t<cN;A=$?10&npsUaI&*bXg6tc=6}F0%
zN-4_^Bs`Mz`0f=jKlad-^3x2HHh<XhJ+*~@=k?dYvgNEF<(|hqku3Zuu`SwPqy4b|
zbCpde!fzb!*rxh!&tr?_7d&qYrT0}cFEoz5&1%RhxZB{~oV2rUN$<3IdFJYLmz-6Q
z>ian1Fqf)w#mAT_98;O6SLB_p=s(qb`K;-+KXciROnJ^%px5oUKr48U#hWbGV_DK2
z;)PQhkM0rFZ4!B5GV^x~<E|eeAN-v(@*+32ne|^NUAN47$qn7!kCFX3?DfKPn6_`O
z4LY*Xw76!Mq*B}SlBfH4HyUr<xVCvt;8Cu>jvu)NBO4h?SSRg&a$HVX?dI;yD$%On
z|15mHt@qxhN0&I#*BsngyF6u~`?8=NJ!e+*PJZxjUqtsKwVLw8Bk$L2eyvjed0Wq2
z9ktptDTQy1`s?Or>16y>(LbAAsjql0_Nf1_$h(4zWiQ;g$YDOu*k(Q7!+EC5ugWMh
zKH7G&Y-6`ru0i+Q{?FUyv}`ZF^I_JK*lGD%Y3G*jtU9%9euZqF)7FLJ>-Oxl<DV4v
za`JtSr!zmi_p<pZ;_|yfzd+~F(*=v(#CW%#mJ-?^U=nVdA-~o#(|vnVM8%EDPdRKJ
z%G`g9*6|eWzoouh@<6HFr>LsxZ4GO=`D5mZY*}|Qp_}u;@#6MKA-{Z~<nLMe9yzkB
z*T^OcO%mFqw1}^1kLqC#89wIN^<OTp*ih7ZXyOEe%}o2GM9%HGSzGq{2yaaIC7J(W
z>7~NvccvWEDF2=sGM{r({!*@kS6&BY>6$4WbU9GGVam^rrj!*Yv()XC4zyVM`%ilI
zWx}ZiHy0N8-Q;vxe`sBX$(+i1Ih84^E*f$fdMb1pm>#m;^dx`MooJSq25m+AZ+?^H
zc>ayQ?k|_o!uxMZynJmrLzr*%9#v0xeA~|1yufAY1ecYE8)g=SzKc7o|Fi2u;eM}e
zxl5YY_qCq9|ETlH%?ls6pUsqSQal>t@^`sO%M0g8yq6=)SEVLgU;IgLMc&V=;f0xx
zk5|-qec7)YGylw!1O6{xC$%$LZ(s9#ZJ6ZYk1LM|t=}+d$E~!hQbAGE=CD4h=QV7W
zwzpk)!fAU`tQ6aolPY^x7y35cxvDj(;(U64&C##x!((e69sW|e*Wc+gZ;5ZElI6KG
z66+6qJ<i2$B)7Tw)QtGT`e~eD-#OMT7qE3Nk-cj;b5`qYsgq8B%HpR7a&kJfEAOnc
zn-+F`?y5T<WqoJ%Tzzsat0ey?*9Dz3%#%9u9j;lODQWCFI%7NQfgA>ozPTn-Gs=pE
z91ZqNl4z-2`L@HmT-<pBYjI8l)9W`;4Z^W~nq8-!T;8|lqEo<xjVnI#nD7}|W-#5h
z@#;P@?fLWrk21ce*tG?{n0oQzlIQ!Mr%ZW$y5*T(d78leYX0-$Q;l!4KNgHqweYMz
zWv{=|Gb`Y6M7+xMr)d+`3;%sn!m{o0gpU1|;bv3q>-GP6+rDMdoZYvq{^CikFIl!V
zx=QmH!;h|iW_w<~Vaa9TD^ugNudms#x^}Y7Kd+7Jo@pyA{L*!)J?o-y!NH<=M|LIH
zKGIpcg;A7omw$zI0OyT!U*jYVbd9~%P2Be2;e_lhovtFYI*K(fH?@Ax(7ll$S-qL}
zPqVt|`B}<B@_XYPZs#v*Tgf3QyP|H=Pb<m)HoAY3cSKD(Ew*Fv2Gf>5wI@#2-+OY+
zI{DdZdA^L#J(*f@Vj(-<sol_=azH|0)@_vni`&sx%I_pa{=Bw^|62KkRwv6p|D)X|
zPr1<Sq4s7@@BcOGHwBX`n7lmJd^D2y%TwHO=f$J+>F)Qqdun1^A1HA!%zSb-cy`CG
zQ>))^zBy}8d`HiBai@aBmr@V>);7eQau>f4vD|x?e1_UxZ-ZR-@T-<*i|U^9&Uvs!
zW8U5N=&nikF3(JnI{8fKN5ub=oZL@Vu5VK~JE6&P#f~ax5$&#<7W+P4uKX(;-18uV
zslaF|ua<heAz!>^!>f=PPh?Iimd>#A%v0qud%gPW)1N_Rvv$e!&OF@b@RA|HvD{0#
z^82NT%=~|+MWUW(XEh75vaVulNsU{c&-3!qPalQ{Th}fK(l1N9610nNE0^n|M;BIG
zKD^UpUVm`u!ac?Fwn#6KP(K_wTcAY!yAH<!S@qeTVYME2nfRujRNkr>>sGog#<nf(
z$V=xc;d0ZwH+Ij3#Z8a1Gy4i3u@JTB%-p6u;rg00-+tcQ`|Q}?ITbOgVHZ9|^Lcnk
zTo71oa{XGTarJN8V9B@7e<Ye&UlTkap~&e{+qCw-?aH~+pMHq3JgqNyUgE@$M=>9E
z|M(k!$=>W*d4p&A@fl%f4<4R*YK?#PBHztS)@K5C9%kP4aqBl(;f>!{MzA!Qr5p5?
zNw{V`cI<d~e%7A3JC=HI&b?rBwT{#CSVu%bqp;@N+lLnHdALn1@79-BJ03}CoK>$W
za=IB+5#+$|=$`qdds!+riD$*yz9?T>bN|?HxA%`KHf>mD+4$LPLR;A1wOu|Q|BlQ_
z4ES(~!`$w^LAmm@Ju@3bZ1ZoGMxL#o7MK^le!hkOr^P<y30zGYJ&Jy1ksEAhwXR#6
zeY(JqN$~BR&tK%%h`qKEb9>Lg(;Zg7fYHY9-|CM4-;DFm{ChZ=d*6hgCdDjGP0jOb
zUcDFP<X6n@t58ai?$JzgI}^6jqISg*hNa6_zH%>5I6FJC!r{~NOwJo-r&{(JcUt;o
zmq=C|nHz4ltZwq`<X7x!$8CEIPyg9<?jmRC&N=(%*<P--&n=XlFZImjH_!VbnR(YT
z-(@c^d>KFaS^fuQZIyS5Us4yv9eI(xR;lN*@y}zI{@+-9=k{6FnQ=w&_ID*?1<sd+
z2DfnvOk!Encw*+Fb50vvIS$O4P;L^U9>Cwk+0$;>8C-JKL1S;vu65TQng(t%>Abe0
z&0%&*WPx+8=n8q+maxl?>p!@*={@=S$G-nU#NnMT+naa4E3VHAaM8Guq!VmB`%9JS
z?62to+_Pin7U;cLna3tCI*-Br?fd87Putk3iuhQp`g&ZrPPIHhM_csdA>*pG?^eh9
z?^k_UP$)6!Sk=8XI%a?Cj9f%^?fA0y&|l%?okhX(vxJHloLcPkHY91S;M}ks8GEAt
z8kK$sOMJ^T&8*E&=b`um0a2c88&0X$-pt0^7C%z0Qva$~IbY60Gj-oy7Gb?b#?_`)
zDS^LMzw-LKWEuB{U!lttven!pCVLsz$(U~a<T^7X;Wt-*wbBaKtrE9%LSI=cKCNB&
zjQQeq>GH{PUiIsjlr}BN+Gg-LX!_5!zkZ(44d6MuPj1Uawtt!*Pwro1wzQ!~Gq@(i
z^rf)%)o+tl+zQEQ`zj^)VAZOoDwfRjrQ9($r*??lPdTx8Mpp~tqRX5c`YIlLEbCra
zm3HRe4AC2#B6f3{JGA^hd)=YnKw!v=GV#Qi1OI|6`-5(zK8$7gxF>DueZHG={qyG}
zA1<xY*qGzFTKA>zd%g>os=T&bSBMERa>&o>c>Hy9$9MMYuD;zpZGk^Be;<|OI2tl5
z=GucY{-;0qR{x4jtlN5Z+5~MmZR1<>b&?Who|)=(Eq8@?VnTtp|Dw#_Yo}c-S+P6d
zJxjBn2cy^2*FvxPbOk3J4Bt09^8BjmkGD1pmJ2f;{yy!l)|O98)@W!{)xPRqbV94n
zXwMt<C5f_g6ifJieW+0S=Qm|pZOy0WN~?5leb{n6OKRW2we9O}G#p*JFi>R0PUDIx
zFXp_zx_9B%+d)@mN+tv?Z(r7MZdIYP>VJMewXVzGI{vtaACfB0+j8HCk6%Pi|5N2o
z*2cQ~G7>iN-JgvzKFCa(H)+=7v~>-KWv9glZpz-&_-Or00Y>&KDK|uoS-w2hRCEpb
z)40bzkaKNe;)d6cpFgr&a8*!kwcWE@LcuxTmpewxkG?YH=@-+#m;0W?MI0_(*?&Ok
za-GV?0Fh6R7UZ1OE;!UEyUox(WZj>Dex`bjmI>wa|4Ba2|K_N2|5(!Q=u0!*T@-v{
z)!rHC?b-igp5r#N#JGnF=T%O3u`5h9T*IHT>fj8kyS1G%;&(YxB)7TGeLY`o)wMZ?
z6gC@F>1`88la`Z+T4Wgez1fCeWcfv(H5(Nbf^J=WbxZ5dve0tA!&|HO{BcV<6ZM(l
z<w9<S3!1#*{_^_{D|$ZP!W6RPYu0+6GYLLj+V;}7>*F~W@+p?RmO8&m@P$dqBYy1_
z(LeSIHfx*?FW%a_<q>zEl}d(5*qmuo7uN^cr}w2y`D1>rAk6F5A+d*D^FC`c?{L#D
z3bIQ-z_H@NxrD1b3PmSP)M5MY^?~8RCA+opv!i|$&c2=+$8mb!(LIOwcPyN7&3U7a
zTV#%C$m!NcrxIU0FW>c9g!^4Kqj=U+r(2<05)yxH^vqhfIkh;q^3VE=8@*yDc0RCV
za56gc*ZyyY%l%efm#G`n#XFOyu|`eovA(b<<H?iI!nSgr=^@3M{7SqI(#KZmH^{pN
zNbK!C<$6@}!1Mbu>`8C7A8QbMuy$giZn-6wK+*joM+I9)wfB1WIun?>4smcjwYvMq
zX#VlX<;&I8nnms}Iy}>Jv;Dk|64Tb%DKDfexi7wS5_-TKQ~&zbTJb&XzV6xjQ@FXl
z-@2l*<>Pa$?EAY+dm^u>n5K%|`@Bs%<o+{mo3eXtaZZH=O@f_a0eNR_jTc_K**a5U
zt>sluE_c81cl|D>e1mp-rh5B4bdaoh+p{ryiD_R?xsHG28lnD|C3>;fa)X|iEsp7)
z7xuaP?$b;ghQb@hwUK9U#mcH&P>lY?6IbweUIxS8SMLgX#a?~lubO8xBe5a%R%P6c
zw8Kxc7yV4rKmPEbv9#^wU&5y~_$p^t9&au5?9O?y;B{ini@R<`VjdNnd|vZJNB{ad
z?@(;kB3U6zp#>WZ3+1;YtiQw066;}kfBDYuuCA7~Usstn@2XxoXX=N^Hq#CtcFgYI
zmm0LUPxY`z5o`92jn%a#d6(Lhn3XsclJeWa^_KR0vNtGs_V{4ZirBLTy5Zam!6s9)
zC-pckh}j!;v$SD)&)fNnWY~f>i2uGODY(?h@auiyIT~lYa>XYF2(va!Fx(_t&0usp
z%lZ&Qp6cqyyn+!oF8}>{xaaoKQ(Sfo^0IA;tb4f{4%}|cyK(n93yb-w$3_fc*;T)V
z!%7bxnX}u1PxgV%u5F?Jm0a)i9@BaFt&qW9XhOkTRbKgd_3te9om~GqXQ{2fMoP40
zW^t;u6l=fXiQKa1uOAqc<=+Uf2q|h-ki33Damke|>8XuOy?+GnYLu>gXYu%W?esJA
ztXMg74NKV^1%76DTq%G5@WigHi@xP8yK-~7+&L!-oml%{%&Ldk^Wo<9Mx))OGpD(`
zPta3ZqMZ?Cw>(jggKcF&i{fs}Xt9Js*9ucVzJCd4AHP4^<MZ75$_5i9b<??<OFLxS
z6!bT8y_}ZG-*YBGEd8DH@v!rYZJO7W@or_PI2A8kU%kK9S0n3-rq^cO#4<CsQadsA
z9~t+*ygQM$|N5#)F*BB5@z8p1tkAS!cI<(+tfoAxy(>%i#M#y~h=#_=9|%2R(_;2T
znwM=cFBexqIfIzP%l(cAni}rC$y~6;Iwk$*>&wBnBRRG_-}ZU?3$3LKZ~WZCXz=2l
zl-%dqzq@n!+%M`J_PL>cEq>NE=k!-{fq#vQlv&k7bad9%3fzw>ZTh!W=!W97L`KdB
zb-YiuJH{TH?SE-f#RJ>RUgm{Q+g{pUv&f$B@75U<tTW*=OY7UyuKC7arDb1VSAS(C
z%<|-e$ZShD?kSUhCun4U5=-?l<K36ccJrd@YiY^vwo@ef*8Sm`qv!wocBw)3cKI24
zUge1=a@AKnu6KyKo?HJhkW;bL;*++u{{`8W<I-+SEMY;yJsE0NimVN>tAks&R^}$3
zaJcis;@H325xb9>e>aM|$W!;t{R_*N)4$68B+b;9EXZ2>>zISudy|s6HUbxZJv8O4
zzv~iJEY1)%L0wwWbfcy8=Ev<zx?S@=>OM9*lbP^gNhf36jwhvAr*#arUp85*d+?xX
z(7c>CbKY846@K~0v5(;vbLo-oL2dOmHyOK5-gPvvJd#s?cdF2(dfR=%`6pKLA6}E1
zzFpa>mt_va<o36F6GRrqg(^q)2$<IVX4_!C%|2Lm-g2|t#wlFam=mS1-<LSJN$_-h
z{q|<PmnrYhFaKMb{AcacXKl}X@-v$Sgx^lGEAL}Ey}LN6dBTx*>d)<yKh4?Y`zJ|t
zBja7suvy#Y<or&*8Ox`lXl0@h9jto3#@jD_(znIzE*v@aPO1iKjw>Z+Us;u~`iHN8
z;}QlJVQD!trL!~rkFWWgF8sdmufe+VXUD?pMSDN{N^a0@Q#rqAVsh`DA2&R|MNN3z
zwyAbQf<=-+ue#vFKX%hk{A1oWU3hV-u}q*yx4NS3zksK#QBL;%b3Q%JUwy64_SjzG
zcMB_PglqQR*{gee$!3om^GnkEr%L<jv>e`eFLV3Mjc-(ROa4ktxf<sZo{`#>bl3do
z!@UzybetGt*ZM6s{;q#zQo8l^zs*4l7TW%u!tb%!<FM*`+tmx~O<p(M@0Ji%l1Yv1
z(w=;wtuD#IgXPzs1l5k_qjgKqRNw5HyRURn%Ivgxx8EdQm5#Y|<<86{A8g->pZ+p`
zBmY7UmWg*SOxekG*vMBjvH$Q}nZK@^B}9I|Uv^1f)@E0hS!Isuuj0FNiZ9jfdVVsw
zt}%Y;;lTHe7nQ}nZ57-Y$lg3%RCJfBl1r+O;X}0pYf7Kh?v3(TQr9JWPJDvbY6V%&
z^fO=I34G4Hv+<Amd6fy0=3eWp4o7C5eYo7_zLsK6O5yj5v(qN{J(JAUt%`Gf&)icL
z{NuY}Q&{uSp8ac*)8*a61SGy|M$Z#+&zPX3RPf@A&KI-lnySvp-+rdq@0uHGG-Fz<
z=B&-LxosAh>t9Svu6<+5rO<C0$#_TdPW-;Z@}GYj{<H|Q&$?wj`{vH1#Est;u=Z9k
zI-XM7D5);9&FfX!@2ImCzn{E+)uhzTsQ=BzfbWIS!7s@>PW$<I?o#pm!@BwTCGns=
zY<pP_sm@ND=$-S;edAr8)5!uEWp}DqnzZQ|KM1|#b2nI7_gr7iA9;@HYxidNe0kUA
zyj}BrfuWE2>B+v+ug;8#J7KhPr_hH}eWtRF|Er`pPgs;R==|}W`Z@4cPEC8h<_l5D
z-16r1t;Uuq&-Naudr_aFulqI2&xxsMhT(aqXPZ?yo=H2j%yMw&OxtHBp!@Cpiig|&
z9{BdT`EF92rrhq-HAXUWH!T|$ukxF>`>~aU;N6)riRKq}`$Pmz`oH0h!kXo7CI-oV
zS^h^(8gBm0-uC1|xfK7G!dr$pygqM#eau)mean`2?2Ak)@2)@lg*~y>)pPaX&p(bk
z<h8pN_Gj+8lBBhJTD7EC+VxpAvh|YEDR&o{ob)%C5F2pP%Dnfl`1**yHx_H1(%BN<
zcxlDDiN;=Xd;$87Gk3aczMu1K>x^WN$?eas^H(nk?*DX0^4m;D(OcDbtPNi;zVTA6
zD?;E?nZT0CrN`CugnH*)4Rj8j>tDp;zx+7+`_e$mJnz&$vJC2HKex&A`p+-8b^kbL
z_fFY@-wUi0=3I#TvFqaAZK}%Mo0pw!XB1DpwY~berpLC%J8>#o<5qJy*X^IV`*wo2
zhDv5Yv}yorS95H7!xihCjMKUeGoPd<_rEr-nanu<J@*&>ywz*}x;Q`AKlbD1rQQd^
zk-96S^)eK9=PvHrUn7~T6LZP>C+{7PdmH}USo?8;dElgP*)v{yM=oBbv>-BNfq3we
zQ!#s5wBrhYrQC9PwOd?xM|xJt_7B-}bLP}s3N$kQb<*QehsveY?>Rf-uivRv-mhy`
z%q95p$XUUaVMWeM_8fOGj#;pN#?J{)bg~yM%HQs~O2s_nvc|q;FQqn~34gIIKL59~
z$HK%FGfn62-7Bk;?K0!ZGLw0ost4bh+?V}y<nPwcx^iXvBCaz}i7+-U{^3>1w@<EB
zG|WUtZD*|W*SuR=mJjn%3-=fDX2>V7u9?-dt^Li*Sr^tG6x(`v@<sm-&el(^eqOIo
z7+X9&{Pn@Z8N#)DR-aVY%ZX*oeYNG@-KUQe)}*W|{8KOHcu{oQV}-QWo=FFPZ2T|g
zbfiiop7s68t($IM{3iT)S@Z@?d%l!;C+k&C-)vR9;=bXqcy99T>Bc(EA1_FoP7mwd
zuXui%mi!&g(s@43Tuu6m8;V_CG4tGCRp1gCc(TztwdEeSShf3sz9Us90xtC%d7SK?
z_x|Me9irv0w;t-N<3Bx>;q=;%#nVh9U+CX{6Ian5o6o)NPd~4#OxwP7yo((boh=35
zyU%d+FXSqjYg@25YwiBJs`^D=&c*Z1KL7ZnZ|WVjz|LQnw@eeun>F`(ZU9e++vj4*
zGuG_;?MtgC)Sg<Hp;2A4cU{cY+LM+JE;?Hrd3Q$Iv`xO{W)*npv5jGA(2wv=$sH>H
z*Ltmuo%g0fBs~9bPTbcM@qrcZ3sd}VToqbh(qky<d~$Nh{C}(M_RiD{l%BZhi?Ef0
zuIdk?4ILp47TH^Gxxa4@zasDdr1xKj$*oUT)9>lO-E;g*@dCbjg}n(EUwh>>`tU`I
za9us*RC(%I)rpghZW^-Rr!SgNK4JYH#)lKDZognj-r0UhK|AA-R%z|D%Jj>6x!;49
zJ^%Uh_IKW%k1H<zZDja!Z{ybBd0#3{AMabzQT04xWn=6Ujh31?RT<vulYM>)4`2Ob
z7w7x@a?fK%rMl~q90nOiUrYG^*L894{l&uADLwh!m+V^K0IMe_-l}n>9LT%;@q4+S
z;Gaa-O!o&3Y#VOBXa9Cn`}vI3xdu1ukA8pr!hE65Zuy6{%P-geDwQY|ov<ckciHiW
z9>z5ueRBe*Jn>y}YVD_qJU&c&+U`m!i|Q}Eu)t)}e~s?Hvc=rbvi#kT*^7n+h3yiu
zIk5K6Lyd~O=V3mjF$xQ&JHP+2$#66Kt<d|c1n=zPubI5fDu08-()ZPRkJXxfYzdQe
zKg64PNV?>%Xu8+ch60hf92F_P!tTFR4HCNKIi-V{{+nw|G|BZ_ldNYmt5ZWZ&U1=M
z<b1Q6`kgm6JbZD%ZEex?-PuO>b<-^@<&8JI;<8{BJ$1e5anWkNJ6k%qj+ZM4&3SO&
zYihh;;Mcs5;hMJt%pa$2`yCRLyVW>N&q_gIZEfsn%T1h{YA^9kh|{QaGMN3#bZd2`
zo2O`G_shj+oT6;bP1RU2tw(ZG$4XxX`^^d${d-f{ufP9o_b1`!%!orT6w;=#edE61
zY{9!msKhSxSt{2;+gIDTLZ%#jJ=0rn(;jEuMeh!1-Ld$$)8%Ek{rYk)=`#{s4h<F3
z(xtb#tj}03&zf4nBezfR_n$wv{DeN74qQ;R<JQI>imP>X{BPB;Zk}^vj#lbY>&0`n
zdY#bc|LWPY-oQ8Y;C5c!nVkMtD<)4Ukl|e)|IAQn?zt%ozNJpTwf)%K&~gu%i4G@{
zpZ{2NTYOf{p#xF%hFUh!Pqv)dZLqR_@r?c{R-0}3B#M5N6q+0U2vt1iQ14>eUnFX>
zdabo~mL~I|o6q(p7_V$yFlAZTRHi-NS?p`le$RfhXKjA9Xna_5+A-5`Ysr>_VWH~5
zOS27GSuEogw%p?J+Ok$sy|wkT2nV-m@c*UuDlw~HtzN<t<r00<YW0a^JMn*Con9_-
z5ARcWav|<c?fzLo)2ed*bJT}=yRF_BaZxe)=l7?|u1QiXFVA`W+f`=}z<n>{^U){q
z3N!Y7`r7^c-;G3x347|-I|#pO-yE>5k>U1_gC8ICe*byt-)(Ka#`z7omF21iHGBWE
z2F_}?o9q`D6S(3P`{$IyKb}8W-T7kTI|=<trKhRZ(w8H}SZ@d4@Q!178J$vp>t$N=
z?_}-meS&Xqh>3O8u}9h&-(O<Ve%fqWg64!z{Oy{I4XQ%y!T*cy{gZjux?qAruig4R
z5nHMi%a*o;9-X&xiu2!|bu}NE-8&vVX48NB_xm2XtvcO>(PDOn@*U@APujhuglD0W
zD@Q_v)Qw9i<?KsdNXMO$4d1!m_RU(CNSTdq-E}9t+$ix;&X2{mRa3bk==M3*{bEAW
zPCbh!y!Q1B3f*@8+tN4NdNdYH+VeK&aP~EyUYTd*bMg;g$++kv67=Syxf}b+)L*GT
z+#ekhJu%zy^sVB|x_Ko=q8!~n4ix%*Rny!5Lpi*@Y|{G{es{ZT+ClqV-~H073jTU>
z@z>Sne+|#i?!L0^b0G7K#X+;QZJvDQTWq@R_uH4#Q!OnoKA!cYt#;$VEwOqxm15hf
z|0L&0Tg*<|vHjl>{i9M<#=PapuJXUueOk0RVg5{?s!*%-&McK7mj&7X-2A!s_UW9s
z#|hulZZc$R|NdXrsCl7c=}U>jQ|jD1>w--cZ+)7Son&aY&reMD%%3OAE*_Jbbw~4f
zir&B5QElhFADc_^g<N%<6r0SP8`|HpqJVe3N#~5Ok7ul!@nvW2XQo-#ukd}SFuN|(
zcdwQuV50QgxN}lpPPwLC?##O(WLwP{>d&mQW!VANU8lbXFWmC~)$7d%<?NX36B|An
zJaK$H_r?=Di;`uhg#%V-S-nwL4vQ*Z(kgzu>NxM*=RdeP&Mw@ozf$=^)u!ipJ`=e<
zu9RP*e{YhQgvQy;{Hx4@-XGLmbNCQXSK6Cri#z25wxr%<NfG`$$+dV=nCVV8<6SwO
zBEcKloKGv>cv<j%!tuy?eRg$Owl^Oad`*ygRB$ghX%BB?x7iQ-hFwKYp)<_;4&OU6
z^>KxN(Wa<pKhAh9y56qpTXot&>HKbo9pTG=^qrg;qWRt2yJ_l;H`|vO?Gw|P=oPA<
z*QKi&X7xqfKWxK~eT|Ri+^MQ|3CZ-{EMM)R^RC6RrYep#`C^*o+Ryq|*BJ5c*utB1
zQR)WI#u)c`Y#v9Hoxdy=nY8>I`)j5CEbA#*XIWErpI$NX|L?CC=bFxTwx4bGlArB%
zaFyWe?k8<=jxEf0Y_H5-=3Oy4vpr$uwJS$=Nj!)@toLE>PgRxcvPyrx9un%~elEpi
z_HAp!oxfcb#T##~XXICRTH@QVvaxG*N3F96Q|R-3Du!yoaS8nQjF#2fYTRGmpK9l=
z_Pe;fn~%F;L(1L{Ey7OO9~<tRTOr-&`L}X1$0_ICir(iqkEc4j#7+`Yl=~Tee$iLo
zd7r%x&z`j-ICkdex7CqX*|+Tu3tF;VPwByY$;ui}uWFH*sgvClsuTT7wr)9LT_Wx8
znz@8qAcH$xx;Qd%Phi4>TbmUh7I`{*b*?P+5WX_ih)bN){|WE82Bv05-b0f*${uK4
z%sTr=LuelBmjjy_n1nBy{*jDa%qDt-Yl6k(;u2O}^)m<D53db)x8`!7#_HP@?Z+Gh
zf9T1IZ@P3MlJWZgUGKQI+CIH7`?RL{hlwng;~)4heRN-M+xN4p&3^~IuPnH;Xs&;x
zuFi?qh1M+#CG(qF?X*(vJNE48-=+QJsA$Dpa~039bVGxK=l=elV?I0o;+39A*QHI5
zpRPGPrNQ#Gwq)6KkEGI4y#k;9H7VQUYYmnb-A**nSeD8n)pdBzjlT4a-;{ZkS9QqE
zJ}}Wx=BZLt;_m5c>lyY<IQGo$g%YFe-<4lIf6A<wEM>UUOzfbY$|}=YF0SkIoo?!$
zvRi4B=j-XPZcCxl;eU&&T|O&K-_LV-Zo;Oo^6Uys3~WKxJR0SpnMw9vP6`E|zgt(^
zwxGRJS4?i!HDT2?(-cZ>6#x1%PvT~i&bz%^I^XHeE}y^UR^0#Of*>u{CC4@9F|sx}
z7=2l~^5DrSOZiTAYE*Ext!dckru9I_Shq>=SK*d5e+$k$JUx?*E#p+x3Z8m9q4Uxe
zp{u24XHR*f^-p{Aj!#pgSv7WP@qWBF@q*v;nrq27o-MBL=3A_tu6yz1gzral+Ba}B
zMa`Nw@7~1&)f2L29eV#q%u*$#ucw`Pa{8Y|`vPtsa<}f<{&TZ!8E@2zqbJtx&Ri<X
zKG(`q&3uwbtH_dH$=)8n0}gIx*}cNRGUddw1$)e1I9%gcReI=$UtrF;q)%P0e=PT`
z2+lT)`Rcj-_qqp<pV&=(vg(kYQ}??a*T4Sk;P~U8ndG9lLU^}>p?tAgX5+4??0}OR
zk$ZmEPgx)oaj#@u=8Z3rKd%0iyPxoH?XfeGGTU5*HmS2d@$yO$Y|xpY`egl)TRPbv
zFN-gAS+mvLs`E2T)@<#Kr%bmq&9Hxv!2dAdu*b9t%^7J|auS(&53hE#TDtU1WsUc$
z8nG?&_dI6V{Odsb>Y6<>4m|gH7WLEbj_!h`_TnszyIPW8w0&?sapab@{#})cI%!OY
z%_Al|W<Tz)XZ`B1ZSg)v^I%bTi@6h>^4c5zUb7ZIZ8+=Ls&rw4!*?29U!BgqxVQa-
z#rnEAErt<4`Gglff9SNxDQy13nOg6Hk6xH)bj1FHX8?!s!MS%nJK6oPejRM{XxG&z
z;xp!|y<hM$`)H{1o?923THV!@tMp4=G`C!Mu~c*2kAzbiKR){A<(97Ve`U8yI?3SW
zcD8RnvK14j#+ST!S+!tELFnJOyk(-7!`l;&<;`Cvx?bWzKdbkJ{wr;#t?uj06*F1W
zWUKu3?&R=0=clX_Nr-Q`8+hl^55b0uEwNnT3Uk8PeR^*k?J0O&+7q65D~m%eg@t#q
z{^{I1%XvRDtn^)bCn@{!z6+9J6F#4Dh>-F1pR(g=*W|mu4k(%BAGEq%eI?_ubd!sU
zZiq(9Eyrp96!iApk6d5<s7cJ*G^_hzfQXau$s_B;&*-{*6W)CILEyQ#D^7A(rnoMv
zJrMWvx0{iuyT-c{?~>f7%-nUUa)MRooVONR)^i9Yxz_j23s5<G?#&Lh4wq%6&;G6{
zofmv+O5*8NR~OqX-}2<at4Pt=k1j;6@jH0XLnD;!wb_@-*WR}y3ymi4(z@64bA9B-
z?=@G8cH3T>w|?4o<-OmE`7Yn|Wid{OcqD$$R?F7t;`$YnJ_y*VluYen3euV~Mbu~N
z$$uW{G8UV5G=9A`SNE6~@44W8^OvvEu2cWv)4xS9@c8W4w;#50<WKfKpqy~x^PU+Q
zS$s9V4`P{*EIZEbb;tT{`7dS<!(BQoU5)h{?yceeuQX|X<d+tWk5w!;{vA`f`f*ds
zs%uJupK?k%?K~5oCOf}md6mLoYp<QRV_vGuwf%lCm`!w7Wi9?H%B_E%^@ES)9Ye(n
zht@v$!?1+iUhLeC<kV*=28&*FtlN6AA=}JudgIcx(&$%T_w`Teo*TYm-vsus*`LE_
z|5SOqu||Nog5{IM-t}6~T~pj1=DnY@Q&Ov|$F}Wh+Sbii9vpnD*bosN-@D0f;rtIL
zQWxY)Dz8@kDKSlh%XHUYi9Qb=F8*HIGrkJ<?#Dhh+WAFBC3y3+@Fz0=rXD}GE!*Sn
zMfQWs?mlt5rFDsu>qAO_@hAD4oc}Jg$#Fbny2bL?s4@Gk<eg_*Cq53EF;!&g+dZ@N
z_$ywgpP3nLvG;RNL0?VV!OIRS-ELc3Z1?)gE*oDHAg9*5GQBlT|G55y_>{7@9Z!E+
zHB^Kw=Myvjc==vUbY8sO2l*0bj>hn`2(C}Oa~@{i(@$~Z|KFZ|<nZZSqt02qn$I<p
z^<pb*^&M36cV1d{l{+)))6r>+caF>4F;TF|m3Y7NO|1JXOHmFF2lXHQE}UZJGf(?h
zEeVkEDrYznTxb=1;NySsH*FJIrk8ZxebW3-!)np|;NKFzu4@S#I`VbJwU=L{Pwjg6
z(<NXXM`rI-1Mao4X+@o9aysUnTPmE_9`eJ=x?l9;Ew13`^&S1WI~K1yA@cX>^$o?(
zE>FMzSuQAN=?6}u)k>~C?%Rv1{)bO$P*Kce*zMvoPtCgF$^NA!N?x76`I)U(ig3=H
z^788^z6A$+nhYhlCmBbo?NP`(aH4>J_M`LH+bq6xe>i&LGUqwZjMR;%yZnW&?~_t*
zUahfp$wHYwcWb}5wBIn7`f+ydRkzS*%k>NrbIt5r--p$PIUEXX{<xn{XGzwwBZ6mL
znC|yFoOI{<>~i+Ai1WALRly3yZDN_snm+UO;`~$t{&5`CRMq;!TJwL=V^;aYSHh3x
z@GwSQb8>ImQ7SJwIb&1r8S}{@&NpW53lTau>A<7Kj2U|t`7|6X|GB^TVVJ;eE2C4Z
z>U0>)ZgF>enPlErJwasa`4f_I6JvvPf?3tJpT7K9>s9XIzx~tNo?FGYE>w+MouI14
z#w&4!(};b$cvSK^F5c+J4u%yq(NDf?d;e4TMG8+;>etkBomOt|CT*Nrym$Az{WF#+
zum8}@CCs;ZO0m$UZxS00JPo^Mm2rc)LJ<3A%T$B47hZ-hofE^9@`1gf$o6I1{Y_l|
z4mb&1RNuIBSNOHnUEyEKUlpub`PYgoru5>J>d$LW?Wj2Hc_PJko5)_*eH*9ovh6SH
zYiPZHsIs)cX6x;1?Vd_6rZ``YcWaya#^<V=kY7YE|3)VH{P>mcV!zd8Hk<KZx>%`x
z>p_~(9Y5dF)&2>W3s=1MOItF1NA1t8*KGpa+X7NA8$?Om`@i7PqrVe_@1*_z$Wk=x
zxJiRcooHU=<SX3UA2;rFS}1bf%>KdRK91K6Ts-E>1E+m7Hx)=OK2^3!e9okYIi)wQ
zp9_y%-|b-Qo|pgJQ9!QsJ>Q<3&zF`|Niylh-u2PW6M6Je>w|~7zI5x`{nu}CUwgGx
zYG?C9z3Du~e+rg)+Gps*Z5Mlc=;I1k-g7E7g|+1jkJet@Sn0Lp;jN?RloIA$PuJm}
z>@cs>>4erbZS~*<%Tw~^*ob)_e}3z3)sp=S<|h>x{kiXA+*EO6a;xcbrI6s~O3JIO
z6LNpfGN0xcY$SZ_$$CS#n}v2emIZvVVSb*l@fuh82{)O^;+M9c`O3XcZsj}m&%r_-
zSzis`&HcY@@6#F~>4UYk&Can*P2QC&x%aOU*=V<JYvJ5ICx7{~PSUKL;HLMs^nLnQ
z6HCj55BqOj{Wh(A`pScgHYdq9pO9)<F7aupMsw!V&#&u(w_M#X`|(B1-=hpSBJw93
zjrpJ%8@n$1P2{)TQzqS8zBiloPJM{)_300V^46qvpJ>>ZD)#9~Qk&HI7EZww$)MYz
zWpBIQm>gXet;l?$|C_B(LH>-wOKbJEu?b3?6v@~As%fmbDe92ta?z4Sbrm{4;#c<G
zU1NLWN#~Q-#>!_Fc(R>Lj?I2+>Gfp7$}G#>72K~2Rww#pUq9`*Y`#(9q(65vf1iJ-
zQoH_$=){S07T@7tYRj{scKhAs?HeyHd9hGPbMu9`{i|*XhF;&{Dw2KFO}F^f+;FL1
zF>zg=b)E=>7qR-+ieFxvazaz>2uIO&d%5|$e&l}6zP>`XS$nR*TOF;6PcJ0<_xktE
zwJq5#C~tKp_+Cdr{=~?>*REn7HkvWvHNmmA-<mWQO87Rsx66-PC1x#p?$|r?n}&%`
zUp32Xbj{25l{#`lVDBgX=SrI+H`ek`nPs){*8OLb?{0g&f_riL1Kn@=Ov|?2@Uwf%
z^CSJ@R8H&I491fu7w0YCa{96O>*#FR;NS8MQ$NM;bl)F*-s7gz;WlRj5v|@ARRNc#
z3I6rkIB|=xlc^x*%tO8mO$YUarY03N+Jti+Tay04{;g+W{=yYmJ2VVc5|r*ZIR@XD
z{Z#+ZZu4Zx#$)w+`X3+2WQxyt#eJ;2uZy|k#TSn5pVRGsOCEeTVa5DsYaT8aY$}*A
zlljj!3E_^7jn)$PQXX$8e9Zs!y~9!8-5-7DxhzgvuC(##;a~3qua|3G<`$K+xslfI
zt)u>=cK?gOO*7SxY2SJI?vui*+vc}wBn<mp1;k7b&heJBeI6w9Zr;YzhUX2>+)7;J
z{n|o7F*n2Wt_~;nuR#6!U4h@Vq~DqOPd*oDFnNyTl69WSK?gRO2D(*vv}aF!->8~2
zr|VXa_?l@U8I#2irtP}iy}HY4$wt5bof97x8O6Ii@Kg<*`&v0i#(g?V_zS(mdA}d8
zlb*POO_KRs_GOJN?+o&!4!Ir?vu|?iyWz1dL`@=PdC<$YS;cmf9<4mP>p<JGE)Sd4
zA^&$A+S=&!)VcOWqUY~VXFuot$}b3Vud)AimU-7{!M*!gULEYXyLE}L>GJ$_6B`Wb
z-Y4^~*yHT7MD<u`p@~PL?X#BPi>KJNwi+Kj*x^+;yZet)?geMB=k`o4x~tu<{px74
ze7s2KSfcKU)M)oJbCM?ctz4;p<I#Psc^otR*Li4UO<K-cStxyRF3*j${Euzf@6R=G
z-?IJ0zSOzt>9KZ+orSw5*GOHO6*oD9E7Q@_y7)_awEjiS^Pf6Bekot{*~B)dw{XJh
zk8z3|6>dv*hBDpHYnH5%i`GlkP~<R4NqfAseN_(Y|6>1#^X=y)#XmT<+4Zr_1Af!S
z7k&HK3~FC}>FdaS7WXKdf5uOl>8aJ}S%zhjDQyakK6CG}xg}3cKkBjWS=fxCa+7~N
zuf>gBBtmb!FJ0G|^YM`E#WT&trisaiyd@q6y?e9L$?})&yA5aZHr$F_HaTkXrGR+{
zZa7A)zi0LGR4&^GB?lL;38r7B<|X{n@SfoO>6caS^tI|`K`)M4Rd;jF-D!Fz$+`F}
z|HoKQr5*0_zMC_nchqIxvk}STc@=Ti=)Lbd>u0QgecMHT+(}!^8#YZr^i1`~*G6B~
ztmutf8}k2HeIIk@rtG%WYklgDhn>8<YT~)MQ#_^aSF33Y+-qj36j}7kC2!sR_tWz&
zH!oLWxP0bgTkd}DzRv;%Dm6OWDjcWO<~*+Kihh^fA?JC%_H_*FvXBQES=L)uhl;-7
zuV^%4x^!z&<=wv`rb%fc#og*h&Yt*lE41g4!A{LPN9R4SI{oBf(eH{32Odq1k~>q5
zF$j0b&N3=0d1?7i%JPq0UCEaNYJbgZ)Vda56WHu^#Px;NDbbt_k}j)_HaQ%fEM}J&
zU(LCqF(o7Gn%}*dAMbn5elRKHd#Ocd`)@^q?P2F)U2iSfyvdro%zh^KS!20`aPxi6
zy&DDgUR>!~w;}Ss)6&@Zd1w4;)9Ws*e^mE&sdd19mxW9jhstH1ehc;8@S;`Qtv>BO
zi|!k4k$XqN*kTWA>xM{l-F@6ySiSqmuFAt9++03xH`HSomwKG-=<17~%)NEqgYXH<
z7eBH1Is4pvX1}YffeIglSfu|3u5mBictvsZs_y|$^XlFS*_Jsiwy|oTu+3TPalqCo
z7gtBTsVlqlEMUIy<h5lv)4gxApEh=_UofYwZ0Ym7vw5X;Z}+Uc)?APmo!WWYsB#C>
z{rIM3hLI9dEGxZb(_$J|++KZ7$LGnp4Wb#x-`^CTZ?%8Q4E`ev6I4VNEt%PJ%XZ`5
zF5`e})ra3s<u+s&tULCFr7%!7IRE^%5*6LQ={{oXR=;Rw+<ISm+U2i3EI9>J`|EZb
zdA??G@VP3<N9*)@gxw2;GgPN<Hr+nQEWFT}>3Z75s2MYprfq%a{Xyu+B8$T>w0tG@
zsGchkV^uq{=#SrNg`B6VFXDH^Z#NdQ`OAED`<=3O4V!<`o#l(JP6&ADdfv2f-vg^Q
z56i}tCA0ZM-d?qJ?^0R(uGo8@_u5y<>C<8lw$HoDa$iDj=Ufe;XGgda6L}te+2r$P
z>(+C!ciTSM=cKhyxV|mv)~0h6&wid;y11w6bKdjAe`K9Cd6YPUEI+5MUzu{r|Hx#q
zZ;u$u&3o8yUh$Baz4?o!(<PsBy=seqEe{r*T+@DZU&n6It!@`TicE7aZR$^5@cdQ<
z*Br~Q*K4+Y4`FzG&uWI7*@Iml&oUKV@fO{fmUL?`<7)21j=$cTxkjb`X4$#KrSUs=
z*t!>t-y>!QEQ?Fr6|9vv<K(*i>6{XkHQ$921)ILV()v>-QLtpL;$=%lotChJ6PDiE
zUOL;M-r~*w6F)a>HqpMZN9W<f=Ea87x@AshbK6e7T*WofKj>w`h0ML@Z@ZN-+&h+-
z)6^$wuH1UA>FV2U5j$5N;=C3hc-oZpiNunle^(#<|Nhceo}^uEo$EK<xyI)-^=rld
zUgLXdJ(t4s&t|vio8Me^Fw3+x<<*s|rc1aY?kuv6iYQBcP$s@`=IrT?^Z#b69Os_=
zN%8c(wJVAbD=&&pdZD*f?^mYORnMP0`vhliGOw+_l3TG_O=6$7$5!rDlL8aY7OwRF
z?q;#=+K)SH&5NdT%sWx6E|Fuzw^M1t70<VQ>whVQa5GIdi_SGm`?2SK#q;@_53_GD
z_z?3XDYmU*O_7MCqR6IFr7Ho)&y+q+34OinQG2jLwtGT*$yDK|#!Dv|IF;?VRepHq
z)k%$4M8tA=Q?6XTD7ozFqS{rWz8_s(J{#_?U+}(3=U(&b6P1f5PfJ!!Dp?Yh>mHx*
zxI|s`%6~C^Ui%GaBb;lyD>_as6V7zy-cum=ZT*R^sXO=nlvvQd!o#S*yCzwD{X(X&
zl>Hnj65?003^q-audcs8?N38*{6-V61ItsMXs^CJNh0ak{7k;JjanBY;!l2f>FX*r
zEqU8Qrs6ve3T859Nha%0#a!Gu({!4BmV8{Jk8SOTZhrBrsh4(2pT3sHD|YA4R6)%t
z!k+WKd#S%aoY}*0V(#%dGmaNKTYq9q$!JJ&@Dx2NuAH}NSy<hnXBE-E@7tQYYwg*8
z{?44)@>y}GZam!n!hzA8Q*e2`vx=#m(vtNY^WsWZ$4691eVF^|&zIaOWx~0Rj<wfs
zn7r>ZoYQh6c=N?yFAJ^QW<OqB%IdeNp1JqTM!A1)b{aff_KMMY+Pm#1%-J(54(;9e
zLH3O7*)?-MU%0>6TK(eNtui9=Uqc_Bz1kAgeSU9y(A@%u3tBh4n%`!`+?r$J=Qw?`
zM88|n%eS4eZDBE@zDK=7`?!yV&GnppWKr>U$KbV^+czdo5t$=X$fo;KyJ@D#tJ5<M
z-FdX<Ld?&lF-b+y>#9oj{5!t>3u{=mslCmdcPkyG1U7vr;&nS@eAQg~v}>2Qj@QlO
zg1(df=2cx4lu@;Cjy*lwQ+&(n2@5SW!Y}f*Jb7d699gz$*^~4-W%k8)HgD7r=KrhB
zXS?~rRiD_Mvpa5175#PTw)SV;K$f^H$HJzr4UHkogH~}b3YjIbzL)vfatS`u+c%dk
zGwNJ3<G}?jfnrJa#a@@ZGv@tgJf8hK{@D^C5$(AzJhnc2yXU5NOZbcvRoTs(%<{Pz
zr`Lv_>brl2Bj+yT<c#QjJASPAX5@NQXlJmf<)yQ0z8$!IM6j*YaBAFVSqFs;t<w$f
zueoFSGu(%%%xJH=&qmq%fw8t;)r^7LkDY#X+hM=UT8oQ2*QV}J__TOsb;gd?cm8(Q
z65c*LCb=wk0%x}3?W<`Ud+e(ty&l|Jv#s#yO@Zj?60e?jo!P3Ib6w}_lnLtVR-KTm
zExTZrGBa?uK(Ucc)`YM%hpyi~yL#uNm0!~N<exfMiK`wv{`554m)l8A({^M=o)x+D
zHdXBNul^2CpL(4+;yJtK-wA7)zF?trik)`BN~XIH>aVWddRNgnz03av-ydEZ0md56
zz_<&oQbBSH7#y5u?EfGCL|x|bhJ}YtRPG5{Z+UF3<-5jp-TPb$dD7?ItiQ;+A*Q4K
zg3<Z2lRG}2T)b5-!Xwb+mTt7z>351xFUhgANB?@LWZ+QVzw65l#&c{N_DAgCoIHDj
zT+Y1Q1xY(PtrNVO-`xE?-EhY1{T2Kg#$jP$k@{;iYE`?o{Q91MCw{(%)PuDXza(Ae
zRoyI{_fcS?=KZCGX0H-Huzx6jH}~7=mdy*+*Ka;hy(;{jy!-D}$Bd^{eKY72{$8$H
z7XNSO1967m7PBVJ^tV%DkPa?;!6TPfy71Cs{s-@~et+COQ)naK(gRyeFYb<;9R614
zy~c)E`?L;@+`I>uZan;K^-D~5v3}E@-EOBjxBZ@YlymyBeamhxe)pz&OGjB>(r(VF
z3Rc(7*sZCWo49zTWkyArK9_B_)thZ!@+v1t$-S)YT)X>&WyQR#6*m87rn6gpWfU>|
z+HiXJ%7rQ)GgX!yoK%z(8?oq5<;&Ol7i}(vePDd!bKxm#YWF9*{Ic|m0@cS8TIa3N
z6qY#3Hc7r#n`6aM&3pIwZv@YO`#yO6O4gh1H(PqWlh4h!?K`^Wo9u>G#ls(koED{s
zIIqw-FK2%5tV{R2ytyBY+d_noYB=ljo@%(i+eh^5jGLzKGWh>(IQzxQbwgWBYr(qu
zJITF;zhyPU<lDA9f4uc<bmX=(Mf}OC`Du5{o=nhT(9^eOTenyA)4QZw`z}8eeQa7J
z9C4&_<&)^rc@r5AGcRIfFDvvj-m-Yvi@EdrAKT|_5$h00RNm0zytHcanszbKyNXBr
zCh&GD*t~v~SS!bJXZEx6>w_oomVA4awz8%8cBo{?wns)Bss)KXZx+QQ`~R!9TD)P|
zmgkN+>}fCeHJ0_*>@Kf$Svp51;zjMnPM*T$MHWHVr2mB;<UYxz@ss%q&!KqHcT=p^
zu!!laKUq2<c+Qi4mQJP%GEaIKmT;bZ_lN1i>Tf3tSf1(H)cdn7v7GG1_h_kTY^_4)
z6Z__AyfZ&XOHO#W;)~huQ{1xK4{Q?eeabaEdcvWQUq4+-b2c<C{$hLfjoRvFi<`4z
z-u*FVdw-}^U_tGUN14tYk#Bc1y~zBKs%Ch;>IMt{-oM5tbT@6CY#q5zC@iGMwk7P7
z-5f2U?t=H5yhI)BRCoUS8oSSNF3*!}qxKldZ;KAkRIgE(c-dI<uBBMbJXh8^uQw-8
zICHOm=KU+>llrGtoc!;dyWGZF_LR_;^rv0-Ty^(6^WwCNeZ;qG`o7oqKVP1Fzg8o5
z!<~~0FA9Ix6y#zr4v9OOwf<1jnV5txPZj5dER27ZaHSypLwZ8Ny~y*<e>7$oJbb9K
zB)%*B*3AO$#_OKnE0bBysYLWGivE~jnK^6Tyo<-|^z5QndY0b*s><fJrAT#~>w-*~
zv+WNZ_P%X<{<F>B{K=P-8ShV@c)4~%y>?TL|G}~{zvWBc-tc{#YQpq%3CpE~kHNgJ
zL*sfT)PFzyOi6BELATW2b(O-Y8lv2j($~*9Y<gXxU+d2lKdvRQZcEi3p5Laofo)}R
z%(AVCSNARW%-sGl;M@sA-&x)sYhOOm$Q8@o6kP5o8NDXza*^tX?&VjqDjye=vBymj
z5e<0aIHS{R!5^KYOqu)=XH(iu^YwNvPFfbA&E76+T`Vr>**-m@kL@0Rno4q|<&32<
z?0v4mFI$aSzAY&=dD}Ln!M5%$=aSwtS+%XVT<8Aydb;7X@8X%^IYvt_$vpVFvom$U
z-2#WYX|XFEtPh^>D0>km9I>iw%^a(hKQ39X+Wkg%s`rUa+e2<Vp7b`h%hTvj;gpNV
zTEh?V_-s3Mna9V^U-pia?6PRrM%_nC=7ce@Yah)rwYv4M^|)6Mzgk!Cl>Z<5GqucS
z@v1x#^jR&^P}H-nU1ar<^2`QaN3n+!uijg><B*bF)}pB{YXxeK1)7KS>GKt?{AzS6
zqT|-;Db;^xAIf{IAi5xTqun;UxR$tCQ@K}N*fP!4?#z!0apuPvX_nC(e|a*!Rf4|S
zuhHF8;H`Oo>*dyJJ`4AgF3Fc4+<EJ^<^SIkqHDbUR_tBIU7#qi%&qMQOTIWKkLkHX
zr*iCG3Ve4x&#>ag@BMaCU+ymaxR>|ygakF-(o<hA-ramB{L#g)`{bllKk#hTKf}Ia
z`Lp`mRrYO<_ooZ|e=?!*#KsLmQ~Lu1Cuw~DpRU0BMyB<2;?d&MK3e6O+uk_Y{r41Y
zzuG2owsEWa<F@^pJl`x22TxUe{c`z=^}5#|+05x(lDAL%-WvJ6t3+&f8lG`D5Ost1
z%O}RBrMs5*Us?X@O4Y^NPu=RXHvTlfeIjhC4!_8rn=F&3zlr$7c2?(D5R=h`qE&01
z4R%@yW%AbFh>A@qoViSTW8S*2&Zp878dlfOJ@egiZ%fccvzLz^SgiQGY!z#*{W%5R
zkfN~9hWyg|Y!jDcO?28~zen%`!_kQ!o#sEzc%xO=EXK3%3HPsV9*<RR7b+BbZiiiT
zu!!HOb0~qSRM7fq#*g2usjX#uHRh(=+7$mbedUbfiEpde?eB@E_c&^n9{ITTt8*`(
z=il6I3(Au=|KdG(ELA`wb?F_pci$%)F5<c0k(sU7uQf%|oKvrF*3&nZ2@hi$b_sM^
zXik06nlwj#k7UciN0oLrsupf}bjAH~#-`SHd|})4H02kczZqo0b@1!Gu)wXi{7&{C
zbo=$-NnEpklg5=?&YrAZgFMBb2Y#<$a0@Du4cAE5vGvg~n4sBd^t$GQgj>e0pZB!w
z6)%>0?+Cqfq)Dji!?*9sZ2DGyXGJHazD(BUnfj&f)%LE0$9j6llD)17$IUiV@{DI%
z*LmQoU$DLjn{LZt%X3T}TltIhWiMTo;tlzC_e#{AxLvHD?t4w=RuJ6rbs~q?Z_Ul$
z3ua8M*N(j=Bqw1gAr@-%`+D4e?Og|dey;i!@$Q`ALH$_1I|~lXj6HMn+wb=I%i0(P
z>@;+9KYn=s<H=k`SAV6nq|Y_{EB3y;=9sLvH^D|u_1>1;x967~3h9pEdc0U|?(TbK
z4<+5+rv8kdyJVJX7>j~M{?oEeYP^bW>{lMG+3_`X{=_`T@5^UxIZ<@6_{;hyN;6cS
z98%?QeQWzwJ+&z-X%*jdcfqJ`SGVh`59PX^lzg~yHqh@f$4#|=?4}#eId*ZlNo`av
zs|r1~;GOAVYxk=BoLa|7-t{awI)?9d%wOJO>f$9}SQPhJieE;+@Kn2kCX0!&_=;S0
zZdcVKUkbNssD!p<Evi;;oV=m#g>B4A(Mzo6(FY4%tYp=D47s@iKK0*a`J5`stJ_t#
zJ1$syb-MeFmF7!VbLGps8huG-x-{Wu)0SXa)vU?2J0w4Mtnqidcy#tL(bKUnBgD6F
zwtSc@Tp0T5&)j9l)QV)3?76mP-kto`bMf8H)1#l{KAZgD?Tr+pJ5Q21O?rh7wzf4M
zS}XnWzoND3zRj!&Z*J=*&2>`>-Wbf-ZvEt=;T@CW2=BXJ+jIJ=_e}n}A<BtAGg@AA
z_qA1*!?%|?KAdW|^S92d(2~vjEBbcv6@{25^}9A53q7Rv!7Xr6Tw7b_@#XUzA6~tF
zeEQz>e;rYbw;j*FsXF$2mUe#H9R59-Ap)$%2B#%#9$M~Z{LEakL+{(6y>_CiX4j+4
zYt@z%8?Ii^V3f8^<3rBQzJ<38!xR7fy{8-3wlX1mhFoyPsj4O1E4*2o9#8pc&^GU`
z`(*)Xp|2~_CU9!XTv@j9?VDf6e{Y!6QQN1*^n32(b$N=B=aOx0LxW#Acb0rTGt0+M
zM{ZF8|FiFv9INJiTe6~%{VofmY*$R%y0bPf&DDHEjal!kY7l*I_TtWqGnIx0Yejr^
z&eVPX#I^a)S2gt+?Ke`kmo1i9y+%1M@3h9I_v-O7^P)GNIwWv#ahvN?SJ(TqpNd?L
zls&ib(q)sTkexiCR$Q@xMimW){JS5N?7qHmmG#tEQAx(O*HvEU_VWEt+0Lgq(d+34
zM~&I6K{Jfm%9_eU_jh%wu6LcLE_Tt--q7H-@8R{jzyGBlvAd#VXeImcYC=%u*3_pD
zPwNLf==nW4{)g(WqfH-+UVgo}PVM+jzP7htqV;;%&nR5GyDPc5<~i#GkGY&$@7iY^
zzAj_w5tp=dyZnofHOU-}uTD=ko2q;Ez_DlPT5F25QsrOlDm$H1YZ7?k^bYeM^N%c;
zJ2^);CL}aC_#?aj%&o3ss&{&pCViT=Rr$P8T~>_uvK?9i?gi%rPIYn_lyF`@ustX=
zc4KH+N3`v%OUm1epD6fet=hr0WLwYGo$=?}@?V(l44?Dxu(!qs<@C1qM`!poRyH14
zv2V|k8_Qby&o1JB`Hxj*#hy&3h)tW?c6F3}IJso0Y1-0FSN46at^O!*^2(J&qpADi
z@A?F&e7}2cQ)kmux#C4x7j^!X+&wXIYUMGVJ%PtEXNG<cJaFXk{H#-z*TwcN*d(62
z@Taxuir8<W=bmi3n(*VMUTgNA1Nk?83O)bQFHkJ^LBD^W)Pt?|?2>*Cg6bEFc+Ab(
zYm%#(cNbRAU2iWmB~D?#59drh=|7QMr@b_u9&5Ai{TizeN*QyxANgMr<=UPjWN}X`
z)j-khBD3PbWsQ;R=Up&5qEz`XJMH)Ue=2=**d8pt&ayk<jLYtq5;1&%tb5q!Eaaci
zRJeUY0L$IPR5teO=5Ib-S`=hq6Yt~un58f@<#dsXqZ<F!DHF=1R(^gv*L%jZ3oCXm
z(`h*KY`vt-hjshk%DI&l-8#RbShs3#ljWoB1<E_yb^g!T<)|1_%Q)|r%;fSuyM<g+
zBCVIXSS#e3X>q-+<BxfEbDOoksk~Lmf`V*M$?1AtzW*xLo@QAm@!=KY)RJ}i!VCT0
ze81P)KFi1dye{u#i~swM{y$}xzU<|kt!K+rKWq=3e7|Gin~k$9lxK51*>~*0%Rfms
zQq33LSfjD?tK+u+XQH3xr$(?|n#~`#SvhI$oK1%D7q4nD8S$<6Jv5h(S$xJy<r`+d
z_kXrr(ktGZVs&9#oP+9Y{|mD7w6{4f?tf>^cAnph(dE{2I}I68d%G{^{t6d-;?4aV
zQ&Rt|yk~!F&ohS0|DWdbY3g_^edY1;$rk3YwNIqJi_DNO6Fc{2{p<7&XD3Esj%Nv%
z9_>+ippkGZEI`HBM{)T{d+Y2wUGj@Iy_Vhj$5<nH>M{A0RqHd2`SoTliE`_g{GU0u
zY27*7^O_eq)%+Q5A6vfq-O2kcv30#Eu`l~8pQx?fQhWclM)b6q`*(ev%+(Utlzje<
z>&8su$CCcf&Y$Ud@wDq3&wV|&qvE{BB?F!2o>89arsKI?!)lex^FJQx7xgCEvg##%
zp8s=UUhTwfYYTSEMana=9h~mmaHsWA`Oy<{mt4aF<W{fqTA;U<i|_h={tpQczR3KJ
zmE3w{<&@;?b&F)84qowf`&^a0;Jkh0pWh~NY6{*#VkWh#4J~p5Ej<o=PWG%V{>$~~
z(EqEpe?@1p?RzFZvwWsX)43ekN7I+AD##QpdvkB|qQ5`yY%N^J7_xMQ-u*(yS&D(e
zlNJh^?%{qrUAw){tyB5+gK*WTHqU@p2L)7ft6p8+xK#e1S^7Dt_g1afLhr|h<*omE
zD*E{S_B@eZt;6ddcwcK15J?W3+1cs)YaW--*}J^ib1mQQ-m}i5nkDYX#Jk<$=fs|^
zJz}u$=G`UJ8M3ABOG<CK%A9^Q@Al>g6&Igrs6A$x+t$nTaQXRZ9lqsXau;0Mm-pqp
z<J$u-8THIUnNqH}EfoEIGV{O`_xqnOT14M4e|M;9@{|)3&E-Si{JI*j`N&qW-S1Q5
z|EPqjoeR2X`o`ymd0@#r&itd!4_*hI++A{S*(^Ot(`U!`I=C=cB|Z$ld~D4U*`+TF
zHa@PYz9@12hwL7q&z4C~T+N=m5`Ezy`8ARMl+2nPX}sHn?&b>kcsG{EPx(8&w&=i-
zQ!^6XV@~b(uvk&|kkIA?-F}_BYQ3o$PFIw@R@=W7+OXx5k4;r{XW{Su!-h>$tg3>)
zKjZt}W_xIFVL8wCx{bH)Za*4XleegN*|tq5YvNLktRG$3yWEE5wm!=w`DrTgd`+dE
zT$^(zJas?!a-aE?gQ}NLeLm<N-oNxN_vQ=Tx2Hb*D$rh%lq+D}cCWNH`~IE(XEs!~
zywSZl|HCu)hl?^V#&hqB(Km?uX1w;>ho-3pdKOy)Ywcgze+rs;|MmOBm+w`c6c1f=
zpHEU!T1RFpJL5B_)9Qye)Tgj^$?X0yyWxUu&FiU$XWV~aQ_i`)|A*wWJ8Rjkt$uKO
z?0dH2(mMSwLi2ytDr@B$udmp1zhTdp<Ynu3|Iq#VxcAA%#HUuz?CKsxFmPKR?3WMJ
zRV=&X?<s9=$gnsm=BIM~&RQjbYv;au<jD!AsfO^h#E82uub7znOiRXf$+kPLo0t3w
zj&W*NiQBojrkJVkYkKo(osw7T?I##Z_EoQR=hz^|!>&-xXdtz&|AW)E7uBzSGN~7a
zJ+!H4`x8|CpZ(7lNx=f0`i|!!j9#o)RS&)pZ*wd6`R&~ivix$Z@N<LZk9nRvto-!g
z+27SGU!N70S-$)5^Y+dFsmI&YPfz?U*!Rj?a@UuW0oPAY@xAco6L&-4;l_J<zrsW>
zCAz)VQ#k0k%>BICqgqv6t5bhey|z7aiJrB^%KQ3^MVnOXAI@93`u^Qn&s9Gk4KIj`
zTD1SDu&J-u?u&}bYyKOSG!|y|p3^w|uYKLb2d9qLzP6T2-o5GfwzY~2Vl`iAH6^p`
zFj;7&s@kvmx;Dy6y|{rvcDbr{*lOAJetZ2?w*29J%z5wLAF=mg>-CdNx4InFJ6n8Y
z(ru$}=N~M(aw9!1!fHl|P063rTBq5#xnx4iCiU_Q%l(=Yoc^&k{!8}1FKxUUI{6=i
zZu)Qiv2W`^SGoW9_%^t|Q<GG@?))$Fu)w@mY$Z*zSUH#+%xB3nJyF)5xiBvJ+2wsx
zlH!bJ?R>iS@8<x~%Uk1iX;f*=-0{ea_jw%qiiRWoi!PS2{<*Q^LsDjEN_(-1?qiNp
z|8H3>7Z>Jjo^Z2phEbP6;k5{#zdOCtqdgT|ug_c%`*&K^*JnN#Uy4P~(^HeNReSJG
z?GHzG?!BWm|2I}z-#XSSQ#^0hJgo=6bDrKSTP7nQ_-X0C-Kxs(x;ieKonN%E)XgAd
zkIeFQo1Pk1t4<MVTK>ODakAx|8uNwPj~-Q;WUO#XIwt+)h-J^c+K$@5Vy^4^su%QM
z`9IsjE2_`$dHCJe^^?!6{V`?UWrOtH{lQ#OQ?1@He6c_4eDVHejh5f0|5u*5m%+Ww
zj4krB#)Sov*Dh>Z^r`<QdqJA(kyGDpxe2R?h^Q~ijs77}%Ii=Ue&yHJ1n-9nBHui}
zVD(j?Cp%?o-@S}aS9i<IyFdTbrgbIJ#!+{Iy!O~Qt-EBs)b-uZulsLrck){z?{a_H
zxA+ofnZti9LLc*Ov+Lh*q*~zSIhM^ad=~`H?9IOA>hP3d>&NiIt!~Trv5FmG_b>jo
zyyMHt(1t}P>mKxUzjzX_<G$^L{*CR0Prkk5T4I)GKl{(=?l~({C-FYN+m=3AeeZ#L
z6Pd#&%x_;_<FG50bDnJOoSGZ@ZhMLpC2FV5E4&<XsJ3oDyG_re_21`<6sLE*f0_Me
ze*%+n&!qX!0(&}?gQ_L(S$)k_{JAY4`zM#>$|r7bf1g`h^j!aZnIDIdMSq-E$8>Lj
zPqMWgi(8I6?~A-3ajxk76irLshf5@1XKp)Lk+ZKeXJzEBzIW4DmL2pf?9>mt_P{jc
zMMrYPihD1Ar>~0+m|=MHU?=a+xShJ26JDKrwrf-5A<JtnBH151EgwY*t=MyN)`N)&
z<u2yjKQ|wAKF#7ef5omV+-*;1Z~S@B(|1y0vE;ps6Z@C{nRe}$!=cAdGt5Lh9x_O(
zD(w-yylkzz`E<5MGrl)E1}<&fA)PtftxtWcnZC25bi;!Rc9rAzFJBFJlV;hW!*}%5
zkE$c(-_Li+8Jx|%bGc&OM1iG`-`!fY>VuW)q~jYVxSZK>M!ipDN3FJG+MFl73yOo9
zFRkNgY;a_dy<F_IWZS(r+un-$c{#8<@;XSVS=9b6cW+|#y>?~pB8v%v4;6IX+e^JG
z_WzJ9j_z7MgQsr&>`I;a9#Ou=-p=Bm4H)knT=mY;g?Yo;jmveOMHj{ECGy=oc*%KB
zb1?Tz_M}-Mt(?m9-uXs7O><a2d-;(m?~<H_?3nugGK9{(^~?VEx3hK~?Oxj(rtqKB
z+TGKWpi*RTasEA?%RhsKYt49;33ooanUgQ@GQoLnal6Ng%|c%U_-81DrW7UTh|7NZ
zzboXmKs#fO#G|DTo}K?Y?Ph@P<sa-Huf8~Icdvh@dRFu8Evnb%ht@k?`=RE)!IL3A
zRE~Z2%A1=H>AqOInQ?Z}?L<y5_Qmn<=R~=wn*Z9d+9rRu`1Tz;m;T<vvA)bYgMHSb
zHm>An^~$`fz8JS&ulnn(sM$Z!U++jr`SIXK&QD#F{+~SXyJLI(jbp{ngk8&Jq{<FS
zmG9j4XyHf3%E{X~{68=!x67~j-mB#F#dYql`{y6MUy$)7JmF7SpP(YALN0@}!;}5X
zf2Q2J_SMd?VDbCaIb6>wXP)DIeQ#R6uDEQnkNX*pi<9H#F`bEIGJj;T=T++eRWDaA
zO3v}uKHz2}KKYgKf7d+zwwwMX>+NdVC&=Ar`X8L^8UKp4efJr)({h((m%8s*pSXcd
zn&m>zo|iv=eLAJ_aCKw8#+txT*E;E?q1)G{x4yly)Kn~a#`=Sv%O}0rZ@*Nh^7{-s
zh4cA7))s5_TNgR6`Y}m&nv43TIV<(|ua%4WQo*(^W%=%`nO(bb3T)*S&-%`ulVH`Y
z&#gMe#`R@3^X`8JYWEnIIDcCvAM2s8aNc8v;xqQ5`A&ii-)}#Zwk@qGcxmz^I?0o3
z;(N8*auqwhG_>U+Hb2?Lpr>_i%Hdcc(S+3sAzxxvr*Lt!PViO>QPECxt>)EGul{$1
zwWi)(jMblM;+tb)^F1D?-2W;kpIm)w;`XV2{t<`Tq`h=w<|JL6w9e~|U-!xkzJ)?l
zcc&K1R_~|}4dqyP*fFOfCW@&|G?9h9fzLPR_7_=8!y~H}MJp&hk)G)KLT!Cl+p8nB
z)7lh_H9|ID{&f4x-}zssXqHJdi~LZYwqk9}4Bna7*6Z)TAF=Lh?bQ8KW?VkTH)YRE
zhMWE-)2g~}pE%7CFmrQ>&!bZzw{ClFE)Gf5-|%(Eh4P>RueDL9zr?TNzgtpq!^=a;
zbK1+i487%b@0eYzW_oSz^LJu<a8;Mhey-ZoX9?_H{?8PT<kar?7+Wp%yyMUA==(mB
z_8$#c-DC2yMP@vHU>*B|L2dK1R-V6$F0(Motg7B{CFmvh!Zee)FaD;?So<`2mz8@B
zyU~^=?zk+O#d{(j-#rt?o&SFIvw-LW@^S|EKZ*-f&)xauYJLUVRrPYUscUK~m)VqY
zf0@DXXtPqX&1IwN3n34ts~qQgb#;=FeMHGe&zLP7Mg>!(c5+o;`26zedL?#83)Q2O
zH9}8!&3U;h=~F4E#s90ZY<HRM`?ch%)O^~xjvwuAFn4F1aBls}pI>!!?ABacxuM=_
zX}WuSb1|pppS*jziD8=Qdk@@IKN`r_yWom*c!AHnIZ9_)*PV_R*IKdaC-bD59c(l7
zY#E|8vda6DE*vSED-m9n8lAs?)yK`pm?d5t`#wJIIO#)@MCZ9xS1m5^uRM8-U*Pj%
zy=sg6efEvZd~EA)L`>VaZB^M4mJe<+vQzgzsAX^Y*5xAIpYYh^#f1(2^7p>R$gD2C
znz>UV^;W6NS5?QPj<zWq4|Cg|U+w79{Mr7I`HW9%=5h)6m^=3*B^^K06LMhTuG_K(
ztuNxMSDMy3U5W8~$ekM#=XL*<i}JbaWghEuzBtade|>NHQ`O8Hk-gjYhgY1lt>e|n
zj7)t0_nn9Bi({9i{{&1w9=GJ%)oc;Fsr#=?DR^%h*||4!tMs8MYqY0L`n2Wu3V)6R
zd6V;V4{=-lV-q|oG<QqWu6;rCd~QnmMeHkkos@rtQQAiN_y6~s-X`4RQa*8UPL1%U
zS8qa2{yTU6_FGQ(=VgTpUffFw+-~-uC1sO}pPz{1&P@{?tbX2aX7<-UyVk4Xj`b2D
zo1@Az-l?ccCdCM)=x3z%TygJxeq@&2k(EbOQaoE57*77{4tdAAbXwcZ`&p0XXKr{_
zvesw1z}-HfIJ-qRLzi-$4wGIl7+{jL{JUr6kwOF8k{K+UHgRuWkRuuY;d|s}##xLv
zlmcFyxE0EH=R^6VI(?4Rw3qhU&gwriBES4)dULu~W8rj-gFo(?tTrwTyQrGj;o-J*
z&!?^8)t%xpO5bmMT>ruU;&tU^CS`0pva;ObC)X}`uF=`1R>ErhEN5SG#6ge$L2VQM
z_%cXZ=@{RgdOVuN_Qo?A&PD5Ao;$>M*yXGv*P2Z)ViYeQN%?C%CrXWb^3{~fsycq2
z#hX^<cxDzGtXuI-;`{RI+TWY>{@JK2?q1I6!Td=(Uetq8?%^k%lkWH3i_$cjdp(Pt
zDtT_WZiw{$xuI?8?8R3#-itf6=ZU?}nS7R;*-u`eu4yM1qx12XrrDv>5BYCs{-^iB
zb9pHLJh8p?fh(`SH!0HGP&DbfBXdsUiBDHbYQ^fZSDsz?Lup&2>H^N3caPa`&TBs0
z_mL^n@%jCZXNwH#T_4)**S3z^V|4qWz^om6gG@Gb+>N|9`vdpY4NM#N-a39{)}*g8
zPYTx0^4p|kmHbeCrt^hWySJ)Nf5R11+j`JY>Z?T6_fs2J9?hTX@K1F*gWknGo8C1(
zZcdg>*>)rPrJA$N;?I>uiKQh=TrVammo#h<wt6{5#9@KU)DpqP+aBa-8D&X+Zc{iq
zjdkjeG*-TXC9;bmPtLJ3GUJb(=9Ro<@BcLuUV64Xn9#UFaA{rC{+0ys`Eu{|diXyj
zMX)PhI{WT$X{uP8$2(TVL+u8)BlbC|U0Zwa?`q3~1{xPWAHT}_EBkwT@m2eCKUO?_
zojLE)q~gOfz8-XI43GW7#4yVxChX|1stXzuuIV{leJfh#^&_`QLw7>sm6N&pQ)50Z
zSABQu>>i1ksdL|c-kH7k;Hu|86)Vf%Et?tJeX+v+#P6cYE$N-<p^qyjH}CeeeaU@F
z*?C=wo^{?5U;bY;GJMSkmU{PO?cn>w?fPNy`nB`9(tDDU936ft@9g89YSt2_)aSVO
zz-o>YyF;9gy*_)Mqv3$=e97KQUH-2<TbkSb>&)K8h`Mg_E3%UD@mb>Cxa^nqzNx=b
z%N*GIEZsQ{*}su0Q<Z!k!Sr<R1Ma-*(%=4w6w77b?c#0sc<lIe?eTXv;#?Iz?y55N
zws^k#%bva4Tm0)27d=a{nP||}BCa#fFmzGlzWOJI5|^H^F0as3dB-5BK5MS<m8W%=
z4ctOEeEBmw>G@-$2p!kL|DLl8)H$#0>X7Y|6G(ondV62@jB~;kh3EOsJ-2(gaPM+k
z+4s#tFTN~G5M1+Z$<do@Gc)g-rtkk?a(J%Nws^t62mQ}qP?)o)s%fI;PH*1nKJ4o?
zIWJu~&gb+Z?z%y<{Hj{5-a7HEk>x&Ix=A9(s$~ADRJp7*@|`QHJL$Hba>E4e)A8TV
z{+Ee!UnLZ9+SdL0s?_i=yeqgg>$0b7y-~TiNc_Po_x*<}Ev&yB|JCUz;jB4BH+!P$
z?P(qdE=gaS_f4X%jnSap_^o$^{>K!llBIW;AK&TbU+#8ix0S^F7cXlLJMWs!vB};>
zTAwSSZ0WrSS)mX2KU=EqZF<}7*;3Z!pq`z6?9bz^rC)-77iJevnO(~>^KSI~SDBOD
zXZ}udRR{>VtI^G2+^JN5QSgyY?eyebE*j?^9q*OZd-ztNFY*v);-fifhqyjXG1|G)
z{JYS@pLS1PP7XOUOS;>+^0wXPEjFLdJP$WLtRcAhx7MMv>ob>l>t{ZA*Sc)o+FiCX
zvS%}@=PwCid$8&%1B;8~uag;<=KEEv7yK!of8a*vLATsvw>Q>*Jo#tho>i4+YwLRc
zN3Ng!GWJ-3Ox(&>Dje-Xi{v`H(vNFIa;2YMT<HCAe)Z<tP4SD`mY8cFw04;qc5up*
zG}*oKygBR6IXyIfI(g<(Q+2k+=(~CHW!7N=mlswVpQ~8$G>X|Foq5x)3npJUzBd)+
zKVH*$ak;yuK8vP;I=j{<Yq{B?AGXd=y|;N?Tk6sM$F3gAjInc2t&IF=rp{x0YKFm!
zzl|AL3!YBgCzbY#L;U-P2Bw`ijPE?;p6hif;{P?~sN$!3Sx?0dKfiXq$L7|>bc0>R
z43`#Jv`YL6*m>LOr9YeaiN6MmmI=L`$E-c~)PxhO>tZ)9vWpUaBfIY1Ox<A1&E7JG
z)zfzD`EZ*dZBG8LUnl$fYyaqF8geSUVwwH&?PlA(@{F72ED!EnEU)rWk!O3kl-;Iv
z5hlE>WuJ=vNC=3|FXhtI*1NK1@dBm_p~a;qUHW&LTZ!*v?q>@RI{8`7>Y6KSgK+l#
zt;g72?_dALEPj)Ps`U5SKX|2jOP1VHI(TYDP59gMHrv?l+06elBhK<qrb9tXd0WmS
zr4_!rm_pJz#3F2GFw1watTQS|n)J}&kCRWvkyG6H$DMV~ZE2}AUEMh0Z<AhL1n2jK
zsoVY>np}D6Veo=O|J#b|EOxEi(0h8bV?*lfJ~poj^A=9-J=k|=yT;{z(~^H4(-aS%
znY*g<fKpMbcyL!lkcVI1EhF39U-3s*r372ecij@YZE=A2=BK;`{fE;`8^m^a@Bewq
zf5waD7N5UN*L?banrixDvs>Y_z1GFQyl1Q`_A+MK!^V4^F71CfmmRt+J!PB1wZAsW
zGd*WVu36pB_kT|PZuuV%e3^Kq*c#5=o@~^!`H|~fCF65iiH>s`+xGeF*1RT}IEB+i
zxM^3;*7koqZ<)4>|5)Di$wpt^>BqCh4f?j0e;h7veE!pI;@X>fO08cVXB8Psy%v`3
z{o#2b>6@j~Le<Gt?~=5BA3T$M*W_ls!HsK^c=xhxK7FiBr|I)+!}`MS{Y*Z`nZJf4
zn(KR&T)3Fmmp3EtMuYi;K&j`m7d(GycyW!}><{|lb{;-zH|~6zwJRZ~uy4|?+`xMq
z6^w=cT~t(F>6Pi<v+4Q7eY<bh+GfeEidSo&9x{P-PA&hJ&p}(nOWf|Ptl;{h8b7V9
zMYnb4J^MdFYbJ#+TW9v_ah#O<@!Z5MiL%VjXVTujEs|gCvrWi*d3V*!C)v5}KVAl}
zXv{D&oO8EVD5vr6iAPJ8X6;~R^4OJCIPKmgmZYHPUwfqk3+A|-Y>kwDck{#1hwN47
zA1sa0{(s&tqVaIg#KiSiEZ^*HQ4<Vixngz6ZlX88lF<#ZZpLj3<=;ONi?y!)ap<dY
z6Yt^W-p}Ue#vJf&I+lIG;VfJJ_tXuqWOj?4Dt~%=k=d5EQjVx;LitS%agm>2shl+R
z_`iRn)G2OGm$E3s%0Qz#8Jm+s-Otr5JoIeIyNq<-u<T@$!!2{xW_h}<VhCc{$$p^c
z!mipZMb+)%hfcl@;xkE`&7<GicSqy@y5qeoFP@BSK2v0DKI7v&E~9Ae<1b7XGriQA
za=l%NQ!gr<YyaWyulHlGo$QVEpR;KGJ&oG63W3tc?pL^%9D8*)t33bS+-RL!5lPIa
zbKY2)mc8?qlKUt<^HWi?v3knC_|ykFkuizf8v_GVWX=0li7{I`=HLCt|ME$dS19+i
zN6xP-boZ~2?ueQ&RpZF(u#?R^yU%z$*5yB{5O*$MN`>|(mYlg64F>$R>{qpU10s0t
ztn&J~bqCL@Bc=)q-27!&r))9W7q&n1?Y$SAyH!uf=1N}K)%or7>vAiV|2uZ9jZ&+;
zv&iaqf>%w`+@|2!CsUi}3oKr?PUqN<_P3wzMac40ojAAlU{!uLdydqqS-U#^vVNPo
zwmn~F>*?DI*L>My?9kj%x=%K=GVP=1DkqEeuUZXqpD<o4o`2|qne^-v>+8xN=4378
z5Sme4CpqiUd=cw@qt4z5w}R5!xi&`&-mf_m{^Qd6+3#0`#44XydS)7zsBO(!@%LLC
zQkth7{o6e+sVt(@?W}N376080m5rRwino<q`73(u*R8O<)05`?IQ(FXsoS*GYYe#J
z->jQ+aTn|H8s%wcJ5HV4d7r29@6U(c<zC4=kp>yfA5(uE5I)th>ZDu=f2nPO)r!fL
z+YRGx*ap8@-P*+^&)9y$%H#O^wMFZy-%opX;)ku0i_px~MOtRD5r*bn##V7*@=Bab
zHu`&gPc|u;Ypnez@YLVE-uqNiw3kVjuxW&zH?=OUXmUF4a7p8Fk<h-E5tZjBEL)i!
zFKMY9QC_{hN%Z2cZ!@dEOV9E?a-7d5ZTUBKmSY84RZ6d-c9^ym8b|&)B6h%1^pk@4
z=B@7Mc{aScmQbA$YV}&p){f`m;niA|?rc+eS+6HwWOWSNQE&4@_~1UVOx3m4c`IM6
zd~>&6a^>;=ANlUrr?ImAkqPz;wG=c~jdc<a2!A+f#rj_@z00bl<J-=yKW&tiJ>mD4
z`u%_U-A~1utP%A-Ri&DEK_%8}v4#eV$+FE)zsP28^?A10*3i{^t?J9IBC)og*Qxv#
zS+jZn?0}r6?yki=7mrxp-Z$NT-j>pzP6y-VCk0PD%6unEkKtK{jQ>~O(zDNlCK@_#
zYHC|haAe2BxxamvUH{j$Ty$ae;}GeWcm2QKGbnqzM$LiuOOk=nvdPQN>{xM$Q9XL%
zr`c6)b1g0?uQ1f}|9^4jigSGxm#<HkQ<Hzi{ZdgQl-HQoV_lcZT&LLu?H>x9P8R9c
zX!*ReNL34(<H_HmHk)@x#xLut84Vv_x%!&O2pskgapt_GP`H9a)mlDorP$$j4|MmH
zn7tAa(3m{mWcd@%%y+8au1A|$FIX#+bIp2Bb!o(lf7=fo@2uL+uw4Ah9=+m&H+ONY
z6^UvNofB<0du#C0%UjfK{|2AAl08){;_vmKKGm?^rT$ATFBv&*-XipU^WV=KzC?GQ
zNO@dUy^qQ7>XqnKEAO+cKiZeembA3cU$wpSPWi?iGtOQ&{KZ(;Z(_3ZQhD*yEg|oN
zN-DNmPUG3EsT)$U@AihUKl^t-ZsQN?7A>nf`C`Va7r$~vpK|5s=ho=#Js_IwbvK@S
z(#D57!y|rLERAcl+;NksQrNMBgT->+3#^+zlgtekp7fgW-11S=nwy)yN(3{QR;K@P
zUdE^=SzLCrUU6I9r^1pu@4O@IIM4lMKQnnr*NKGs#96)xa~h+LgrrWf*z++yZ_?CA
zi)reSX&-O!u6`UBv?t1V{-dokHTo4RQYQtzj(0vEJo);07uA~fzGpIX|M{4I>t#Qv
z@;SH3qIu2HMfVqVFIv;P_tLM(nGYX&+U2PfZ%=$F`n#+0+u~Zc>zeFtb*}S6{`daN
zR;=H$>~TqwkDH;Z$GQy_3pYn9beL~5)-+raVzF!gLd7XQ=R51~l)X$?TNSaoZR)z~
z8x?HWzAsuI8y##Q*<u%c!C~3oWxB#YqwYlhJ*@dmcC~bK-IfPUYzEy-LPn9BoX_0J
zzY-ktzjosz0l&m^GmN*Se%f_m!S}frf=>i?Csl>C_*_+Mj(Yv{<h_X^c59BWk4o&V
zNcjGeEr&D3Lv7}dpX-a>xJuSe{o1rCvwHULFRTA*XvKF5*gZe07uheOb$r6(h8sI=
zbo9(@oVQxPN!q@qAgU{4pXU}Ct=X~$2|P7z6WmNZuRYx8{Hlp#PmNc!tFO?yllI4Z
zWF{;Ae|BVZhKFEH?;4{E?~ENPTRB!-xZY&Dd8L!z?)1E(4v9-*^)-Tfp6b_3fBa-0
zhY#DzAAcW4nVwPX7JM$#yD;Hb#muFDR6k!6UnW)({y=ZGkH)`LVf)olZm!(no}A4y
z4`nY;a&_c>+M!jkXIblv^o|p!7AgMU%A-+uqJ4RnL{^@d?hWxN|E}G&EV;K}uF+;k
zjfnLYUip)<-o-B~tti`B+bn+L6n~A-ro`zXX5ykcM$_$tE?bl?Dp@=wPx9GzsRLqt
zwsZPUWG!(FNDE?ru<fkdohRjw7jz1m`hDnrBC7u{St~HK;$j?2VrAy5Plct;8@m5S
z9x>k`<7d3R;vx6>l2EP_`_#F#m!Efz50ajhwD!Xh(U+;Q$*&oc*k=mVER-{3n)1+4
zam8ol^6hQ%rL7u5w((Du7!TKd{=7z0k!Q!nzsKCUtNtidim&k%7B$LBD!H)wz%?f4
zl^4TgKR#1)*7v$KCzUZQSEKi656}95mC>t3ywAnVcvl$Df6_TJ=^BfUN!ZJ|7cV=?
zNbEWwsGPE=O#aNG6Z%!*Q5X3SY47$c&C9NHzhFP-nk9>E>(cid^J-qCTi-TF_~QF|
ziofXTiBav$rnjTFIVPCQQ`=To7k_-QlH(hBj#|%#g;%qc__h7+?y}?jeO<gkrvK}*
zoiiR7Oe`z=n(`^FUB^Z!J4``xO2C}+Ys2}UTTaQ^@$snZZ9$gEt8SKN8;hPC=i2SN
z`O`Voc9tE!XCL+GIjD)blx+>adSSlpuPbhPvwy5R<Lt89z~VIDPI3J^0@6PAjA<4n
z4r?EjKX|3T=fua7)xQpX|GoW!=$Y)$=O@pcb|^Fyl=xiOx%A1^>)CyJHpi9za6V-}
z%<X;t)UCDGovk!otsmUk^dxZQj#|5WK}SBz`P*HZkih&`Q*2$tzO?rdYL}kO{ymGY
z@$9*e$8L5@`Jd;=G%)@9*88okf%(kuvwv0HV`?^W@bl74;)^%8c60OBlfQi9YkrPg
zu%CDHx>B}jQeUr1s4bV4Ir4+w-!gOct9$Ppf2lDuE#r6^wZlVRsja<BVR7G|rTbp}
z)RvXsZ`YN1^T&Z1{c~qN>72~_o@<Ax9CyLR+&N)WCK!I#^l48}oN+oRcZ%t)i;bR5
z2dA*?T2b_3(TeHXS4)f|x&0<won1O*zH<8anV;Nyu2()jAFL!f@vK<v!WSCb<&@O6
zb-O6P<qmB6@TaoQFU57gmFVH<PQ^!A)k0TS&3GfbsL(A@@M~p>wr0kaJ7K!&2M@j0
zeQ)$}l10xYiTRIM9sRuz+1~mPq7v$-8~Mte;e)`VhvD3UYt_Eyb~Ky6wfH3b>i9vH
zZN_|g)9gKdJnBAYk#eWQuI0|LvgTR7717LpC#SqRc&1hLQ|sRC&o6h#q!;_&li$jm
zcyC6O&3Zkv-9gvVL_N1}F^c~xrXsR)`{rL+M=jXO^J0sVmz(LUHgd&j&7Ppj=v{m?
zOTD!`F~MGNbILyl-7`ybcDxKTn>OLi=HRUY^H1OE+w&kHpeH6V`B9wXj8|N()Bcn`
z{`|k^x1gE3nOdjdvSP#kwle-3CS7!sK5=-*o~imD3O~8WbnLuz_wMYFDc_z<H2boq
z`&nzP&90-@wR;cSG=16UQee))y+AqT%?*ZM<y>{wlHv^7zh1PL*4QUEN%GU&OYvN3
zO?pbd?H4`$Y@@UP=O(U&Ms@+}f$u7+l?+#G$?CcEUv{Fq#O2zgeTD8;zc;DvVc=H?
zI?$8;aeigg;k&i24KMzD-VlC9EP1cC>8VwnuL@f8t(hIJ89z#MS$;b!++^chSI)le
z;-vyj7perN+wjakabb$fo}Fh*#l51YCyOuQSsXaYz{QqRI%!+BPe@kKwvV09PShUn
z5IN49RB>Xisv3jeqKJ47v3m7v9fnAuOriNNl~NRz+dScVwDG#aamVDPYom=Wur*8G
zKX=|r$hoH5TlHi_gTh10jK}ME#Y#R&DAe)>%=)>oHg!$N62r~=TgA=4HccyMt#(P7
zI<s#&qn~5<-8D5w8|FTUHG9M~{h@z&K#ivTMXo=tm;3vhV>a{NyVoPX$hO|&?p7v2
zZl6uZ$|oI*(@j}DJ5Hc!K^DV?X~*xs`R`m<rFFfsi;pvQyTf#49mW-!Jw|rS^R{l8
za_jH<3Cf3B)bbxDmmXa-tFuT`^!M2diZ4=A?<_OAa!EwfaoMj|b;TA(=F6>7zuL4z
zIOMYFy7UvfC!LepbCl6k(%_VND?|B2JNYkD^p1PhNSxEN$-T?Kc(E{#VN;yx?wmtR
zMVo8P%gfbs)fD3@l{>3))0V8M5=;8aa{J+>7ng%JE;rtNdhe&-nlDSs?Y`ZN_q+Ek
zZn2tX-9qv694o8W=T0g1D?9nuUG7WPo!3H-KkQT4CT#igl8aQ}t$uIcQ}({ALWOTj
zs(J5U^lN_F`{JvqbIr}ZEt5VwG2mMqXH5t*>#DG3L8})*SB~ttG{-OCutAUO{Aas8
zZx}_N`L?C_#>V=oH>QL}?wa+)dfwU{pI;S<>~3`YF!|^Gg#xOxS6f<@UobNkFLP6?
z^W%;PP2}71u_=!G?7x>c${TA$H#(eqZV_eZw|1SK<gy!AjiPSoOb!z~+#ZpV64J?V
ztmeqw;IDF5a}P~_vAFn$x>~C5yE3029PFl6!tYin<-2}Ye3kPwm;0@u>Iy@f2{V>h
z_3VDmlxC#yNZhLCx$&{-9>Eohriuytx3RqBq42l=&rFLocidz99`c{waHsftgiI*k
zmy88ZEVKOQGtA4IHGQ2jQ%s1VDEn676@7MzE4a7$i{E}V!{6Zbp%;f=ru$5GS@<lp
zxp4MI|8xTutrbRGku}@&ribq_++dZI?D^!{>=h1*g1iB?EQivxHCJaXPLVV{usOzF
zC;4m06dOhXvGoo+?#fqi`LM5FQg$kHzI)5wj}v7xZB3IIU;i-U{ht{+;ckU{{_OXD
z?aY(TaCCbIe-+!l@!!vyAX^h2O&J|$T{j0O-di24OzwR)%U_wR9lEV8p{B}oDSyk8
z@E=@;%NPFsB{%2#Y^$n!zl6_!YCYJJEdDh>;p*Rr@2Xk*MJnFx5lfMJE`6J2t4)1Q
z=$li=--^}f)Co=8?L6n!41@h$b<J*at&LApJe%&UUAZDut<ceSli<7`@seRm6$f^@
z9gaG6D}H+Z<VY@OzfHGzk18dIs`_YfPCB!C%C6S+bqgL{-=lm*ZO7S7>4!5VYFk#X
zTJ-dqytRl}XXbjg^BQyJ<vLbK9lx^7@Oz=D<eueAp62*3^|JYBZ7|!n_HsdWmg4M{
zMbR(#i*M+BfBbdU34N!!Ns-C*V&~&$pS<(-c<1)FlTQ3Gi-~pZds@WScqiZL$iG(~
ziUlTHUu4v%=;^upb;F$x_0mV*m@J*rT_1Ba&w9z`GeJ|tZk~C2GTn@ue^q$WB94%G
z8fFQ>WuEp`we0*{+qz@sK8Y7=2z4}*XWZ{!s`PsDlULyk1^?~|B&vPc8DXMhX3)iy
zbI+s8b?L15qjx{#-8p3a`L+N3J#&qeUZ<p8ynAT&<siL{;sWOrjf>7|c1M^huG*Hc
z)FSxf*9i+x7(V6s<Fd`>4sV3@?&CF_U!0dq#hgjaocnIU#|@7^EICqgrj$kIc6M!1
z8>7%{&d&_9gf*I39#5XWf%TqmxORSRFr)ayg*To>FopGhI{f_3X^zY<e^mEJAG^!H
z>_X+kqPH$;FE-5H5?uOm_3yZv2ak{22z|fytE7?r@si@7FV0U2IwWfHPibDo?~@$Q
zC)Llpf2dSzK~K|Oxfdm$s&B_{J^$?gj;o6-^A~lr+N@N%ZgJzxKaS%HSLa;zc)r6&
z)we>;s%n*ch@ixY&$hGg=v<B1e%0XbqWii3<Chy8NqXEruXM3{f4ct`PFove_S_Hd
z;_K(<1{(z|TU#O&B-paX+R^cl(}vK`N74n$tOFGSEjjNeTz`D|t&#VRMGvj4gnKQg
zW@Ud1y5)J>eX>0Nm#;M|FIe1KdeQoTMbq*nW$#0_@yfXTW=}OyUOn^f!#$0E4~Z}9
z{PV*&b$i;&x$gdJilUZWIIxg4Dc*Gb5o`9jho}AAq<Y4ncEK!pp~kZkOf^iCk`Md*
z{Hxh6B=7OLVFg#$t_9UU*LD7jI&&kS{%ZGIKl?>9uA60?bldPlhimhjI<Fl|t<U~g
zu;`$)=QFpW4@$1Dvy%_?Y=2{u_H+4-HS=yYz5HnYHe1eITDob6s@M7dvWt~hpOv_k
zR4e&OK5w^gM0s7^ymhOm6*~zq&)cwPV@v;`_#(AZ#z&DZMbA{%ezuER{>~uwg6e8b
zv&J9I%l1SH2A{oF@MYGCUQ?~fksEHd1#OT&e&O7@a~Jov8~#Yv*c{Q!$}ja|yJ73%
z>9OsPt@X{zzV40NVyQ0sJ4E1WzDX;;;FiOD{3rGoyG>qXHS>AHxk&#7W)%#~muFqv
zC0}i*^<b65x!*=Rev2LYK0U@R>G(a1uq9llcI-NOY^MIS&ykyIHnHfdtWmV7&;7c%
z=)=mc;?E5iJ6!^9U61pZx)GVH*8jQko`uz!xe>e5Tpwg#?BFjfK9aLDk2T4DpZIq1
zWyi}`ly75Vo@(-G^Nua6O+@WA*m;Ea{(Sz~Xv?>%4S!$q?s`#s=feJ($Gso_-yaa7
zcFFeXbiZU9t-U-c|MZIfmR&2}HL--vr>yX?#%Yl@{%wBCIzH}G)rs7#W%BS;FQ?~S
zJ9+;N<{x(#unK6@`*Fmb>5PbA>CiB*TX|$&(xIhx>rGEq6u<g*Jz>!{wP^j;hL(U+
zyDB%$S@$;i#HKg@_r-J+O!;W=wMX`Yspk@_J)8IGdM)c^v6-dSbS0gC^}Z1A`I!eV
zMjqU<RbZaN*E5fAnd@GX{5W}?fqTlOBg@X@-`(atCv@@V?`EOL^zvk_kIvMevtXU|
z|5?)*_E@@d|C$x!^~kj>bLS3^-WtbeFBaXpn<naFyGZoWJ=v-%iFqsjdmQ$z?Q1&3
z(m4HNSiq{pLlYu;<f83QdkEJWyPsBXoF?4La%Jbk%6hYhlWHISR5F<L`w+*<vlsY{
zrG;*Vte9D*RQuxF<=0as!|WB`WxsoS>7h(w{X50GaRnEXjhD}P7r&JC{`>9Q=dMkB
z<bT%C^;P%Rvl#|GcLiQZ27O|4GEts%D$>(#v60_L`LixsN;zNNuiGQ0_N5?v*2g3E
zX;T!kbbjQShp2c~G8_`SwJ~euqx9FjCxyeMnFQn)Z`-}nY0r+9wi~ULJm*DfLl*9z
zt@0>4S=%D;?%V|ZS!;F|S++k|ab;`m)US6UGHhA4OO<9O`mHs&dHg?X<b3IOR&T8R
z%${>v`mT^z-n!JGAt~<BpVrL@Gga8i+!!4eT;$m<vbVADNL&f)`K->wul+r2S3)(+
z9gJrFFo@>9GOzQ(wUi#$<8PjRTvT%BjMB3iKDCOo4$U_@uKPx=_xkPMvS%G<YRuGa
zuw>G>{*YPy*&Shz2OZW8(L63pFLxi?vQqu_lP{5V{RQVPR^*6HkeFn3oNLmf<Ny4)
z&mG`4Dk-=hw%(KNY|=yFiPJJt*k)Wmx$)4d6(ZryAF4lwM=e-3<3g(Qo{U3pWHlxP
z-r7Aq`Ox=*NiUi^17_R~;oTXnaQCc3h~E>}$1|BzKIQ%D;&+Z(rG4<iyoA3~F1vkn
zT^sn)Vt4Vm$DOO5?cBlZv!=gR!KM28ZC>7cEQOIr=YFU!Z`~OnJge3EiFfU^tOwcF
zS7+<2@;|bJo#oT-+vl}dRU4Gv*PoD(bx3c^*rr_AVtDhzs||}MZq3NuQ=nK_yt_*z
zEb32u3S&W97n}S2|0dc&hIV(@3}%-p8+LvTFAu(5AW`*DGyb}LU%Q<7ru!njsR3&=
zV_xW1?Pjgr`S9VkJKEW<63y`z!FLXKE9WczRoQI$!*71!{T${cZ7W}0F#O20c6GE?
zQ^s`d1I6}Mo?EWIP$-_&s$z6-%leYv?Vl$7*;N%NQ2EE~O+f5>|5C2FIefnv^ndA#
z&3ymYUDoWSpy(By0}9R`K5cNRaSL}VzOs=+DEUD8>165oKW_Csy;Je<z{PVtzf+u&
zW?w0r5PqzD+v0y#E7Fp0*va46*Bw$cuk4fe_N3I=6U|~$v|q?+Zm(9~`F@r8KaaTz
zs{=mDZT>xr`=x=V$md<R-_AVXkrMLse(=Qau_fD?j3cwd1NS&RW04MJ$-bAA`*ya3
z{<@!Ra!=3I*YEQW=17_3kuQ-ax<5GdYmn4k-8}-$=VvSm$v&C&Gj;I}p_Wy5cqeHv
z^*LpCf0JHsn;1Fqozt72E>c}D^imkh)+kPTQ+y|X+OxS;?v?t7yX&NKZ+^WtXPWiz
zWhHIyd6(yx?y77TGKu!%F^r$cEj8=bO1WG6w^$z$sugUjy`#bXV)n&Mfe?ou`6s6K
zov4ewdeX{csW;E_BeQnb-e}_#`PXUo?`vq4<Nr3hN7IAeZVtNa!?*OIqWM%)CMH?m
z)_Zmzlh1hla{GMq*q>b*4&N_XPx+BKH%g+=+I^mT`jr0}wyy<pvSoETH!FN)J-O=|
z*P@z^_>@zBN+n+2-@NmHx{}DWO-$tnSk89}1*j@cIm_W++cUFnSL39%*st<W-dFyP
zd1_M@E#a&jKKth)zQ3Ce{_SLn+SZmS`ES44-EVA94>R-RPH%~Qu^?`8U3Bqlk+(PI
zGG6IuaZIZ4dHJ=yaMnK=Www($9#mvrJ*PeUFn<r*_X}q0K9)Bd^WAvS$2di;`OvJ+
zsrhL)BiVeJrOq77TFJXU`qP8Bn$HG7Rx_5||B;?k>l54T87cam<Fk$K*}Y2F4z8C!
z87+Bws&?ku&6azEE1vsu7r!#wekW?*Mzdr~;T1L-R*ptSN(&1vUu4Oj`Ffq$s^1Ii
zZ`J$W6}OH%dTFcKy+EVKt!HK(<u9~dr`NqU_<6{m3Qm_5jSiyKv&7z82uMwwc<yx|
zyZ>bW+}!>x&O3gUeH4>66;0W(l=)=3c1FA1jQfVKlc%T2RBdBTuaZ~oo8Npy<w!V}
z=IP7RUfg5SWKc@js&mkH*|F4&$BRosmi`m6`YyvCC^dC|?WQLijul^&b~rot>;iV9
z6<4IUP0gFpuQ=P(nO*nKs&kVs@;dw3{0?`{XI8NcP*`$PdqZjKzJM&fl+W>OFaK9X
zuai8nt9Y4|UqPg%q|$Vk{`HZ0c{|k41i9__=klQ1TBy``Nx7u^*Uh`PR(dtB+sv$R
zT=|1Td(Js7dC$N#t97USQ!i-<dv|=hLgQ-#(GaFstCw06=2>Pic7(96T6@-Tv;P#^
zwf(R7N>`QJvT#0YzVxE<L&`S$xoh7!9$U5Xd;*_}e91PhmiAY6^G@*YzEC@RPnFBG
zrT$B8&bhtwSn}^7!^?mF*&fTb=b28Cd))BUH#b)D%{p68zn%YQ#OySfn(;Hq!s%{=
z>jb_xY-Lp;hxj`9Yg#KeuZcEi60iBhxjA{_=b1SzKUhsQGE<^Y6<(8XjE!sH-e9QO
z6)DKPwP26OTib%BbS{$}MbT+9e^;Io6EXI6*rMFm<$qnyuxlOHeEX`<qWz+mgu9Py
z@|^qW^8&w+`wN}KzJ+wma#nVp@jUV2AMY(+c6RdivNC7=WV?St;>Dw1t1A@E9(;e|
z7yUBo1#iUb|2O<x)THOGJ{0OzqEWm@MV%{ITDx)H9fzu!A_ftWA-DEEd?NJj^?#Q9
zPOFy=%TB}#1u&m6_HAmvpWS>>bYY=j-I4Qdts-`-)O8czT|V-H(<8lYkFEQDqeX`z
zzu%c@7$qXXl(EhF=#;1Z^_LHPSB#5%ykySIl6K~ci$jh_$zEh!a?UZuaox@T5s$y}
zGX0(Z$0TL1Uc8oN&rP}X3~mkW**D%v<!-UzYV?q{d%csFrE|%bqmHMZZc7X)Ikais
z8(GU~=9&g~CmU_}{IFq5q$9%~^;xBdfB&C;&T#$ttXUrNhYy@xeDubi6^Ff^H+^>g
z)yj9<g|XN=d*b*1b;;com24dgH|HPR(|F0;yr(yCYS41KJ|?q(i#;-b1r+n=RR`IJ
zR&-ZyoG0yG`E|$L<t_Z@Q|;~EFeLHUuL#%quvp^28(SU8i#AG`3okFxa*nt+Q*g`S
zou?MI?(+}g4xdqHx$Ii~?v1||FF5k%{h<wY>!g@h?73DZzGvBdnT1(v7aH<7&S~mo
z`OGP;F2^qaWn1)@sUNihs`t4o9eaC8aLs!c)5J&D&5MFN{;*^novD3aRbXFP$ioB+
zsh*WDgF2<yS+*M<x-{qT>d6hJuf9*y^L=aHD^d~g?%b3!QP;lRcs*yC(9VY!**JFg
z+&O>8Mo9L;ZXY=wKFya~F6jCR`g#;>-De%$sOP8uS~-II;nkTduc$->yI)x|$L&;D
zpSH@Q>le=omU3-QUZlQ#V~uL*9)3=~2=#oGB$0VFo6fcG*mhIxrUCOsk@wdN%VXL!
zU!FYryFO#<&e(^O>m3)KQCYC6A+zu2nlpl82SpaMzA`%cAvx`tiT#YyDXDk2_9a=J
znZju%zi8QwEp5?HgZB4{dtbWqbIHtEfpxN+bJji=e81+_SO2ej>J9JD76@Uvm6!g)
zW51_Um*rvaubyeyPOB1q=Low@SXXkVt?O_^n)r%Ot->|t)gP6W{Po_yI~<$-L0vWQ
zqxHn>z0(&cv^|yaG@iBOU}ay?m1%jkvt2|l9^g;^?5(--ijn)X75~e7<>npgI{$TH
z>8H}|H)d;oV$k|MX>sh!X}A6*%r#MW3Es2iGVji1s;V5*EwsJGE;mM5N;ki|-7a`=
zhM-cO26siL^&{16b<w@n8S{j`-b@hs>MQ3|_h0h7wIJt~<1O2jW^Q{}mhOJT((>Z&
zqf;E0p2@tQDSPVK>`=qIS9iVgbYj$qU2^Er#pVjZ2eNTWJMvd_-!MrqF$~;q#M1WX
zVD(h3W@m=ObB`MANt=A}-s*$L)3=D}PG29guTQyt=A9&Ijc*6|+!sw^_U|od-fV4j
zV$-2kpKY<SPaBH)Ut}iNbnR&G;JDm7DU@4f<LkMJ#-CsM`p(!Re*0GYo~VOOI+LG=
zm`|%&6?-+=Q8nVo`c;>_^3Dl7F6%zOllf}j?WO-Wm>rV&xKT{3H0tZlL*4R1OLcxG
z9KUzRLSS>-j$bpTwtns15iEIR$?E8B?<!p6Y@GDJ^eZ*rNT~3;pSC7t>zi1Y{mcLJ
za!nVu`dDiIM`QiD+9^SsOSq;pH1;Kl+p2nPVpYldy6M25wfAfvD~oP?6u0P3_b106
zr+&08d~LMj{3Rn#&R(sLTOOQr;|yE;i#?+zPghyzsdk@H^449QQ;NT?+xTbO(pgqM
z=Q5Pd4=T+oF_1WLcZJizyoHbCPG*_dPcMG`@@>){na@`RCr7W95PJ|2r<r)L#Ue29
zhC*rDwm+F6X)~u!mD;iTwNLo>Ex`;-T}wC3<T{r5YnHfi^SfV@G(VoQIieF-@m69%
z@0Q)0if4AR>?^+;^z~s2SIkP2Zsv$O=EtFrz4l3UYwR$%`o#01uBtt=%9bfYJ(8bT
zk3DzW_usEW^9oz|r`}gzf_5a-s0h9)-an=L=lAnx)jV(Zl$_XqVxKd6v3s8Sn~gv2
zG{`vHTR;5CzIU&|iL@rw6QYZ^_7ph$nYAzKX+v^!;|Iyp^FB9jP=8%>r2n&nl34it
zui^_cXPof#zI|kU)iwRC*=ehvF)d1r(^<9X)R%k9rm`_>WHd7dF{$b_@3`szYE{y$
z7Te&RCELSOn4VqMGR&SS<i31qQ<LTKpWgEi98CCBkg?ux)#Z72WR|5qW#1c=yI=KZ
zU{1r@o?K-v%fQFN-Cx#}^9xT+Z(62f(H|>bx@lK!y?-u~b#!xDd&0iHp!eO{_Y^{(
zw8qb~Ie2ElcBdyduWIRT{=2!q{?MY$rP5hZ56_jDq+Y2sUUTnZPrxze=^taZzhhAT
z%Acf>lc4|f*Aubz>-O*bAR};Dcc0dR=TS)|=Y1TPyjm1A(QN9h)3L$coHn8+YQ{M~
zuM1R!a(w?*;i9}K`k-61$+r^!>^XHswm)<Z+TUVR=-sj7)#+LHR7A^qS@#&29QvjH
zp>eL$sjlM{GTw}rbI&J!sw+L?u~s+0XK{bX!N^CwOqMqu&tA&(Q+);BImH^;_d0LV
zI_I!wsQR4U!hc%%XbPXzT93|tW(GIjIrlFYCVc2!yydX(M{cWmUsQK2eWkuHw29Hg
zM}KinM*oT}lm1@`QU3PvvCh|EdELtogMFTNDDXvfc<OYi*2LP1>g>CmEI&u^qg>M4
zcA1O5v5fh%ABoQGHVRsE_U@&vcP1P?9C>^F9(l{tf1|D6Ha`$NH8J4YvFsz_SDL0o
zr&h*nkXq`M*swZJ{<Bi+uSXWXe^qOK&$hd|uxRf@3vKq3m)9@-rpV}Ny51v8%BTBY
z<$`n5CSR!YZWGS9#1YzkjdRsy_MP1-8x>8Saq~#jhy=X0Tc*ov{crM;IV*%FYH_^z
z!2PbHJ9Fbik!k4xSKc}9j{73}>9^7bb@6w(Up5M-_vweP{lT>RPjyjs(vJ99n|wDN
zzi#g@@j8uj(YuSKdcm#tOzMN)HFhvFb7$S2y3k>ra<axTd11+>9e%&<{gX{B?ule(
zpR!~4sAT@`lUCoI&lzrZ{x0_)@MdpF{7~u;w{DaDv(K~KGYmehe1GoSrcc{r>UpZA
zgH$qnr<K+3Z{l4aduM9WX-iWjj?7(p+^bKoImMBvF1G5WM#SEoYXg&}T>Q<d<+r@5
zE_tH-_TUc}Cm-O@-gK^ne}cDdQ)IxshGkm|&v>|XmRn@hW?bLdu=dZ*xlDg|o13Q0
zl>Mkb=fsBWk6JI!)LnY4+bXbw?cK5?%5}oR?e2l|E*=$&+8DxMlKZ1GRbcNLmViLU
z<R@v<J*>S8;$NHIIm2=3&cc6PnzctB1!vAm?<#z@NjATmS>=yQpTi@k>mOfOSIj>@
zf1^0V_0k>do9Bl`tKL<-a@A&O_X5A8zj*c~-RIF;!YrC)Rj}}wt|U|ECMThNENAqu
z>m9$da8B;k84p>d9)!wQ%qn2q`(xc9HR<)IUNW4Ge$4*t(?yTe&g_oRm7d#<>6)_%
z9KE+%(#-Vgp|o3-lKw_PhwJ7h1-GnF(|Y^F@p$`AmeyMCc8#P%Sxo+lN*0`PiAMum
z_gOJ3ZEaud)%$VMl1tyuFZ|~I@YWlV<4sqZm+e2+v{UNm-;KOao-AALdrDtSBjxU5
zQz3VaRJEH|er6xD3v%7L|Jtvp;}%jk9|r%OR9C#;X4XRw<&gO7Ll>J3Kl0Dv%(GRQ
zay<Uw>dXgwvRjI$N%~I-{%WzVno&#jS9RB&n>LGH_NV3<2Z=Bgr_L*T*DiH^U927J
z!OX|+eh1EZt&lIkG;{Sv_f5M3%zJmpt;+e&Qqmte>&=NM8|R`nZ&vH_((UfM=F0LH
z8Cm?iS23A)N!G1HB_DDd+A@?UUw?jnYv4k^BdH%^CV5Tq(=D;=`lysDS)RnoZlwBu
z&1oivFq0)^E&a@GQ}-|}emQqb)$hs`)?v*&linw7-`{f4cml_hDXPYC=Qcj`yQzL>
znvuoCTJD2f0@_F4cKn#}L*dHa8)E8pcEU#|PG#43%WK-bPO{`*$<cjbyI*TKUQ_&-
zTE|p>(ss&&?!4FY8fF(=D^E@-*IriVdRqLr!2O9KpS+G8tW@-=l5ZDYa8kQ+GxO7&
z(7y8U{>;FO7Y_&=T${vm-#W9ST<CB6i#58>mUecuD?WB>sXMvXC9}@@>de#6p0YoX
zRAWB7Hl)I!C6|56l?$%T7HU!{ZQqi%9z9e4W!v|k57w8||Cn8K>uyqn;jE=Rzb16~
z`+Zf5|2fa2OR7Vp!|&coL(8ylty|CJXYyq^u5^%^&at>pW4-2#&W5>uD^imDoNoME
zpt3&ulSL^1LRDU7*8>ju`cJ>#n|Ai{x^Fu#t>~0`7yQQka^233)<?xMUuPX)a+uI>
zq;7F$v6hC`gP+Et3>68zlibDCZ7*caYQJjY6_9I?Y$4(F{JNyYdU^AU`6u-!b5<R8
zRxjq07POofvHs@&{?d;fGp|hFw0Mmf&)IiRxVHU#JmKM|d4?++l6)_`N}ay_xA`g;
z!6%l>trq66mN@BeS+?GhA@O7I5k?iM8TIn#w0hP1!h-Kzn=c%6@z$Q+?boHxG+ks7
zJ*P2Sz&iBF{<eEYZ$F(%C{{VWrnSh`v-kh+!(2)XEm6zG+~S|#nai=GdXjg^Ke@g;
zvph{=4jovxI>n&u(FeVCXXjM%Hi({Ue=P0!^P0gS^$A?^JU0^;K0EHi8<}VGt8hx!
z4l%I`@f8zG7c}gcnj#}D{rCO5&0C#AMcX?z<kU=8xL&x)hpDso>;${NiHaVxCVY|*
zl)k@i$Lv>=wZ!{g>{RqC3rb`9wK3YA<%AU*FYhY<!zQauROYOk#yQ9Cd0g{y%~cjH
zPwuUsl(W-p&+H%9XMb^uUcGns#bcIhHqD%Rj%{neZJE&564N3M6z^~mXE422A8e_x
zXZw@apSMllH8=Ijq+V0Y)yK_P4vTE|tm2&(XCgXntIwLJ@~?Q~R}?cQai;Ew*!WuQ
z)@p(IGpcs@s<fw6{5-q8r?F(pWdnJaITKc2eySJ9d7qy#BuL{$VK&Q-_@A<x=I3tw
znOJ9i{3mbky+WPZ>nq}9J{rbvn{|0vn3eVAvnHK~`u<<JYBqEKr7ib5_xDS<E!iUA
z_EwH%%C*?HcO5Ml48pd#8wtzR+?&<nR&Sun<^OA|^fvKhJ2-ew{4J`y!*uY=dahkP
zm;Y=^S+??;#Y1m~d&-NMayOfpOmutQv1tA76!SAD|0@ZyShHL=i{{-Iml;|kJLknj
zV_jy8W1G~M+>qLs=o!ypbpB-WL_LNXoVC|`GVV*Q@3(Re?p~S`6f4tV(jCmDBvo?D
z&V0XP)waB}XB#+$mYg!!+b>ucXQ{j(@niPp3DdV+{U=x|s;Zaq)bF{R26tgY*8S76
zr8|}$ub=v-_v1;H^U)czY|gw%>CnEOA~r4Sfbz9O?W*&i_WwFqzgFp;`u?D$`K@;j
z$WHjS|K;gxTlszpT2#qL-Ku&j8v0?IR`mQWHfkOYmQJSU4!<$uC=bazp|tzS<8Sva
zORd-wc3yk7;KQ|6vpAPGOjFX$+^jg`&hdC3U57RIFUc%7Y>9FCv_vdzu8g|Yp&q$|
z_h)*ZG0@04K3`qrpj&<D|BZcJ9i1DNf2clJuh`^!<?Pqg48A21pBi8L{d$n~#m#rd
zuFaClf9^PKnwTq)dv~wSLIdUCKV9X<b3VoTt}N0~ZqS?h<*uxNj`sg`YFeT1bM7T~
zI_zfqYv=Zhi=#(QRL%5n?2<MIzL(bojiW6(rB?Ls>MiKfj56ZxnG&<>o>|G06Bkv@
zgTD!$TXN5%f6~6w?Jrs{-?8TZ&RYLunqTaP?0?agp}AN8u4GtgRh#;%rTjx)*22tN
zr8Z~hrd{@*c6Nzi;^PZ5o+s^(&P<D3tSH#izKpMeky|<7-nATEkNBiLSG0l_slIW1
zb^TI{>bbiwz0`C3*ctK;8X3;gd!@qqeWmGb8zZlP>sy_5g*UO7w1#xr3(c_L?>+Z+
zuHiA&`Pw39+ph3sf8z<=CAwyXVbbqO$M+wTx~nU}(O?y9&ahjs@JtA&+8(WG(|V$e
zq7|7cm}V|mW1(R6-}YZZ$yz~=vfGTGKC@R{6cSK*c3!={V%=2bTXxN#R%}{ld^qEz
zoawdbKVlbO{uf+vW5QOAbysDUAC7HvxV2VYT`ulW?#mA|oeK5;=5c@4IKrn~DaP>n
zRjJpOV;d#ex<a%r#=aF%RF}wnJ@H(rZ;r>MyERq5b8>C1rX8Lwp5y-L!;=4=pCrGP
zw8<=F*IXTXbE3BU$(=?9QMm;V7q*rdJ!P%z(NEnh*~4FWr=nR^diSi<+}b&BBfSOp
z1zkI>d}{ZPJ5SwL^)`ObdEBy=H+Q0}xkQKd@`I+rW{Ou+ew2MYwqmR7#z|3&6IgAp
z&Qe>p|E2tQnV1LRigUH}LRQ+}e_)lFzO3i;J&~^8M<>a<c5_);-##_}!Q%PnbWgEf
zn>JT)wbl&f`eQS@cz1a--MDqcWTlno$&bzYLM8LX+qQdOeP)s1>JqrHVV0b%JZJaQ
z<=eEbPO4%*S$sVrO#9TX7cyI{_1RoS6S~d1>`NkzzEAQ~e55ePNT{`7A@h_!@8;jo
zGrHs#v_`mmQTEmalA<iJmn!bhKF|N7{-4>Z`BA&)AL>6NsK~I{u&}Lj%`11UtXq>G
zJTNoT)7`Y^aPeuQ^0pVO&*t4KH*(Mt3%Re~<SY<5W!j?h{obN+%RjT<tvTD&QnMiY
z(N4FsQ-3>b{Mr7|Y|Y0N9-C({Mb1B=Bg@mU^?X-Yphexs8=@lZ!V@xQuI<qdTAd|i
zvvc2}!rV~lgVScke|=;u=5y<)zRQ#C_s?{sw-%qfaOi(D=b6T-UX!$yPdLg?eslH9
z0^1d7-PPM_fA0L7@?xI-@v8-M)ZgkHt;^<p%XW0mITuUY3qlr8yA@4i&+<JH|K+yr
z+YgJzWag9Jr>d50UKPL*I4l2@$@g-nKI!Qz(=~caVj8Rqt{24qGL}#N{~&GB>&cJV
zxvtyjeckgp(yBtA_4+v}(L2mRWd|$+9#m+pvRl`8@sA#lO189<QfAdH?cFwePJ60+
z$h^QDyIEf~=T+GDrl~q7wQ4r)xVG)0r&N!||L0E^oas#VH7s+#vzU!})y&lN9XWa}
zyxUu4O>-6)?e|ptU(5aH?BDW^r7Isy`WEG~f6I!+(&wLinZU;JNc?-wbKT6|!ev$;
z9TT_9UF1F{sdCICfAUWjhm_A1Zzrp`-~MskDd(3+Q;6S`zg-{K+w;|4*fX8w*qPl$
z%a!eS@~f@<(70G;FK^`Ye#s5{-U@FLDC`QbNzJd3ncTJQ6{q!_mRN_>C5Jhu=zjhC
zTui9vIQxg*((C^UikFBNFg$&Hd;hyb;z`%vvd>%Nw>^DVK$P8zi;911|6Gt<^J)9d
zSD$uF74hwJ>vP`9{eS8zX-+5Gh|~t_8I0d;`w#7X9lq@Hh5BONAX(dKOq#rN*4&#R
z5*hAsPPA?H9Xqq6z1=dGB0j5FWp8Z}Q~Ulvt*7H&z<S<!OtvZtvm5(e!>3I&^foQ@
zT-ueK<s<Ov#PaH0w>N69SiR->wpCmnn}WO69<zS@a$?sZzg2aLt6%#5*SD9I2!6o6
zVzS_xD3%td)~^#chKfCGP<!}HkBk45=>L{nxqaT8^ZcV8b5)p}yuootsAvDviX6F&
zog3{kQY8ETO<`Ga{7D<zL<S{?yZbkLXQ!(EOij6Q@8r$2pb*yW0nhjIMitww`glk0
zN6@LnuYwMV6)RO%Tw+v+QE0yJ`_XCr<9!wNy@hjBmA^!@$t>9Zfua4!uPDj0bJY|2
z(^?GPX?h<B{Tk3z9aHpfTF(u$o}z`UOrekNiUzz~lhM<$C60SqQLnPj!j9-YH5KfJ
zwq~hSzG62c!>|9bI%cAD@VJP3Q0&uPwO)o9i-VbeK8V{MR<rYiiv9b^F=0iYnz_D2
zsb1NW=69&T_2|TXPXeL@!#cd5-f|Z-c;vsUNIkHAhufrS+~FOedp?MqJS_j|Ni}E2
ztK6!tj-w&JPq4F}UZi?RCi9$Pq1tB)=G5fnEuUv5Nfb60e-dLk9e*p^?toas;p&Sb
zb5(M*zcoyJ63%>Pe(HwFub1?EpV`@U{zdKgXA&MWGa7IFJ-Ykx;f3vP8OvFxi=FUj
zkC<6es=GOR@lS?pH}`}a#;)+)eJZgs*(&e%_A^Hkc0LoiP<uZ*&}D|;log9*treNR
z*vLeA*sYIHJFhomr>E|8M}}!vud*rXr1hCGv)Bb?Z<=`C{gdVYSzl(p-(2M!{dsz3
zl)lzkdug}tq4{SNPNly%5WL55u5t3suy}{cE6M>o{w<#T#WpXWFZjoff?0L~DF=KX
ze_&^E_xcpPm2u6H2%VoB*6cd`?RndR4|g6n{J(JI!^&N%TV8+vb<|Bm->R_pU|aEN
zkF`qEiawZpaejBuY!`pC!{Y}_*9kivZG0}arR;V|nnFO@{3}!3XDjV_b4_o~!WVyn
znArasS#;^>mtJa}vse1Mu>P`BzgX;lnk>FmtrGU}OiB1h%k!`1>7BH1jI(+9rS6P|
z^E>Z_y?)YmXIek|>}hlCOn=AH5w!T2IcxBXa^BsSnoWN5npCItoIECDQ<2)AlrVc@
zr%|)TuP35KGs70FKk57Mx+n7mFWL3){Le5=Xv_NJdvv3zbL{->iA!9>*xnZ%TPU|6
zey3Xc`ziO0`aF}A_Bi@%I`by1D(TwNkd$|Y|2BOpRe2!B+B+?6MTW%+&Br&h`qk1j
z7J0teqj}IXUHsGumfGM7|9cl&*cQIv-*@tAyx6<5y^_01{dIj~EV$WU9X-RmY;CH-
zE<xenZY&DhTV?l5jmX`$Ir5R?{A-hP7F|zUuqUx6FKm%TMoY|z<XIcH1TGU}DPDFs
zef9pkT4&dKns;q`qsqS{yM-mWhT}qHpycoR9j!)k<}XTDyiZ$jT}glCNB2g?;DWQ)
z&J<j=YZS<P{Y1vr*LBaU=MF*bU5j&{x6Qu2?)cmKO$u`sdg!n}-lKoJyno-^_lD~w
zw#UylwD#@))-$O)gjM}i-LIAJFG$HA%?jdKw%WR(X!^3rvp3nXZMwbSz=cR<(I5Ly
z&Xs<CI)^voLSLG_hCfr1?$HzKPc3!&3S&z>7grlU_SnLB{GjW;#k+QE2tUc$v$Va=
zRU$6=>@O~-siEC2#r0P?{T=vUeO&N{!_#DXVR`jsg|C@~N2?F)o9H63+WRQyg3y5O
zZ#N(B)lPcbdrIW$fxE_2_lq$2dtUX{UwMIJ+m4kY6JE%cuaaG!aOQ!JqI}ZB{aHMY
zm#zz`Ubx`2f1cFO-t`mfCY%csI&RtU?)9ej$;FY0)(4NAiM{vfg)65Y!z0ExABDwy
zo)LjFGc~98PULyQnR;?drKs$8&$l(=ep3!JF->6N<MDgA(&En3FIygI>e!T3@7*ft
z6UW3?YF8?6JTX~L!9;N@)0~rAgDO81E12I|*12OV`#f9ini{!J7i<ku_pUxVi6dm<
zspfsWuc}p;eZ%;9_R7qU3jY70bz4(vKcA|5$%z{?*RH*8mT<|l;=Fn2<0W2x5y^i%
z${D0rtE}B?@y=cHYU9sxXXE_=*RQVJU0`Kvwng$+!XoBKU!~+JCJH*I!+12cD%Qs2
z@+mmAW)*rZn&Z8?Ii(<ALOACXZs$;?rtQK{pB{F5J@Z3C>_y|=Sx#lkJ~|$o@0%BT
z{@?U$<_cc!tv@d%e^#)Mkx-xa@PclPaj5D3dQtY4a|^E)hCZBhYw833-xgD(kNp0Z
z{V}OxLB*0&c3gYAGMvH#m<zAE?hGy!;<~OYK8ar<>{qwja+Q>&{j(Ik9?e)d=lI5(
zhg}xu<#FC-x~e>H`QOXN3G*vU_bxT=iPMW<)=uB$*_N-q()_q!>L#Zivk+I4ysWyl
zb9GfDvK}{||MPgV?eT3lLQPLrZ)HnaEp*bhg=v1ez@cR^@!MTmnA{{P>ch`+YnyTU
zs4>l*`AN)XcU_gkz3)mj?Ta2i$b6l6>WMVZnmY?Vp1a-R+7Xl;@}b86xAL<_rle+R
z`9JCY3nlNI-@++&_U+=B*Q(X7(toyD|2J`4wQdQ!QP8B*(P5k_QECM*MRdG#?iIC9
zWO!}#A^7#Sc~3Z2sQ${gT3Gq?*>~ks%iYVv`YQq*`)BbT?POS*wd!2M=d%?i$unPX
zW&Q0gc<;^^gC528my7~?3cUROa5J5`aadZ)Nz5Z9sl7zurpdpxNj@@{EHY>O?%NT-
zQ8s7KzjDRdSr2v{h}`|Us_a2lyT-oU6|RT+o4=W<x4qu<=8a9@(qu(#^Tc!MS!X>@
z^X-i=@h$A#wDY!jeY)ck1GW6Z)9c=SEfIRYZ%XCI2Zz4BNEcXIcgKnA^W!%?ng<fN
zrn4^Wy28cUFJtfa^>WY01hJN!s~b0EriNHgv#`AEc6B5FhK|E=dCWOHSE`O{uhi<_
z;A5!x{iQ(Sw$7Rvvfn44bN5}Uq~pKYe6ry~&6sZo#Xo-RzpK4kbi-2X4+(Rodu#4I
zztir`NyUB%O<hBkPie|a*!aAA-7BNFOBG%Xn6Ox|_2b&bv$k1IeYk9kp?Q$uSLGWk
zIz^<~HrZU2u}Sa0<I5gz{QPB~tYeAjqodo?y?*vh)?MP7eLOcUV%-+KWBX0jZ=4Zn
z-prt5BQta9Kbgzlc6<qXEW2{TW*43e&)ZUU2hU%Y&U*8tDPwJ>#*^g9E_3(JRXv|Q
zhy7=-L+0Y+`bB>@n_f&)QfrSlNPqmJbXv&kLkh2sx?Zui-^B30+TmSuv#_Y;YESV=
zyEm?OQ92^LRO_v+<vH2J<*vEkZ!w>hdHd#IcHnv0%Z9=a1*dofef?$h)9>J%Gwr$O
z4+**#9osyA<9Umf0ZVqz-_(+sGHutDNB^Vuvsx}--@MM^=v(J!=Z?y>ORdW(o26=y
zwe-ZF_nodWvnE}*<I2YE^g%>o=We0cQ@j?p?#QLwx0Ce<_csXIb72P8y&v(>PWL<)
zAI|UHnD*@d74unF_i&h9=HXc(`eb!k%-St$8P=TOlsS|7Rc7JN$LqPomt7OTTWq!C
z!FOwmQ#aIuCCxk>&Z=#9h`2J<Ct`;Dsf9m(ea$L;mi@0HX?4bOm%fiq(Y>cw!`=J4
z#cm5sc+zCgQd@Rx`O1_F?a6<Qx#}+4H$07OzHs<Vhxfj3c5@6~wQssL^To9X;@l}W
zr890k7dY&6<k{j^@!axnzwf$gxp$5|ll?N=#qp+7%C_>)5Mu0knQF-I^yS}nr^%HZ
z;ho>E|KUIRx30vD<9}4w+vP{9RnK)@b^q{wQEIHK$#eb04snT^SIKsN3oX~X|2U}G
zCGN89LuaKY*Af-4q9eNlA9-=A?)&g`1^Zs!ZXbEEBS(LkUYzz?DSx>Q|DEl}KS`WA
z{n7kpUz>|j*wZaxdd>+tmlni|&wX<@ZhCL}hfS|fr2boO%KuUNs_aw#7gJRI)o+`B
z|C+YB+R0Y)GILtuCzF56xSw;#SvkEZ+H^)(wS(XMwTq~tapvdr3(N~IwHMewz51`3
zar$zbUwvkdITQW=zqymt?{66swfuF-1P4`vFE`%%CGsBl=WOP+inS&y;;o`bO4whE
zxn;)kYhx~oyepc|V(iQHd*XzS+sA}UH%}~Fb++02`wa2gzN`YlhMIX?`73@^muEFE
zGGl6TIQw{^Z)wo)M*Ss+jwkJ4KT~vb`P9W}(_OtK&$-O0mcQ1uWOb^l)dUIYrYUO^
zH96uwMou%kvwNb*gI)C=jxT;JQg`&-`^539%MVGXJ2j#uRRXOBT6+v)@A)5!+K~S2
z9N(XnFR#qcQeAy%(Syd>&(3X%tqSw;_<MH$Dwa1huI{UTCeQ2d5xwQzn|1$Za&Y&4
zoP1nwxw)soi&B%Q`MeA_vPFb)ICnhO@JcQVS`f$NEOqHeVeam?%iUX+Pta?f6L<a1
z(t8j7?3(%Hjb41UF2lzQ*?$Ulu2~fty>(Y|1IHAnol*6v-h0Jfu2}W(!<n7emaDXE
zc1h~pIA?CJ&GSE#&%gR^*75(xLT{yuukRUqIkB@{?f){vVDn_>4x6xwKk@Hw{i<5I
zS3{wyPISpDVFufTX@2r8Yq#z`JmKM`=MPe9FC9L^ZME_47oqEF(f=ys1vb}b-!gq|
z^1u4;OHYkD$LriCEU%x$TPZO|R&#9%Yw46#uvsa4SajXYAOHFC&-5%?%HP|~|KiZ|
z3%;72%pW(*s27_uE6V5+!!pfp4B{ekiR)yhT@=uM!)=|ZpZhzZb8dHmPmT7=yw-2d
z8HTE5E2IuQnlbD9o|!Ih7M<Y<<=(r(;$w?n^rYVje{!z>Uufrar7R_|asQR|X;v-&
zOQ$??2rxgnbDsURG}+FQU7_}G=QLOJ{ZC(hLFma+<(|FkKU|)d&f6Ln&SvuXY}T$j
zM|+bV?2caB(h#w1>FWx^1y6qq*R4F3dg48!&!PH5Ond4Xq_SHc=XL(=4F9GxH%$Io
zP4L~<m46-2^BffX8J)dz|K(`0;0tTdDb7eKyEf}k&9=)bhb&cC!|FWB%g?UT349)9
z7ALFBm~uZa+l1d*`@F_S%~aL{>|u*9-<>i$UgfKrM8WUx?S^TKo9b^#FY8(FoEbW=
zGw=7dM>VlU4V>k#yC&X=k-XKfpM2ow@r0u>o1~LhER+$dJHje<Mb0Rq(#-p(^klA7
z@0bPBZWCr=+l*^skZhp%D=_3gf4iICdiKSgQ*UkL+c>>`OOx?8>kn7A)Fm9bEZ1=N
zz=~T_CPcPnbcH@l{Q9f?(2Vqsu62tPZB36~_Dfh>UM<BL_~~nxYLD*uI&VvVrt|ww
z1<Jo=j)+n!XMB5F;v?gwt-?EGg57QNgqDhG?hRlG3A~y2Hc4yw&%)cwT&Fa%|K?bk
zv}e(cQxkbPBCVcm{&7Qf=DTaw?3v#ird)gS|6TaL`^PU#&6BUat#;DT<>bHBCuKI9
zUa0?Za0O%J457V2hB3zlSDltUo>m?HU`fKNSAYKO`_~k->C5A}FZ%x-_^N+e<48%t
zjXWj8EaB2$Yib?Yz6Y;+=cHT^GdX{k&{l=Za*tSE&k){s_^{Ll6Nyu+H-3-`5>Ym^
z6`T4fWXp-a+zGaF{OQHk9-5s_(Ho=>2K+6bbz=E>O?@>@Yp+uECw+}KKfchMChS^m
zFVc}ezthLqr&%)C<(t}+Nz#JZVl~_gUIm69Io8uHvxm><N`d&X>@Pl>GX*m59!+`h
ze@m+U=Z8N(NgY2ZURJQ{^G&wvA%SyJG(%0FRQ@vK+#p|mwtR11_T%}CzdSFyMgKOd
zytiGfzQ6n<pT`46X_Ll;dB2Y2ulEYRBH+h(bRQF2L(QcB;w$?1d|RPp6D_WH=>B3>
z-&=h*_@8-sZ%B7<?z$xQ)axme$RWnexL*r2j<jA$ys>+}$d^g^+#2VSzvUK03Ciex
zSR5sCLc)Kkuzy^kTlu=29~+F5<z)kYm4%<S-5VnwI6W+bDY{zQJN`_3@R9vqRXor0
zH;FB{#UuDW;q{p-U;AB@({^M%3Xl@F+#ati_%C6Vl(FG`qX}82OB(*2)4dv}+&+)V
zmnX}k#6@+n$|A4xo>m{vp8UH!bZOk&TaPz;?+9MQ_DneB{H&Q*R&U>FsgXT*ddz~y
z8RAx13NMZcb4^Tt7rbDD?Wxp%Opo^;S+2)-PWWHB64&);JDV2fI#$Exo!ooA+`3fP
zIo;zSt8Q#+TgA(RUB^?t3$quU-}{k&T_^9{V*UjhCRZCGqXc;tzsk6IudA2qdX(P6
zj`vgi9{4=HX(9Q0Nm}$fmWrY|H<p#9ZkqVjt|T=_V(AI-G85l+hd=K3U;4@|TdVN+
z+oMD5=GobiI_$YiQXcbix^DaCA^34s=4+2FFIGvO{rh#*hL1r(7pKoYRcTx3n>+8C
z@{_A7;qTtI&r)z%cSa}i+HOC;%*YF?3UX>xj<)Br{QAC8;jcucuYQTp(iI!pe=gnm
z)L`9ClOJnSW?8cML^-#g|MkjOHKNyR-Smaq<tv+?bls1P?mBZdFnrUj;0Y(%vRhm#
zEZ(h}A7i4hK47|&(Erc{d7Yh2Aq|o*T(-=(a(h{Na!>BJ-sH&)g8FgoOsv<AUvd{)
z<UX<0#BJNOCh7d-fBIYRv=nRZT{<z{L)LT3WVx!DJSU$W&3kleo!E@4KJWj(T2)`Y
zDmm%-<XzQ%{=aw@h`Yp}K3aC&|L^Y)8=R{W`U|X?H|bwie05`AW6Jj*m#>9yE8jCu
z_N;`=CNcf(($}oA%t8x18<c<UH`(1|dR+9`VV0G=YHu|86YOJda$H<F!I51*WKPre
zV=Md{qFPl8y*})}62UR~rQh=#e0=*{*L21GDOsp#y1tva$}VN8_mWQ*Zr9xRHvXAW
z9e<&=)#>o>5V09)hm!s-{q1uyG4$N@tu`L){3|B(9}HKM6nY$*rL1{=szY+7>UYks
zv5B6-3LP0gUKn*5&6MLV7MzyED?fSf-izh;qM2Avd9U8TCqtO!?hz@jcQ1WyclZ`o
zFF(G-+NH(Hdf^nol+zRT9(29!Femopq-U{HJ}s{8iM$>5p3{o;^?bLp_x?Yd9&vI*
zb@^7wFSGv2)wVuz)lIx-IXgY$jx_VJ|2Mgg%!p{8>$~*4dh?+di)S3Y$Mb#O6<wii
zKeafEPv7-kV0mBy+eM8YudCaN_tf<4h(E{S{V(qFyy$(5r}~ax-uP}pgjzr6kCvIK
z3z!};3ft?rX;_8vtmx(Wz{bAqz{%@7uDPCy-8th^4YQHLOG}+@@%w8&Oba~{b?6TJ
zhPP!CSGesHmW_+>e!9T#(M>rwH)W@D=@mM9FAtcN{!s6gh%ZqN&E2eY`+L{J@7>N<
z-g-I;o-99Ba3iJ8e3KQ&^98?nqT}^MUU3?~xpwuF{##FNbq`0I%l&K59u>c~d`IUG
z#{jwJ6ZdDY%>1_6d_%^=H{!K6q3gHm9^MqoADKAUf8F*+{|;PT$ns1<Kjg>f8EpSl
zmHca-d7sGPJHdReNBqs5v**7u?_RNz|IexJSzIiC^)2qOmM?g_=Gz&qXAzV9Pfe4F
zHkld0)AQL>;7ps|`73M|EE&(Wo~3I<#u(l_l5g1B+vRO|N#U7~nrgE@$F*-956*lr
zd?GP<R@KC?AM3a7Gd%0NU-+Kmibknl9FL^Ciso1f2TpYQm$1fHdF}J1Jwcb7tU~u!
zvfo~4$J-`()-P&8%aR+1+H173H&3**eYIQk3?pB0l;h#$=_+$hJ-qiX?_GDPmEPp=
zm2Ea39E9V8H}48NHDmRh*`Wd@wNE3|-qqCkE?nIdvvpa<38BmJ1-x!;(@cIVuWgr_
zHu>6tGarhr4~xE6S>(3=#~+TFIb!EGx!sJj{PZ$&TCa8B+Z$4+CLT~<_#{kurD&(*
zvsTlaER+APSs?E7IfG62o%9_$@ozzVA~R2YR=xRG;3Cr;rnY%2it2b$Zq?tPdgxR7
z4{3`H*^dGbEc@Pa`<34mKQXuC=i<3e2#a0ld)@Xov_GY}<niy-$6nN`3)pl${kqI*
z?Hot5nD%3?>nh4stle&%wyx26qT0Ul(UsrII?4Oi3BI|OdFs1>qdIG8?vjoBRCVv2
zX5-ecj#(|4ZMLSaPGFD3C6#sa&V@Zv?qByKMf$Fz=f3-MGRzMgTJp2))s4!Yzqh*B
z)-g}N>TtDmHsj9iN|y!fudZs1zt4Zx@WlO>cTJ-brnNLq<ycZt!Dqa4WBVCr@c``y
z@_X{xUcP6RygI?-jlgQIS4~Sr88$OrUbWzniO<Vt)2ps(ZO-Hsa5Boh;p$x=^PlaC
zDT7JE&j)&EPCcI-#`MxZV&3VVcCngG*BNT<Z-@#upFh&HJVC%PqE+9UyH_Q@{n#g4
zCqw;(YIoC?CNgKo`t>jQSF`oT+{x9En-*&+++BQPz4d0D55GIim~;)@X7YYEZ(<C8
z<^S+YC5!VWuHN3jmH?eB+XP{A!L7@8h>M;+WB6OxH#)}N*LA~b?<#>eXD?S2v+rIN
z+I7NlZO@04Al5hPY!hzvGEO^PDU>w9c~;o-gQ_-u{fE6o^~|=;yilIU#(C}63jM8j
z+L<M_<#+S&a+Im=?$$lJ>cJV4pRd1PpRvbuo8oaZ9=GC2Du*XM(A+H&KegYb?)mq>
z8)|(_LULYmA2CWbs&47s)!}*crf7Nv<I~nHmw#q2l+2sx{H=Vm?6REpxGbU0ynIzV
zdy#GGvrqV*3dsGmC7#E-bM1-Vgw5_V#e}%!Ez7knV){7ieoM_&)p1}iWMS9cw7{ic
z;Tktf^UTgYSJs_0+4o#)%c;gB7Jb`1iA#KHEvpp@9M`%g@tnKzrlw%A`@}#?C$8^p
z3|voZcg>9tS2c+=`I5P7^InD9hos^s{CjaXU<$*ulP4$ci*YR3vY`F+#+lw9m~ACw
zPcprJd-CeLFTw9NDP6ZQ?-i==ez|8uRqUP%cQ4qOrf##`w1&CYDeR$hu)yNuWjrh2
zozY5p*_MBL))EoMzM$^TYi~<UT}3bclbqV@8b6n#{JwL@Plo9A-RHXmW>0xH$<a^V
z(l*HX{Kr=v`rj+!&A3)hvpeuq@6Mdd`<9gR-PrXedv*KdjQqd1&N42$DYYb0_Uf9W
z2cBr&Tps#cxOPA5!-KxvP1jPkKXlpld*_P>id#IoJy(VDx%}&XEMq@ybtlWu`qRtz
z8ak}gI=l3|Z`Ybq&B;|+U)grwxVG^_aMmQv4;CDWf^9todNJj}Hm^4e8I~0Br2e=2
zaO;A(8x!Z3Z%6mbXtJEp{c!2Wl!FuhU2~7-P81M5qyJP|Q#Hu>n#_@0ujuI$O&vc5
z)yqx!%2PV+)28W~ZQUIi(o8pX7XSHrC{+7`K&W!6qZ!j7XJ^46cd19ufA2XQJmc&-
zp}A)`TQx%h*b8{4bqYj%TmJj@`^KqT6pnj6e0gT;ob?*_*ll;ulK%Pa`(JG@i>e!!
zTkUE@+jbs4V5OxLEU=~AC9S>jyy3mcpE-_A{cL(yvwr;%$C^z_Jg=*}6D#_4OO`OK
zOWd$tz2&T>Kt0c~%atMXwAEd;-xu+E?kJWmdzDqj*Y<f+gZ^LZnDas<A0s@Xb2Da0
zMEZp%_^he-eqHr6G0Ny}-rZm9=7qMl9I{?-E@fSKmt^ww{;BO!;z16TX{(pt={>qj
zH)u-2)x;HN#jn5pU;fy0){8!sjW>Ij7=A7*h$xCsiFLZO>$|hC_HwIfznaeT-dQ`N
zTQlXk`OM5f#^vlEmLL5sBe+Up)nn$%5_cPBNV+qtZ#Ir)m^3TzN$1yu#KgMuUnit&
z`z84&JK<KCY0PJ_l^o}VZa(}Zw)gu2_mA_QADqjTRH?M^*9ynX5azPu>mTjVw9q=X
zGj}tGpNE^6;r9!l);n0)rC)q;LVW%kuAjz?mt$KQIXInPu}?nGbLC#{oXo4s4Q+$v
zmOV;he={W}W>$*fKXEfD4U^C#>qBzB&RzbrFlX_c20q!J>!ydyHThbt`Ze^{!Sb!4
zlN}E&T_keceEanLH=EWsTK{)@*RgGl`hKTcwe8|FO06DjT98%$NZQyoJG3kRrjpd1
zLu!`RyF<%VwA@}5$W1<Em7MxBl{sdk{9@-V3y!M%`c-i$;q5sCu6u>&rSF;<X)>I?
zb3o%j+TWEM%HKrHKeUId?CP6Ka@D~tez}DP&x2NNd%TeGjqcjC=1&*j$lWN}c(rcE
z>SZ6NF5mJ>>fec1F0bACw-{Wq`(ml6%Fg}iPJzlUr!(I(tGu_AJ9)6}>7RACu9_j}
zT0E0s*rMO%D;5ZHZ+gHiWVew2+lNaR-yhr5{HH7a<*$9uRDMXN|KOGS8I*piqK}_-
z*UW;yx3Z3A*R&^XxVHZHwPyZ_Zx${I?OV=RkQO3xr|az_2gQwff6mFYocj{Pr^2SM
znjU%c(&bR@{`Il9AK&=0^8(}Ab?42N@GtUu;3#*b@JX4xqege^tmu6;7LCi_P7YI)
zz0h!cP127Wy#h<O7-!x}=J&q${h>(bgYq3xsgJMSjZ$3th5NzE?~CW$SoTEHVrOiy
z#n*-YPd2@|b@;w;Thf`xqraDWv1D`XKL2a$q3s>JWyE}LMA}!xY$(Y#J}x`w$;7J1
z<^D_0+OECvV8!K2?WMtuTQ^i+Q7=x_T-sJp@%n|I{MHBsr)xh~a`!$Ht4ZC++grcn
z^k=Q0r0D7Yv|Ebjx2_KQp*Od{Tccn3;&F~B1;(y9C%0*`i-jhL%xGg5-Xb(%N$`c}
zbF~wK4_Lq7$dh%a?Xhh@m@$9RlFYufrU&X~NUob%IO|DVkkRS=V%aMz7TP%UhC2Dj
zwx4y|P+hj_f%}I;hE?}Ay>!ej<FyuY*VfC+*xhQE^Sf@ZV%PQlrKNQb{r%cJv?`}=
za^n3WW88A&nyIq9<oyJhtov$w{}%8ZDKju${Qko8oIhnR`2?lhbJKr&Ut+hK9W4I)
z(_@zVDYqj&WrWnVyl^f#pS?+8llQjmF8=%#uP?frYCf@uerAxzb!_XyT_La7Us@U_
zecx@c!SLr5o*#U2Wrx;xzgV=blV{80y&e4TGXo_h*0ZPuGi_V;y-)r8n`doTr8c;K
zReIucu&I6{&*$9yv*KCr@AJ7>YqIa}@c!hZ#yn+)eZ*fu&U4R{r(WY0WqV>_{c@6P
zVr1~5Y4*`<5yEpV=UqPZsO5RdihC<>|62TU`ql+zA))~WC;FD#z4CbypE%nmrl{%9
zy*QVJe!B9`+F{{&@r7ocUp&v>TNlaX;<H>M%GaHDN##9-hRuZ@@_(9QUi0?p`g-T<
zemv`LlG~Fm5*c2~a(K;~Z4L=nIG3D~lHBva@_cgc<b~^h<*c5j*}N&d^P0z$w_1e<
ztU34I&0M|c$sCS}mrQ0}u3YoeN`KPhAHs2RsgJmp#oFA^2xyY_{-Jhfz03pguIl9v
z_T+6e%o11IwAH(`;?$;pZm)lSi|h@W^YzdBcZHRHn&oN>uSm%``o4(fJ@tL%eb0yb
zul-U(zw7h)dEBnQ+^3-N?%bxpi&5cniIaD#=U=H1jkQ`LzsYIu)CQL_(I>7JexDut
z6JC11`?ux!!8cExY~<AL^QecY)z^KTkh~`~YtPhMXS;XL3i_d@`r)cuUdim>+kvU4
zmmOdFOzCs{>*uE%o+bTg$P77`+PZCu<j-?5^G%&B|1w%J@P75b!C4yFD!+M^?uyc;
zxV_gBCcYH0Ec^Cn4NJuAS91>sald$}s@3Hew(nW{ya%m!8eT>ETgq+sG4<>4SIN6m
z^v7cUai#-P3$pAUnErP9y1TOa|Lz|(cC(}mp7PwdC3>s*({^s-xr-J!i|clN^<U0E
zfBJ`V%?V55+neV%PEANOY;0ldG`p}rddJL9r#EM5<)*|R_;^WW*RkiJ3;u>PuK)Nf
z<e{?lGqE>K{MJR+wC_IN%Iy^*e{kYOwbGBNyFYv9`=l9Y9-V3tladoIAoti=L_|Z%
z>IjoxRDaUHe$mYbr|p|`u%*v`8q43a+q3(4&n=66kf^tCmT`8J=Zep=JUL;P3j$52
z`T8d4-kE>0^7-s0zvc!N#f6LcgPZPY-^|wDFT?K}R4B#iyz1>j$qz~fg7f$%wmet!
zSglq6)X^(vN!zm};m&{G-U^JoSj2zzMatulU02uEY-TS%a$!D8t8ISz9r2ej(?ea9
z?p?TEny<9^=FB#I-Y$lw<|B2#7F^rR8f~=lNzSacPxepJv!^u737!1xwL@g(<CTj_
zr}rmazwuA;Z?@gWm1-|8GB@sFjbrXAIo@-}rC{C?=c}=A@8&GKGc!Pudt-c8iPlOV
zL(%*Fc4GIIZV<WMqU_5O!me$$<<3TC?sorVS(%Q-M?|wLa`u!eENltRncgX6lJ&#m
znSp7?qwOypyZ%jEVY_~#$%)=x%hjtx*7By^UisAFq*2!r!>8Abrv3CeUTpVFXV%^o
z4?f<Xxj%;0v8rii!g0Ohvre<0XFqw@IJ)uAP9~e+hO>dwiYMP+8vpR_u0y|;{z~w@
zWGCcYIi2@mzrc(GrzI@ZKMRGOaJl)Y<INHF!26-{Yv-yJ2~_i5Sng1ACG_s8)j3uZ
z|1X{KEs2Zs^~J9BzQtY>D*`Wbw(iPS`;xYLmzgqW(X;Em-=?q$?bq;Gl{?wHJ55Af
zMqIg5EFsYK>D_NfewAL`_TlC0=8`EhBa1h%ao5Iwt7E*k!l!%9SC{|O8x-FD5Xfxi
z2|Uj(SaIyE^LCjE(MvnDP8|+%GGAM>bA$i>vwtd*=XbySBv8j7>^z}earWkPcE>qf
zYft^3cChT~MZO!e1FPEFU+ijp8o90I)58y|kL_OJShw2Lti~W~K|uLBRRPwCiO>FQ
z@xJ(rg}u}1n$b2dcf-5(S+SR0HQD=QFaDH#pm8U^K%DWR?d}WLRPugZtW6GR{KYtX
zPviD2W@2-=+(KDSscxNnkIQjyL3`Zo@3p&pEA#I)opWSK3toJk`PQ`l?hA9OEq}e*
z#-H8ub(&bRgP66o>7DIw&V75aBj;|v+m#8~pDm~TU842lqMp?r-Zfnnx!3)*mK|T}
zn4WfCJJ5*f5#yq->Jt|3<vT6^;MQ~N<qF#r4;<0kIdh#7^S(`+>|_*M_h!yo;~4Sz
z^R%<g4x1lsIO`o({BQo`P|K!h%TjCi2j?zEH-uFk*|tr{sQO)jX-U}yADQH-DSQjA
zGZpXt#n{f!|MBGcU#YKI<_Eofr)Iop-X|}E`A(I-YI8Qu77aLfJZY|+_s^Bvw_JF<
zGg@8d)W(jt%%>x-WvEJL1Xs>owWe@$<db5%8>+#RuDiXx?fY(lzWDtnZ>!JJlSTgj
z?fYQ8p#I;R=eFOBH}8H`^J(*ghgw=`oDxr@=4o7KsXpYOp>gAVON#NtgLWFJtb8rT
z9Gbk_cPGC2ljA1mTXK6r{L<o^GaXYBVk(0DbA75zat_|>S@kWc=X1^MYi_rE&DPIr
zx$|kINBVC+Pn(&W@AnABFBkK<S~x-Eina2z$$eh-i|SKUJeqel)u#5n-<mp8WQoA}
z&fO109HX~Qn5Cr0d-MXsxx*p~dO1u<yI$^$eDW@$?pbr}mM6I`3l_Z8)_bw&yuM+{
zY?0k(m+iZ=Q8rbpDF2_vE2}B)XCm(JFIdXBXW!X|B@$bT&i!S2QvHOB{lhBRM){qE
z62*Tn=kWi(a4N^%j>Yikku~aa^89u}^Lcw^JJ%$>Z14KnA5cGE?95Iv@r!e$Cv&}M
zT-@)tl4+*k!EM^{rhB4%wIaG_hVTn~6jMFTDZblC;^l<&+6DHd1_4`4N_MGSVd3?+
z-DAGPo5wB1Q$Y9Z#umNRI?~^MWu86~Tl+Qp(+9f)JeQ_EkKA|k{F+ebJGExomm036
zc3a8|=`l}RSG}P*qf7p@UZ~7nhNW5s>iu!gdV<>StQWPrcl^-u-A;CXI}bRlyyCg$
z%!T#$f69AGOe-ziq<4L)XU=x<7oorIeym7(adDdeq!WfLml?#X43%^{o-9*1_4AnJ
zv|iaF;R{;}HoGlbzd$x}w)O#;l=-^LSq^1)HkwFfv~YPOKgj%{>b$5uZ|3!%vtvtK
zOgBH6-QAY??#-*y9meeHdNJBn7j?C}!k6}`eDwd5v7Pz1DW}7RwjV-e)6N#1f7PR%
zeDd&vpm|49UbcyC;FMg)FYNoqfb(B&n7sR`*o}ts`<L?iI9zpO^5XhBv-pmJRqyjF
z8~*<YY%I#~slJ>$;bBzuEWgQ8XKjpkILB35EaMk`Ww&Hg^B=?Ox4#QbiBG(^KZ5xp
z_rC>;*1Wlw=OdXo`<l=$JN8m%rr%%K+++05=?Lg-w3@}6&U}4M)dKmZ;+hU^jTQ6v
z_b)l1>!lSJR`L1hrz!D`#jEb|%sEwJetxY#+bw1P{mXuQy|LtA@i&R|h`*O}y);}L
zT%{ZDAKQ}E<#Hf3^|!NNfd4V=&GB0$YYVC;)GC#?2QP7MpFF8-ug&yNXG<T5Oxl%`
z8MS)OBlY0Pd3P$NJ^O#JY2WV4vvnry+3L)2P*8fIz^>l)c9H*bYS;Wq-}py!&UL*>
z90h{At?NxvqA%UurgM>TR>XvAj?T~TKOVI6yil>1m7mX_-E!q-;liQ=bG9g&C}(p#
zc%Z5r^&>V>Vc8Yi35|y@2>#`B)tX)}Dr9lS;-QOVOW~v6S53RzZOq<>1<uvG_etrJ
zi`(&K+IyECznxchtmXkf&x_M8%YAscuU^!7QJ-0RaZ19Hl=g$KuCu(q!hd>x;*C~e
zxh}n<OZ)c(+eJ+DZftrTbzErTO|Q^t8M($Eg4c+?b~&T9+;8oZdM7#IFZ1^XC;q;(
zR^M~Mow>oD-c$Yu#)+@KK0oD!Q|^WQbGC~ohnlcNthpDnC4oi%!>%`;^1u98yrr7=
zu*+`rPCfK(le6f;XKYjVulmHl@WUC#qKfl>kKIUHwE3syo9|w;SIs&<{nk|Fu&4e@
zrQAJ_z7IH6(zak@Wcb1S9-+<$GgsxrPdKpR_TjTek}YSQqza|CZC&HvZeANGwy@@Q
zfc|^`vc~fYZv?h}I=66d!RKvfn<|x?GI+U8y?Dj_cKbzV)BbC{YT<mdTC2@JHt}+Q
zZaXfk*;vZf=f)%TlW*bLKkxbXNU3$be6i~2w7on2?dTMW|8utQd46Z>WuM!tW1H2d
z3wmv0kqP~|Vp-{PZ*#VJI{!ZxII^r&Za-<G?!&ATpn738|H4CC<wL%yx$yWc_`KXF
z=5XueW%KrJ7Ycck-xZej+EQC-t$-fegu)x1@rvT>p1S<zs4gj)xagaRPwIkSTKeBx
zI;sOwlj<KjEd0Li<eIp%x1;=v5AQs??8|Z865e;~gda>-e{@1e<G887C%3$n{JnKY
zFR$dzJbCxomt^^6FS$elGd)l6|0~QmYPD#W=IrNt&+S$X-^!q8eW~o<e*1@I#fwuf
zADOBge4KaZd!r=|Z}-i=w8b@3?H{kvhC1m<9Ma<IDNNH#M2;{ra$HQF@%i80f9v*a
z@c94y0_zpF*ebR&Gnf5QlW}ADJx%JlaWF@3`_HAFw_0<)KFM;O@`LlkHMe3(?>adS
zsY%-XUi}gGr!LS4pXxL_YVMAGXEmqB>`@TYj1-ExoIc-rwtPyWsP*a3`})sI*)%SY
zXP)5p^y|{g8zU^Y-Dcv>Y|?cNk&JkJOzmL8sl!3Rz6&>pu3vmyApLuZ5r53ImdaiK
zQc^zOe=wmSZ0h}+q8=}|Utj0YnV$B|_{;xuZ`~)%_RRjC(C)YCeBQaM=?m>Y>wT8y
zUi7B_aTT-o{nlCMF4f(s`BZXfYUvu)ZCa*1TDGYzQd13WAB*&U<ky>1u=yL?l7q`)
zMP?p<E%rKzS9r?&Fo8JM<tAsh$aT$BVV!95y}qmDd#pv*?Ynsz2VD0uJpA6k`laOi
zx41bjc9R3Q3nZqRIoQZXCwSaSPdvC}>aK50Cr++otBS07yoyVluih<<JxnrR$kcT9
zQYXgg|981=?te5_<9OM+;IgH9zLQqO@%eN;es1b@Cb&j{i!HP4VE3QRY3d<2+IIQr
z?=z8)s`=dd{`aw2v-V1S4T|pflHIgdVVT4K((SX4u9{x3#%Q7LWb41`yV=vs&9heB
z|Ld)NfbmS_PTsRTHK*9TzW0dROj~mN_~(1CCmT%LIqT9)MY{_*vYs5Q7UyH;-4En7
z>x;Qk$L!laTV~0`r<=^Hlr_)ChA=$T4~~j#Ol+z4c&0OT@2TrLZD+V$C;z!GY1(<9
zCBi}B8pAGEHFe+WeaE6R_pRSJVP?|Pn0-gjY`y2bd!n=Yv<a-c;&UQam33GfGk4q(
zGhTWw?bX~U*$Cb8c@u;FxyznAvz1?7WMTL3O|?Jbjv5>bWHxWU{$KW9tBC#+$-BwY
z^*OBx)j=&$Nsh@kj)lxqp1A#?^XwI2?(x22-2&#Z+*5YHlH^vqslc>^Db>81N$~fY
z{u@SmNn$^E7WOTbnf|21c+R}Y43&_nq5(J54{r9UcZ%mU;uB5Wwzk8^d1h0&n`YRf
zOVNrU=jU(n`?PCmR&I@17ROdOy>o9R667qG27Fd3-LY9!_>|NU`GZ$ys?3NA+<f$C
zK-FyZKQf;z1aJ5)p69qh{7gmU$M|*fRa?!vr?RKpZ8~+}#KMbCzaKDlWtG(ZJi#33
zyG!-#f&6#-*#-FTe0@+ao+akrs3HDr=AEUIT-APdn&n%HuCd&xJ$n1wPnlP5f2Jiq
zPtwVpy5URl<*<iovSG~@GKmu|n>}0ebf4eq2Mt-T^v+b7Sk9F>tY@Tk^iRX}e)Y0t
zDNOkqcfWjSUof>V&aham$8b*egWD;`^~?CI*6shOtI7R5ayknKhfVBVi-RhHd2$kR
z;%Pn6lS?jG&FInhI{vE2WdE$C+zm?>IoL*r$Xw^MxFpoFInpHHsk1=gk;?bxlXqSF
z{!!?+Q>kX<ub8B3O_CYx+jwSAz1VK6^zqilRexVLe7qgBzQvf=Mk+Of!%6(csuEV8
z`YSgb9NqNal+C(3G1ZYNUaRuDz#_9!rTY_wr@p!+w6fx6_Jjl9Z$)PmEPdWQQ!@DY
zxy;93?%%qR>elUgv&7Zx+YWEZYZ3L;uGdXI@#ff`i*R3IxKzXE(C1g-BJ&-1OgUps
zBF%W2CuTZwb9Qe{J)&IG=Q7*k>WLR_OCGf5eiy8slfC_ql(~SkmY8K*ua)?x$VJKu
z(($iaBTw$FGO&MkKlNRI1ef2vwJ&NHZOxo={ai!*%|^C|@9g(K$#y@pLB=s^&Mcm;
z>!A*6TV5a0W4@%97xQ*qN@SD$F%8pCu>#*!la^gKHNJkpC3)|R?mE+VuQR(NswA{d
z?yWJO+iz&m=W!rp`X><?v!}<k)t)`<Upl4b_(?ncvzNITf3IZX4k@0tWZm~y-5-m@
z6X&ayKe2pfpS<AaU(W78o%I`j-<`Ko;a=(7C+k=LaDQZ?rgDDspC@0YS{W&R)i|LU
zF-P-6>EX==*Z7<h{#KveV?Js6)0DR2+sP-Kql3g|zEGO8P2yw3r%5^|^J9{FLuHtr
z?8{G{R<Q9x{Hlu2{hX7ZIk1=tZ&ZFHcVd-b`D~+~vA=I#U9u(Jak`Ci19J)Ao~N^v
z{!Y35uXfX!=!GegDp4N}N9xC%{W<6KE}PzpwnrT@|ApQvo!gpu$kF`ggYzmeULC(x
zC3ejXi9Yk?&z%~k!qcL<Gcv{Qx9Tt6F*#xB?V0SIHB%>uI$hh*v^)OtB(53DV~lcp
zBaPTtug+}SJ}*@8qXhRH>&yBsmPPTr=kL$jIH@ObpH)zV3De=pVLv)Q=AE6RWzr#d
z$+JL${oXZ;jjK~PaIXB;Uwm+8>wN3N>HeYHe?}#Vf39Efu;*LB9nDnT%e(EWWjvZT
zv>TLKmOeb5)gpOC<%_sX;qe*jDobxYiJ!DTIP>%~{-^1alU%A(eL1#$S|rraHYsO$
z<O(^yc|J^2SFF3k_TjDFe0lq+CF#4Wd}mBs8&Yd|X!hn?zFVY2_jjKb=I3QoZ@GWM
zj4{%-PsT?}be8F92`=}bp#7^BnE(Hrx%jjC71N{np2^2zqx14&JMTI<$!<Kg=GDf}
z!7smAZ!%L#Qrz*pr^ceP_|oTpm-Q=j6gIy+Y^WZuSlZ?JDkE}2-F#!e4T~1PnZ0UN
z?7gX0$DMcHlNK(GdS$LCTX)-gs_7pKnMu2>Ka~{Lo;H1+Yqv+#erx-IhZ!34bCc{|
zo_w3Jm}gbT>Z1?$Z~Y$PbfsWrOVTEd^Rp)#3FhBse0fVoC^`F|miKIiOXbo0>iaKF
z;p;7X)WTMD@2BVC83M*1J){pEHV@3=`#URd`O%pT3k<7Q>s&g)9U--G$`6hH(q^L-
zZELlg({H~v>g}E9ULJ2!`tb3FZ6*tI3iOtGsNZ^$dgf$~UBmz0kZnOpY3$!J!*@y^
zc&zpI^{;L%_5Gi&GJMlpBKYjrz3LSyzc*cBFI!RP>D9dN*MGic{Ji^*edEy3y7eqf
z*z9Pe&x`PuKYmSzW}TkDaBhO~g|1_t?`7V06FS=WQrG?FtOZ#UQ!<R-Wd}@R{WkCD
zmLu~o8R+~{kP&Kmel5~si@*eX-BUd~zqTHn&iu^rxY(0~wKj!~uPm+q&G{lVU6(EQ
z;>-J&dm5fJ2dYNjzQ6D1%WC=LX*xDHujO5K(!amhx!o-A^2wubL=(3)Ib>f7pJVuE
zkNg7m*}u2deog&${g9ODoTm3tJT1|RFU8)5g{^Dqe?MWCD&I;^{f`$HM>(@azpUga
zKEQlkc6t!g!-ajOT^g6NDrV04!t*>-@mR^Rn|{xD7rpG!dtY>R=Yq}byWN?VYsAR$
zWa?*q69`N=nZ?o4KmDP}EsN~UU!-`LkK4+1JlZ6kkuUb{#w*?zvFdlX9!aV+KI9#$
zq$zx3Azy*{!WR)9^8@XJZFRmqo%Cv>Yjw}&OV@9`z4Ey+`R3F=OX~VQi~nc!T=TJo
zS$WMQO+!`n6|7sQ8aT0ii&|AwzAOIY!v~_5WX#V9yem6DSI%^G%`>M5dGUH1dHdSL
z*0)Ss;_7nt9^>4Sf+Nwoi+y7yvyL<>mCh45ZJ57i>A{o#MZ;dEJii{X)t1L$o#3Tq
z>I|z?FV)}Le~E>|?8~teo6AGhp6aUAOo^Mxeoj4_@0ozw#k`_-%=0&7+bs+be)P!H
zM|s5;ZqBX;&)(I4Jojc9%i7z&%Qsy8@%4A-8Y_X^oORzU_)TKYCW${X;ro>tD05Z6
zRa#2sfykPygDo)~pQ^m9JvLcPc&(nRaG~dFca@ogZk+h+#UGE<UHr|qIrFl>b{7-w
z!yR|iE>vylka*>uE3ESDu=$jar;eE(d}i@cdA*)CQ)fOuzuls=<{PuuO;Eb-@%@HE
zkcY>cZH3$BF4+G2^X|iGaV(0pQ6bXaF6WMRe^r%nsuZ4D7Bywkify*djt;A{cbpBo
zqr{@%apQqtTd;+xPQFKF);vy~W%G{&CfYvwCSCYL>ZX+YsaYJWU!}4xz9tx=tre~!
zzA{>%=v8&TbMfMw`>fC3w`dpLYW8z2=y=hea#`}oQI7ixik>C^KEJ#2?N;8=y#c+;
zE0-LRy({A4X>h7(=k7DrTV3u2i+-AD@#0jp#BKk|FL9<lQ_UF~y?rKZ>rj}lER@YG
z!@uk6MMfjjw3f(822*!DT*UYEisZhzSC=TZHzqz&HR#{3f2Y@#^_0uMsvY6CpXzt0
zXk1f2SePF%A#JOlVve}9ACupvuZlMwL{DV@pZa%oTGW@*X(yIX<zzVcN`Ak^ufz9e
z3UF9T%Vsbz>dG*mxi@pu<$X41x1Z}y<X#i;HMFL<rXVxwuHbL|@{i3)Jzb(RBr+Xh
zI3}$M+PM35$%FtAi}T#xtS9-(&+*+fa#+%_<l(p8Cq*JG`tnsd7CZm&>O5)DcUkm*
zmhZ{~5q$d@CdzgSYG))dxp=QUQgg~&!sU8%w%)>hky&eZnt9&b%=>D;Yss%wQB9Nn
zv6;@8F8QwH$STQ%GonX|BEky7^uC`lW0L!^-s)leG=<yzdb!7+9z0~~XRX-lmA=kE
zx<p5y@NDa=qH8xktmU{qk>}2-YoZ0uHnSd#P+dN=>fYz)tG|o#_+GmyUHk0WXS>(a
zpKf+ZX)%xf`cre7x^0)>%^QaM<GJqctqgSj(R^e_R{W`(YCcj%_l_O;yzSoK2|s*<
zr2U@Vm@9ooN}_w3W0}x*XW5r8T*HMkk51v^`Z$Mu;*TfgA_YF~b0)kKRPD(9nAOfU
zFMZ;_$ptSKJ)7Ir%4uDAL;7T?;klR-nJe72Ll&AI+2+ex)Om(^?MFw$R;MMJr;q%6
z=k?H-N!mSc>0FMJ3;||=LM3HSS6!KE6#3C$MP}x14t<Z$zaISh`SO^Qq?JL(Y>(`Q
zyWeXzOt;?oJE^%-<*|gzwAo=H)-uXBBK+=i^BaFZFa0mPTl8O3fZml|UF(JKo=)1`
zdxX(yYTk=iPgiCz_(v7^x%2*>)RkQ<XZ-S{O>mPctA^Br?{hrdg4G;UE=H$>e3|93
z@IyS`9o3B=rZHEqzP-*hTtI+HrMTpdlv|zt*$VxylK&bGd#~N`aLJmO%g!#%JHIfz
znq;uW-#Gm3lHFgc3Vt0}YLn6ueZ=_wLSFL;`a4%WJ+NZu#})gx@vXm^H}&DcNdJqu
z7de#=`w72G%N2UsH8r<0r~GKq6oID-e$f-M?D^OJ-XNIxd->(pPnJyE^t|9y4gUk%
z)L&71cQ1NyRQ-cRy@AzUAA5gMZO7<ME~njc#WqZR;c_T4`^tjf?3Eu3*uDDCdwo-w
z{;Kt2;?*<tUrzb0G+O@dnn$Wc7kh=mf>m}KB{o0$QnM$&!=k|_E$|w@*iHd?hDi2X
z`sLw#%hxuxa7;?xbA-#_$Dh6Zo7-;6FwNf+urD&w>zKk_-Otl!>L2*{%<ez;&%AGG
z`j@IcTK5L7PGsLS=cR9nk;2560sFred0pD_a`Lkex00oNKW%3;>qu1B75q?=*m3Li
z-(0t5%c%8xU;VlwzAek`)0*`gm?qV=+$*p(Su1Dla$xyfxnTA^=_T%`3$I6SdgAn`
zJKE$a<Kblse!1tQ?^%CMwo3P<`1Y@=*VnPMHhw?8Uw7?MfvUI1xg7E@TT6y8eN$C1
z*z?@bd)rfsm0lhSXM?zJFK3iBl*@69ef4tztHa{ym9EVXML(_Ee1Kh2rgZP*#Se|0
z^2>gR3O+Ls<=)H5z1C)V{>*hpeDW{<4E@Yj_1cfQ;`pI6$9;?~Hf+~EA-3kzk0mj;
zeL|ibh&XZj>mslH(cuyEPWXjo->uP`D%0r2#B(a6B9WbEQ_8J}xgI?!$8TL*q{rpi
zknq>(L+(D=<4V>m%Vqyhb@BAQ5_HB->bl@w^#x*^-@X$QIxl-cN_frPO=l*3wRK-B
z{`B^m8zxqpqP;TaXBV%z+Pk3s*k+%fNof=9*sFQVBCTes)p-7!_I5wloY#j>ua=H-
zTNK2xM#p~Hl;3xsO1iInb$M&O)%k0`cD&WhFR5JFe)D$Y)?E21!iRm%2)#c2N10pt
z{{4t=F@L6V*)82FG<l|bz+~Oa-TxA#H6A+5*|oRil2zM&?$Uy5xu!NYG2dl>MQxg@
zdrY|R&2FYohs-CO;Vr3%*%Y^9pXZE*r1_#k=T0*g-sed_Gi}?~?R%1z?r(1Fa`<|G
z@uz*4*nVyoh}CY*DpNM)wf*qS;knj&t^~fHeN)Z%zB@C)Kxt}MPRW)lA5*=L#do<r
zOxz|IuD$f;>BW&>52ifZKF9D<P^{&@BQrm5uh-6+Fa2D8`ik^=#|w#%j;Ws(Rq3(Y
zl`#L}9tDGZk$FXHgA2T>5+xNG>UbGV%#6Y-&P>mHWFp<Fo@@|&klQf&LGR?irE7M+
zx%@4TbHTytowL`-smL)q&#78nzha@1&5@kW1|Owdos$<k`}Ev14nC8**~u{>w%@S)
z=W^ZM8;-vCyn>%;{gejI^?yHee`lFtQk0W<+<CU~^8Rz2P10hE?##E3iEgw1ow$0X
z=rPet>P3t^oZk+oR<UrVFh0+&W^sJCV3F$%>6P~$sA;+JZr}UL!F<VtjS)?Y-yA)`
zt-Is){O;pbOIMfe6y2L$y6ksNmE?M-Z+r`WckRFYL;m8i@O#fLp7~sN;_tmfcY3t{
zHcx)|vT^;gHp6f8^{lFG1wVQVpP2B?M`H43LxZRB`574<xw{uH_hf94wopDjXV+D`
zC%^rz?B&?DEpY6s3YIZ_ymA`%BS+zhkLN5bYS_3jPx#gPoZ7E-8n0uXSefSTFulG(
z%d`ISm8E=>|ETo(n6*vb>uA5cD4UseY3JK#D^q3}7c80YbomEoRQ2l6tvA^(NY&mj
zQ=R!>+OHc@3*OlT<$BBzl3BI;$!W_HI|KXsTHk+ul|DJWYsrm;A2_#dFU&P~nRT<l
zTK==m%lYP+Id9uNyJr0^sj)B640tYj;n)`z$wPV%+g(_%KHVj9;7+0V!5Oa-ZYu8=
zS@op;mA#1b%z{Yyy60~5LiClQTrV0Kt>q56vz9$b<4E1?%f<OlC!X=8Mwfn&b6YU4
zX)V8emcv@!7lGNS55Ks?mq%>g-ZJTmLZWM0Z&v;N_chm6mKgp}+EdCWwau(_USGYL
zO6<h>7Y|+tS>UxcGH+&oV&lJ{H=17j3)Z~oz9ZjraOOgb8{$%Nfvzm}2CcT<M`F#`
zyH3<BV2mn#C9K<iW9OwQ%YzIAWjH4VSJf?lqUP_hsE%VxiMY$fDASPbC#27<;Wv4m
zV11$~pFzgq@qWARcQzu@8!D>9i(d2xwYXi7P<g^v^pE?|PO*zSgjsCoKKOHF)vRk1
z<YyH|uf4h}c>l3SE<fcDuTBm9#U5r;J4LIG(X}qT=(OS_UydtB4{7ASQ{U5kYD%iN
ze!tgRX$@PZVs_aNo{MkWNx#-~S5jI2ug-s&5lcbiy_&1+lUPd@v&5S*c^BTE&Z6hH
ztvO4?(ctrebzbrgUB_BpX0WXe*4|q7Va03D)bEl0N=b1wkGxkV_c@6g_#Da?%%731
zk|tl!=e6?o_4L^ZMc-d?=EWLaW#8Sr+AitCTJHIky6xPr-sInVI4M*(Y2rFx?KsEi
z=F}~(LVacC+{@2e!hX4=`ReW#rkj_i2{}pSo(bF4s`lla{jLp$F1KGA*b7~)zReR|
z@+Y)LzUQ#qhG!=oYk1$?W2~0rcC<XEy?wQHq_JT^!s;z6cKpibs-Cd(Z_e}T_q)6k
zW=Ez(FRRY+sPL}4SLM3k=D(y>92^e}4j=Dstkk>Xzf6Aa=PGyE6Z>AhFu3K!%v}@A
zpz-~KQ1wxbU-|DRIA2UQcpc0(SE2aB-36B#KW`S(5x=sT+n(!Dxd*%NbIS#OPhRFe
z-QqaU!f|Poj=GS=gh08NngwhBTtDH*IX}QvF}>%EVac8-OZV8FkzYB|x7#&!?Qe^5
zKk;yD$llLK+oJaivI#l=+7zz2_dH8?wBwr0Ej~qAf?x9%Rw&$*+!5(_ozp<sLG(||
z>Sms~=NGK{llZ%&KKYPSLu09a`L=0KAIKi)+?;cM_DvfZ(NhN<W=;{SYYW)0uj%YY
zALGD#Ckl+t+;o5Nuk7~C%?D%FEOV0e$u8e!Bc7SFI>TmKi($OP^$X0=u?{C@y=1oi
z#MRrJaq~A{L-e~-+<__o?bZuP9qZQ+h<$!-#}?uL<$D}T^L8XL&HMD>Gk?_nmaF-%
zPVQiQKWWz%c^@XLb;hY42V!1Nj}z6k%ZhASbGkk6vXqNW9v4Ggrnv)8Ez7ya#Dt{J
z@9%6eJb!Q938B94ZGXz#N(*ds&cFWF>DeU3=}<A-!FhS|(`KzidDo@Z3^A&;1y>H7
z+8x#Tr_e}7qEo2m+YUdCPm5FTZ@#@{>14Lsb+gv@%sRccF+b*1bg01Tf@fzs*Gg}D
zoA@K9i^pmEq%WW6>c%wJ2uv;dX6<y!eY#_eZj$zaRPUCjb_ZVHtb1`{*?kGGT9+G4
zQ?68ru}aNuHRxUY^2E#BV!2rB>WVt2iE5wHJicvze?Y(Oa<RcV*Y9faZe|TLf2&XP
zJeNOV<%1;~_e!laf3v;%-t9{wM-3)r9nM{3BXi=ztmXTiE@#cYHA~}Q9(TyjrWbEz
zzdF_2ij7Z`kJ#xc+84(7_uaJ*?-guUo+{wGzBg!cvEX8#hf%F%XZ#c9JbYxa=QpEk
z+MdO`UhD~4+Z1zy$$VkPm2<uy8r`O?YhJhHn6YUMzy8zhulclIWpbQIJ@@g2V7z#!
z_*u^q%}bgK@AbD{%XFD!XuVeB#KM%@mp13@PQU%w_=`vR!}DB%JWIYNcN<)g`1s}Y
z=FQuF+%5igYpX~6l&I#MlSjE&gmxd&uGgI)uDtP3CvV78p_jMx7rf%w_BYJNu4t0%
z#&4Y*?Mg3CT|8rd#4bAL*6)rbU)HGBZE?S#d7q6lEAaMJ7g_cCr*?ll?Uw(o-1%Gl
zb4-h;<MTJxHOg-rc@@q%i%j~p+j7k-y;~)#7?$z=S^oC7w`fC7yrJ9&mAh6=jeEsB
zrPuT-yt*vh_D|&J+$*+T(G1m(h29lxTeD}mRX*o6zKNX0A94$Qi?R!YO=K1c>lxK8
z3T@jZIDh3!%?z;_{`=1B?&ZvA4Y3M&xj<|y&yhK2ZTSqAx;$z~I{&fl$(1F>EyX_#
z)n_!E-Y>L8Y46j=>Gk<vKiPAnhZjF>i>|dQ-}q>&pq7Eym)()KKUH1oYM5yu;cXbd
z^=E`t`XrTOntP9IZR+83`PQR*F{-9|QAx@9ETJno&x6-L&gR-C@U3T~O~s7+*FS#^
zD&Bd0+kzjQ%nB+qGo!w&T)F()*=5S9{2?)~(ynd0$C44^_&g<Riq1dBlXh}Ct6wSH
zi?Fkcd}S-ixtvEQ>)ndD4MKlaBUe_0e7`zl%O+<24o%C&hA*qFd>{3n{(k&!o93ZK
zTd%y_9sON%v&i=g9l~;2uk7D%Jhe?WN@DZ1`S(j_E6T60sp0hDl5k1Czacn~DY;4d
zYwY2k$32#kKTULxo5q~Gp_<{eH~6k*>%{6gp*8iv(GB8CioaL2eE4-e>GYi73xUeb
z<tG<8|LK3NZhPqw`#!6sNn$_VZoT(AJUW;CR_es3k`tx!W8;44%I~!CNqOMx$db*-
z&U08w%c42lV(MPC>k2!zsBT|-`h>;Rg)QI9*d$ojyq0W|TEQ`)JXdvd=H|Fl%iZpJ
z7iq2Caxy37M88>d+4HyRwgEH4=bP9lFwWFl7Uj1+?`ygK*7Z}Pc5G(jQo6dtcuFBl
zLX4Q-%UJfdwH&Nf>DRyBNp)*@ld)_)!@}IQuQFNUvs)zgt+uaH&$5btAabH(x~lHt
z-)yrzH=1l~p8R#Lq;i>dovqaOKiaK9%S)Eu+&ESKMR)Opij)&|XSWN)ofbZj9Xxl(
z^%o8EtxPV;B^v1+dzQ=jr_M>6m#hDo=k4X!t(JZ|(ztNpwfMGgS0){hlYE-7=xH3s
zr`^A{?(IAjbm7uI(doSs5uNHB<)7dF2rRn)QLpuAla#Y>P}9XbGk)e>-(UAkVFvFD
z@!G!1BW?E|%}d&MFM0mi*#g^7mnob{J}~3W3(xCnOKt3}os@rl-lEI6?3URK%_K#c
zGnyg)J*F?b?q#W{zRmTQ;BS?q%=G~rXPy{dQAn<T+x~vD%CU)l6O*19FiF4JxS}%F
zaLXju+Jn>Q&k!}LGill*H`ga`deW4PpcVSZE7CSdxSzc5Q!Bc0;%4oK%HlQGIt?P;
zc;CNPop;$OOLFsl^R@S1ud1C@@tW=QzT#-slv$eJHS!nF`fPP3@$pn|!vxQDuCu0u
zOty66W_so|qdNVv&Z-I0>F?$>wL~ZMHS<c^a{p_voYkMEkUYzc<LYkfgFauk&-lq8
zCG5`dv-OnYw)YX!98O493P|@aHd&S>eSUrZBFWgR#ZT{^v7I;ns+M1{+@AK%xOKj}
z`(`M;x_#uv&a+>l^TjXj&2Ybaz&({^?IzCa^Vi)9`twG3-LI})wi10ZY%3OMc^zO%
z{yyc_as73VzA?>k+&*dQo1=|~uI)45+Vx|{EDOHOvsbn_h%SqMZY`~5eRJwn{tvmU
zoz^8D+PtART6l3?{G33YndZ~)nXb5c^Rz2lc-w=5i+|sTT?+}9N!X)*u%zpwq(aWx
zM>~0xr+dASD8I7L=10E%y#N0%IYloMdFx(y_>BGZJF`OgEE-C3+$McGd|)m6WVTON
zrFC}nDyZCN_|)A|@s{nXY=6u041wn=&tF%qST!Ma-jk`HY8PzhJe^V<$W-}&W!335
zB6>#Mj?JsHJuO5Rwm<vF<m`RM?o^f1q^{6{?AxqtS_TJQ)XU!AWlQ0G)VBZ8K0)`@
znICTM>9gLqWQ*s?GXe`EJ-q}^mvhZozBBx0$N6(Lhdp<!Pd@!#j=$EgA&Y<J%+#;9
zwu^>$?=3%f=F{nwyVIuqZ_I9)_pGsi!&1%ieAhIM*ZUMSHZ81OTys!H?C_E0<%$Ox
z9|p^pm)kJsO?zb{DjIqJ*;@rS)=oYpZc|l3cgg3co420X_D^KKy`5NvtK+)3qc<$>
zcx11C`hxr88sV*LtuOArDEi>>SGyZdvu?J2n01<CLiVkm=xb80k6FZ5Sp1T15m_&0
zJki1PC1Y9b&e(+5t0h0(mNx8Jn$*qp?%Gr}kBMHE^IPQ{CUI8X{1bQktzrAl1C}#8
zovtL_xHWbDX|0gEg?3Kiq46E8;o_P`ikZPi?-pimUGi_8>CT-8gf!}pUkZIw^XKcQ
zS)8$ZPxW0qdgICN#S?FaG3-v9dF`*c`Pci5w)(Hkuex3_ezf%6w~5{E`~_2|HCq%t
zXPJ_ua6Q}LVV8jXCEKI-qHS9A>ef!bv!#kL)VYiMVq@4774EV_$%SXP-7;k9%3Y?M
zD)e>w36A;l=foxXzaG)IfAcBu?$Nf0tq)%FK2yy%nkk^j_h{|@@Q|o8R?Tm3EI;A+
zWR^r$uhI_&@m1XH1}=enYB)=Sc%&OFJ}R5@IOt3gd%XGUJ>@fjw(3<6mRnvjOxjcL
zo2&CnNH$kvgORn?tuG5VtDRZTWOVs~+~4L!^7kGasdwep7+w7TG%(D%>V4F=pSt0>
zMHS0^d!EK7Ut4Hc64_>uu9tDRu5ikln^P97(95{@W8w>|k6TtVc1m8;_Xx^~F;RK)
zDbHutl1+CM8jtE)zGyda=qhZA<NEdM`u6oLQfBLUt)D(9YT|7T<JAhT(u^opG`{Rx
zYkS}Ip5VnFSH32^-}q5*w_cXgj48S&)j0T;nLRSw9_?hWnY#7rYR<^q&$9c)7{2Y|
z$o&7H?S{$qBbj1n&-e5NP5T>IJ7<03C!GV2lY_SYe)QY*(As-{roXvx<5%13vk(6C
zYb}hh-}3Unip^#HJy(C&9M1j`TjYFJw#As)r6#NFqUYt~&fWdi(^Y$l7d;IyV0o6p
zzdiATW(cpqmXP@Y0(o_F%q3S`WqEYt@jSEKH%>E6CgwA5Y@Q_lWSjS=_Wr*Hdqu5s
zOTA)S*-w})?D=2!!D6O_#p>dgH&16OT-8^p(tk70Fu#h`q%`Yi@-$1Cu0sk>wsroi
zt8%_QvByjJq^RHXIxc}33)w1}kDQn#IOT4m^Fdzb*-MVQa~_MCxkvX@Z?}`_gquB*
z&JWC`1;QC_`P48xYY)2@z*5!T`t#hyJ>Jje?&VBBaVA4sZ&#AZ?40|XrC%)EzM-m1
z*lzMs(F1cDAD!fAzc_<4&0o<w{l^Z`oTBwdd3TA<4%l^G#X#kKbHW>*Kc0natxjM1
z*~Gfv`A`2B7P$)D-F?N|8@HEr?f<fIitbKvU%%A?Q{QC>2zs!I_8iVX&A~2yrhJ|e
zzggSjv%>FJ2>M?vcqsGAPb95<m7AHCL3&_Q8^>D5c%}W1CoE2IOH|qTb?)tr&P>ur
zrmuVz{VD1{lbNbR!3D1?Q7s`G%>GNyVlv_S<&si)W|3wGOPPg{ghlVSX~G_}gq5BK
zCZDc(e4^pAq1p3G6W8oL>-jEs&M}$F&$hdsPu(1mQxRrp;p(KIprDYJXkY-Mjg!m_
zT+Y5YS^6`#CXCM~h)X-{)1_9S^)shfUhK<@d@^OmtgYu*y?NB%2{HK`+B>D9PHbM7
zT1CSn3G)`g8}l#RwfK5W*KlvZvc%M*$BcFRn;CmNi{B~OuRnNq>al;(`3uZyre1qF
zXDV~^gWgzuR=ye1M-^UgFml%md)YX<BkF3+r6Z;4zgb^-m}tH}!}&L9df(3_g7bcF
zP<DPMQMKXM#V^sv7Cv}gH0ct*^+}<I!>i^izxdse_tnTu;I>(HE!W*c5*OCQcgA<w
zn*50Tdwyf}k!KIQudjHsN<m2EORy17!}HP=`PpWU!7ln6HWV-G_5S9=>vr~SuGH}t
z5k73zv6U;@Rj#rabUms2$ilMFc9Gh{DV_!2zMi-jpxDr~UC^uW%94Oh|Mwh<%9-W1
zX+5vWW$S>3o}7uNvNEOD`HOyyQ{A~#<^5sS?=8#YI7_uXn&VeU*2dk{i~M~1a?65`
z6ZO3%X9R?6U)z(Tzk_=>!vhx1-X@cBuUAZx2RRL=Eq}V9{lZ+MKXpc@Ca|`0Tv1&U
zBya4&#JfdpQS|RLnVsRkkFHZ|XE97mI6B=zFYVld&U%CR)$?4O{(jvX8-H%%32&}y
z_vW9xDfe>L<Tpr6fA#fX&3Yw8{q=!q+A=@0xRN(`ig#UobmF9gf|WvJ`-y2uy}B=_
z{&%x^U+nYD&S%N9UIB~Ft#cdo&Q<Ko{3oFrmh1fO0srTzIeWIgobzD9%7Wdj>;ATQ
z-rwF7SlQgPj-{;q!MY_ozS(!>ha5U!bn0kr`NxVYiPO0Z0>u@D-`zhD81q$D{_U$<
zM$4}qXe$UYn(6#sJj>5j#mCJ3@9B(*D}K&cR{C~k(l0?t)_aS(t2kn|F0zhO(&RK&
zsZo3B)hl%W(CTItL)|y461}eT2QqvwDcRs;aNwEOv}@e6IyPqePH9?ww`v6kV?E=}
z^tUd_2a^83Id1&8;gG$$qWI^~6{-D83^?W(FXNS(XK?%0$7~g0@y<;)Dydfv^7`!I
z;f*i~OBT;#HfC`=pV2m%|LNP3vQ=A~Bi3rJ^!?6r)k^)yih7^Eoj2Kh8?9Nl_1e8w
z_7a(1<T3fK{K@5itrwlLU(K*W`ElcQ)}t>33)#M`EYUQ1ZJy}o!Tn`-hj{hVR({cg
zKW<O_`elkjNQHI#(LI`HxHWywDt$?mR^V3nDc>@2hOYvjqLuuvXX1aVvU9`LbQf&)
z$=|o8jPt{MWv;GfzUQ}u>(1_sHpp3evf19UCg(&?b8kG8Y4DL9YYuWx{Qgcu&yd&8
z!RA@cNhXD*RlMT)YOj`le*aiUPGqIwmV0)IZ+D1)YvU;ieX)Q3_nxjI*W}ZSCw`AU
z_m@veJoGMq(?-?Ovl-7!eSbgJY)TU|3Cv*9mYypxQ@{M+vf`X8eSdS(&+#OihJ<^b
zVzQcCenMhp$>W75D=%Gt8*0=bY`R3^ZfP_}({ip?9%lkf<a0IWCmTn$o#=|Kzj`hB
zL(%S@vwEwR2iC_tFVr$R*YLhK+`~F$`puVBzS7&3jxXul5E&C*x4DgBzly_W&8Upu
z7EJ>GF6iuv-`~1`>&D~lqAmS7u`;gLM4B@1n9X^RE!S+huG#o{O~gF&15Xz_ywKU(
z%<^U4yZR@mmQAsEF8Z0H>Qz?ni*?+mwXOeJIK3-5`SW9j?#n6FbHBexI>1w6w!zpV
zz>kG7LfgrG?R(EJ2HtyKe)^hsVagfhIbZ(uPg|}1W!H~O)}0e0d)$^M9+2Sw88@#r
z{|;lqW~s0*do#<dWnu-Ms<88iSkBJf*~I+xVrsf)VbAB+9pXP1EjhA#`oY3HgR_E6
zAGJeX<X*_Ju8-H>o&4aPcV$OHe`UOY!=<FygiD=Y=OuXuMh3lDeCwlU?wK0}kpXjj
ze;UvDkk0bq<K*A|hClB|e96=KnV)kkOh$z9P0_<UK7MXqM*5u>?VS%5D=I#fW-L%<
zyEW0>nZq+?Yx6I&jZaQr^}1!zx{=K+`_eYo$HI(FUoPJNvYPqOK8eh#&88mNK~pX`
zoSj^CIKV1BQeNst7t5E88>S|-&*j;i_qh9pY!PSnk0;YEI^PbQ{>)P?r)FADedveX
z>1={xkCp_5Ez>uzu$U_&?cuzbcS*yd99I{^2G0ZkAI(3zn~iOr-oaY&v-%r?6B@JL
zm24K^ZTun0Yk4}n;|Rmtnp%w+It~2{=a`b89}W9!nC^JL$=m%v`G)g*H*HFs#&^!q
zVb7djPmiw5vsU10PTkNVWnC@8AGy@jfH!Xaoar*^CX%xD<|`(|afc^3=}8K`TD&`v
zWBcrhYVYb-RBV1~*{AWpW6eyfj*5Tc8?$v=v{;XEg;lTH*Dy6{S;DCXcG2VM>Ootd
zYWz53VX^DgTkoIQ0{1m<=`uKn{7!Y<{)}zn`&A9hXWC}2dA-|B`~T(t3no7mIdShD
zM{whV`+wwDT)p^Q+r&f5B2xA<>#0M}3h(U`-jKKI_)TR;)wKP3mS;cu7-hI}Xf8Ms
zFuiEkMn%b=Pn!0I^3?9zeJ<Up>}-IAU(E?0t)vAjuP=Un>vDm;f7QPywP8>66fN|4
zomRzYvfZuJoo07G>96zg&+$hVyxjjPe0JcGLbJ05v)AVfRPWj^<fOXGyT#BhQ}RG>
zLXmL$ip~ZL6OorH8_k}k?Gst|b<eJJr`zXO3og27t^aao1Jmcib1U~)WURatZNNOO
z`f2&a1vA!MkK*&IXe`;6>G6##Yvt2N0c?B?8xQTs>I!`#^=R_)oA(xXR=?Z#%ktA#
zHD!gG$QcjUm``f>c3~CI)XUQ<A4)&I;(u$&e3Q9*CZFV4W@9Z{krmC5v#9Sr$4S+W
zt2br`OcS1=|LaziZQ85)Z=Bwj?)}-GCEa&sQHZAF5#>L(baeC&oV+HIS#6{8-Z$!b
z)C>Ns`i(d2Iu7nMWboYKYvbG$DeA;@?_rgdjnZfNo!<G!dPSc;2v=IS)Otzvni%!G
zU(8awjbCWS&J^8r^U?dOI?8o>BzQA2yFZ=WD-hTsv`fI%=<XLGyNsp0Zyd_)FR#u{
zVETS%asP+X<@q&F^uAw<Sy!_6{)(L*4%>h5{J3Y8_T}Hs^qo6BmK9HP(P|XfJlpi-
zgr$p(S^_WkNG<T38y+S3QcFj|s<F#6-eYZ`zVf8o`gJQO9Zr9<c;3F^yxZB2H?5ML
z6L&(NYiV6lnx1u$)5b~u^UP=6wYympd1;d4y~e#?`|CL@-8oqdY^}A+TW3BJnSOX~
zj9~VR*=|f)XIGf)dGu89gWq*)v-}<NHeGnNCNlO_;+=neX>lpnx&khqoh%r3)9G%i
zv&F5o$}4IWYhF(%{TKM}0UP6-M+XmB7G_&~nxh@Ny-@baOzqpg7mpV++>uyuqu(L+
zui%R9i&t+GKmSFBdHLZM9lz;DDurj{S(R^VBpFsd=llCI=kkMjejlH15E5+aJMfXK
zKID}3*Z6gZ!u4t;bG}3$5<O<Z`%86Gp4V!gt`@b_=%_y`FBj%$xyf=|evp&6yeCKQ
z?$>jgFMk=h)i|%(l)f$Q;j3_~1z!*O%~OlM5dKCsPu4!EXoDu(BY_8(r34b%wGuC@
zg&EItE#miRm5<1LTybuCo6z^r?m5!Qr#LpRj(C@FO;gLlZ((b$$gv$And14Gxwm3O
zgZAn2IC}a#jyq!&*K^{@k{<qhYo~@txpC_~Ic(gtNYub7Dob*GS;hn3?F;oTyG#j<
zP~Q5hqpab)(td6hr)B9ON7q$7niKx=^{HKHiDeU)FFmvP-h;%Q8tQ@-TY3&SyUz;0
zsQqs-zs%M@0!Q9y>1^!ZWTLri?uYu_M~vf_EPig(Wg`@xyMAwD3R`x6>MkdrG^MD`
z@#~g}_qpukul!sfx;|Y}L->z%a$eCQwj2kW0QvIf2lxHOTy41azFBu9!@i}B*|J7m
zculpOXZ(BDX(5u_UTarh`M4&pjoGz?PlBn$*6qcb+iLrg`{&I2B(N<)UU2d3^IQG2
z7q*10nYUqG!9<ss`y!V<M=D9q`*b)?Le!B}diB=-|JmQ?{)(7?a$?jPCbOFjzY<rR
z{<Txe%V**alQ}cErv3^lp8fJ`@dTz>#xrj7?)9qeO0O(Cv-9}1lUo`mt&FU7^9?;&
z?jK?HCjYCQ>z3`NMSgRF#Te(t98Na;R`5wD<gl8e@uf%G0`7=vU-3P@<x^D2qkg}X
zA9IfC&6~~n$zsu!hLAUv%X-gkn#X(3?^=YS!qIbApBrtGV*kBe^HA~Y5L@w^FMZM*
zsyDBm!hY`EtFUWM?o;Zf%(JZ4U}UzhiM{zO=hGA$kEM@UEmzh)U!75NcB`-Mkv_NH
zX<`rK%_o#sE#-;MVrKfV=#BoRHR}5U7v*qvZvVb@$IgX9yJWK$1jc^oydQRW)w@SC
z?){Ki+H7@e^W|#Sv=60c9)2%dZjdtlY)N^o*xS3^m!;It`LD7$IOhSUbjkXut1<({
zXIeYIlFg8bW!$^ngx_AiZ+=DgkL8XWodw@KCOw_2>^6PcK0UQFUbnBEEMz}-G-q#<
z;-t6rqBfToSv^*EYl(W*v+%aJUr6T134E{a{i)bJ_3(r4k1vxytlVX?pYh4o$BQ#A
z<bGXIbya3EL)i5=SzF(8dspAD5Pq?Km&fXh>$;<oPm0Y8-MFRsdhvl7;<>+-cNjCw
z{dD5b1vZZ6o5%VEd|mbju)V*%)5~f5flGq9sSZ*{DztZNJyg;<vF_Bn4`!K57RA`E
zUpcGs(ZLVzm5g(9TEn!gD~=f}AFkhUJaSY1?#I%u`=^+;uDb538SJO@L5fYYI+*RN
z)J{|VzrBI8&8+<QwpFPw`gUt^_U_FytxUMGnvC{tOq=yyYwO(J2*rJUq96L^B<<qL
zVCL2eSAEAEA%EORbMn#s!F9K<eVkNiYNy)x?ri=e#+rlor+zy2@1FU=+@g&aa-Z6!
z-g3)0T`y=88F$BEQNlvb#il1V?qil0czrLNnQOs0ezV8Efjg79_{Gls-y)vHJSBQ-
z^4-Us%c_p$mHjKZzIuM$v+v?j-z#IT{F}J=lz7;MCkw63^_#MDcG{`(|L%F-t(?(w
zY27xiZP!j@m#gWAG@h%wn5}rE_e@9Uy7g9{pCxRJv0Wm4`s|97-RwU<+dW<UmhoX{
z#4MGw&x2p>u$MR!v|~$a^USjSJn}{HMH|~HkCj(Pn+ZnG6PhY^Rrvq1693{CkAu>9
z&uzT0n77R8%I)=`efHbhW%VLLZgsi^UpQJg>yb;O_LgUt3v*WQ=MtRjmM|%t=T7j-
zJv-h032^AVZHyFS@HN=Dg^BG*)m@j3t0&F(6f_My`}f3!vY4Fv!ePRB@#V{fr?fqo
zQfzb8*X{d_T`5i4xlR{v@3Up<K3jg~Va~MbE{;20s~+Xw;xJy5&1(3!j8}gCdpYAb
zYIive>)3aub8bGVrEXxcnB$IOZqM5}SA`ZHSKd<oi~W+{foBn^i!MH2FMWFdDW;n@
zCkodjvHa2We&Tj$cJbQU#8B3Uw?Bok&Jg)$vEz!R`V^%H%WvHZmUZPkq%4#7WQYD$
zfi*!nFV8MbUV3NU3<1s$9S1b(8_!H)KV2%h>cU4?!Ec-84|4PIXFY9_(GkdCkI<NP
zThHa$;`bA7izZ$u;9PY7A+L(&tf_%>3!2<2Z+tu9ZL{-}pfr>E{S)>qN?y8rBJ+Ms
zi#L8J`Tp_g1<49076xBhxm!hZ>$`;0T!%&a;xA8mU-O;Q=xxTL``3~re@t0@{zT5~
zlJhrXbgLvDtY&>Ex9h_s>2uYaI;$;O>MvU-Pk$w|H?fcZp}``iC5+rQNw=F*D$M*0
zogOGIVoxs2sXFrL;>Edl3(kMYJD**&Zc5i(;kUm7W}C$Ajd!m$+qI43hoqFu^<#U!
z$Zbm&jmRx*IA@|#kp0xwXJ5<YiZ}))SJ$(3^K^w~pSDPoEivhp5<k0TvD&N^hh5m&
zz2c%GSNyd1SbtTc*g-Tdt^LxH>j|%Z+<YurIIH@yNN?UeYksr2?@s4@R@riCgJ-DZ
z^`@17?(O(_<iWG$_pg_BNJw2dztl?B_M2D0s*j;JKD}I;_9yn>bk|&iIvz`bj}IHl
z_bGnXPE!y)pL}EC-snprbGNv^I&g$p`S0|(sg8{Inz%HabT%&TWA0w)&K6($%>L)5
z7d+dx2v7SK)wbBTLb~dh>XPy;|4I#7D)ZToNa`+RDq4SA`dy}J%P9$?s+-f(8a@RW
zmgxDnnxFBE<hz>gR>%DG$fs==Mb3OF+xj{rd8=UQ|G>i^7F($a@x^d880#E<8s&C3
zZBwPhT8FRCb{O((yc!{NV$u9r9=wJ(-v*o!2+C=DZ=j^>m7KNo$XQnLbsP+f!rMOX
z4ZgzmO~q)n^doC)GZ**!HbL!H?546COB3cMA7D**_lNCd9&hpNCEqSR%ZV?a&QrfX
zZeu~JGV946mez_rIwJSY9^ZNzG21iq(yOU)`&T(d$WF>@PfNRfG*iIn$)XPKKMPzk
z%qJhaa9go$(}%mYU%h4(H<WS(%6091qun9TDaF|mmbPwHaa?cN=SNKX)88ESSW|pX
zT=mm3aX%kUN#Q#NqFqOGm;Goin4I^#eDbl&%=t5CKDOX7D%DDS{<E~(N8<hM*$<tg
zN+&n2Ib_IxU0u7SKknWP^9vrq``;Gqo2DG@KY{u2l_PgkoU7fHH=j9|x8X*@t>m{m
zT4h(eYZkf8>WbGE$+u-~J6?RQM}#}2|L?y84v(f^b~{qAOW#gS;>L$p)1)4;3C%IF
z`x=}wE8RqoMPpO(pJPv@Z=PCqpvF;Rra|t+o4!T4$~W`!pT9j@=Y8l$6~nwMkv6>P
zGwl8-hTr?r^hV9-^8O#M^Ct*##1&fVA38EMt%|Sifz)}$-G5(rTYu+dF@Lu7t-or)
z2kT<y3#p9iQ88TU?cC`Jt{QtB&a3WnuK(2j^xm?wi@G?a)*kzGH>;3m!{X_`R?1F4
zQqS|VCbcog{_E08A;Gu*|8SK_zJ6P5b$as>t6jd2k7j)9`rGTQwIP3|&7Qe`xSqXR
z_Q5zZG*9{M^KYwDOs}ar8y;u3PY<)4|0dWnk2#ZPoreFaZk|5RO=0z$YW8@su6nSp
zQqwC(XVb$C@z&ZZwuM4I6SqlhO)9PbGyCYYthUp(I*D6fXLS3n7dWKF|6>K0@{6@1
z1^iKW3oLz%Y}<ZwGE{$k9dcIOd&29>t7o})_@-C>GJZOX@s-RRhjmh~PTxIvcgqx~
zw*Mbzo^pHUy;t?mn*DL+5`H(MxZAoPdCcW0`FAn6sBj<i`KIfcwz+**_AmQ%fO+~i
z!}8l%kDfM`UKP9bhFf^<_LEyWCm79HAnoyZxozOh&)O3{PYqhUUft;N&!k+D>Lqar
z>gS)xvBXF&xGKXPbjqAJ^rhzANB8bV@2x)A!&&Z~D&W1tvsO#I_{po=T&DIOS_U?E
z8Glc!?hmu#*I;gs`Cot9Zh4F79p6~b$d-#wuf-?sy}s!~T19ommHu-VUF7F_N?luI
zbUA(|yR@Tv=h5F8ReHOX94EzR|2Mc9Y${j0b>gx`n|yztov0vG&o_1IuUjwHKkUuf
z__I>Z{;X5O<99Yw&4ez+&Yt2`8~LVXe?w2;*C`jKN``qHd3$bS_R<v1SF?9FUa#jr
z@VKh;v(v<##|;EcD!$&jyz^<O(zP0qB~~t1^}YF*hALLpJ@~jvaq6S=U2cbREvLzz
z@%Uu#w{~s$$-lcE+c^Gyb4HZ+F^|7t>E^eL@6*_iY`xVnF{*O8u<xs_U5A3M*f}JG
zE-i}nwU9lae&ONq@{LN`6<>u}%Tpu7C3YDw&Oh<4Q^T;depk`KIZ2=M>b!SW2_McB
zzdrf>oYgmXZ#LADc_!QUlwa^zKv0tRg6|xAL}uMl-Z*n&-HU6DUZ(CbTRcpJE%xSg
zZ|u11R<m{ET!yfxPv7`3Ufdn);`D3!%0QR&_Q$#gkNyftM*1<y+N7A5y?fwwVw0rj
z#OL-09!^)}Df;Gh=_=R1g<rV+xeNpH*6~Sb{W_=9D79dLe4&|Q@&=oQbuHK3jy}}f
zUvJv^dI!@F@v1f+uhN7KUZ+ydyeO=w?%~(uUE%ofKI6~ecc=3CH}J08qOU8wa;kgE
zx;qC8J7?;2vh#Pn&z8G7J-TS>qVQWb_NIwf^cLow%x>~K=YOnx(!O2cIq`pw*4O-R
zT-Ja7Mb9oHgVQ^noO26*(!IK|;!r|ax@N|;YwI?@4P}vXwQzC!RQK_)L)KpZl%u8#
zPQB<)xEJswV5eKU#4Gz(W{WaPRG%^NE^+BlmQ#9Hb0CL%-d(lY)^{`C)taOT=JwZz
z#$~jI?kK!2(AXe%x<*m(oKC`m{4?5X&Oe_xfql-5pt}uwFC7)JpW~9ZCFHf1hnM{2
z>Y4A{lV0qfIe~MT<a70H<!^0+rt{pi+nXsgVgJfLO|GiV)!zgY`&Jen51)T<;n`4a
zr4J^>yqnI%J55-)wS-UjsC4(&8PSKjzt}nk)$%>~8!$P#i1*j__1Cud2v}Mc_eOlY
z75lH?nu_=07po?9zCAZZX!GVfOC9@V7k~S`>f)Jgc{2)yUmZ9p8D8&tDQES9gEmPG
zQEYeFUY9DDPCM7$pXC=(Us-$T-|wS}PiH3|{t~bBU_#dWmUm3iRSm&ur}bZ0-t>%`
z5Wy&!B&>EoFtV#Q>ca}@$yxO)4pkhqJo!NJPq$90o>S!(F5bq+mxCoPTei=2Y24uR
zFOXNzbc$N}{+S1p`?qY~u}LkdXlqT}78_&xC6A)>RR3RNo}4_lwLyB80ndgfPtLy)
zV|>wm`X;Z%n@ww&A}Y4bTqM6!Ab9e|7|}HL3D>jD0=qcgoy+i>lKZB%=F$y=i8dlv
z+m>g1c$vxD6%lMdbMY60pQq+ZeOq|u732I*{EaFvx_%qxZ3?w*op}6eqwfs<)gkiR
zjwH|hry(*`u%z0p;Z;PmI=9Q@`HQ&^+(|9bl3V_2?yLiuCqyq<%)8znXt+`JR-^vO
zr3<Q@pZR&m_~mb5e;s#8;^yv$=QmEvWIlT5+~v6ai&Fo;wUK|j=+u|6KaM?bd9HmC
z`S93|D^E`7?xPO}4?W-ZPdIf#oe!Jt?DvQJ%a13N$~U^dEN|scw9V_@VmpKXUbBUc
zRH@<q^uOO`)f9?3JZa<Tf07y@8h`g+mtvR2mmj%Tioc$cY$&?OB%}G?ve)&SY)Wpk
zblz#F!WWHmtNS0Uvp(Khd9F(Iq<2Sy{N2y4yCZJ>jouR8w5Vs!J29m$(|*NMZ+(~*
zxvmNt?qO!i720%s;l5QFyXzNquK&BwmgB&J-DWFN|G9Bz+TCk@q@5-9`>LiU%dKR#
zi+P!MB`>)*yn6DW>D}^Ee4oVc+}YptuVG<)@w?1h+?F?z7rtZNc<RL;u{E!Qo;Zdb
z=CrNcTyr`yAXRb`ll%D%qI@g9J$VuL-f6p7-(~?uU3I~D_S=igRJQ#)qG@`6()C3f
zAFPQj5|{7W{%p#Be&d`j^;=8+UDQ2(!bM_Bo4TD*?W@4O$Gy&fDc%&3cXfI$*R%cK
zo>+aFpldLNsra+_3AdP#NYU>mi9&Dg?p`UC_x_vKjX&-0s<`COEeuZoaovjde8Zok
zAu+a-Pps~a7YgE8p?&LdebE^a6ZLQRbz(oLpW3qg)xKG0Rvc-6p?f4{RdJ-_RIA0&
z>n>R-c-kHMu`bi6#bvf)jE?^Kz0=oRIb*p%;=k*v&2RbgllKb$syQPvtKR<PZKJn}
zf~$Uhx9jRIY02$4a?t$DiGzp!z18_AHaUrLpPy>|!Y}KluHCY7*`cGRuVX~4Z|!$a
zPf!2J`DCS;t<EuH|H-E7-1rTi7(_`*Ztw3^^k$t}pnY#usUe%x>1!WP%PICuPGC-W
zD%J5^LDF*BwjY0vA2D!UuFz8}e>!dQbne$4+uvQ+;#e*sv4i_s-@NsW{_8wy3-|*Q
znZ9p7mc^xV{)~Ir1lQ>kR!m;<ZA&tDwVz4pA341SuO)3QH>P_#zj<VCxN~EX4OjZx
z_9F?w1{QywA3bdtZ+NdFVj|C_Q~E1R*Nbev$MF5n?ULg=d)ew3N@P5Cc6Obe_jkqp
z_b0!IKec?Xuw2H$AZ~4nR?1U$rtiUr5~D@b0)l_=h384k`WQN6mI;esy434$o?n*f
z-8ibm?4{to&stfvAWg*btC(8ZmJ{rk_i6TiXFl#0Sk)7~Lh#Frtqa5xRJ9JK%-=Uj
zWll&*<-><Fnj9SKrysPD_)vS_INa&ndY4BBbe0^<RN-hn^5v6m)q=V5Gk2VvtXo^&
zxkv6Y=ZiNLXHI5+opODf((M_WF6B<n`V-xFf#aI9(6=JiDfdc~E<H?Pm-t{}vHIz%
zRF7+HzJHUAT>q-_O%o5h)ZXqQ`+U`#!j(!Ae)4yVm-&9Ga*{6Snwq|%Mn;UcAwEyr
zC#vR??)QI7H(ulDRAE|hMLj{syl2gsrMp9~{kC9baekHiPCiCO;&GDB<9b&<j|n^7
zr1r{m+>&`Bp8H*1clMnf;kG>+&*!bmHTRR8%rZ~(b6zQH`tx;7s=l%2O>eCBU-enm
zWqMzC_2;hW{5b0gHygE7tBt?<d|!V*@I|%5vQG_Do0;wEAFX4*(Eg;P*NWp_{gbWr
z8||k_UYMh1p{!}?=(M}>`ZRW(-|JUxzC8U8SLpNN6L!CLsv2HouMl;*6{LRYWzAkb
zwOgX!<Mhho12#?AP=7^k!@UVoQCo~Bs{Bn+TGn@D#Y=ZX%dGi}Pjsm0Z3t^z&ihaK
z0;l(!sItGJ2|vv9{69pW{^eCFFBNpm@@_tR$o&No#hnH=pN~Dgx>@wxh6{!%(-!n<
ztz-YT>7i@Zt%l=mnKosY-dL=Ocs$F3J2!TY_M5ijdsiv&{GG7t7GF}{l2e(H+vQk4
z8)oy`ZTj%RsXVGBnpL%-O*v}m51So#&+PBq_qahPRBTCHro_ck^Ye0H^$`NaTH78-
z9Wgiek&0K@<@DyA^tN}Y;r-KYynQ;k{muLN#?p!jmp?H@2<xpl^lVv(9&^Qs3hVYB
zuE(2lHfE+5=Sv6Nd$^@Hpy}}fK}80Y<2=7_tr4r!Kc`i_?Ndpm#%qh*3fFwS*VnBy
zSN=%tk(j4`l*{x)Am=Uj7xm($YW;KXMFg@q&VFX5x$NQ|pVHtTS51=n+)ui{KJi0%
zmc}Vl?gu}_m;Wv1>Wwet+aM|yGco1L-_TaRUoq@E`kqP@OP^%BZBSdZtRlKzNlxxr
z*@1uT?az*{x*9vrxZxRJQ;LwIrgXP*;lHlG#g^JHjxDI<R{uK1@Nx8(%FtCI_LnYP
znPAP}Ui5o~Uf_>ag4?xDF->ag{J<R(H%Ilz1@~6}$1ltn4qwfm88_>Ho@vzKgMM2}
ztTw82q~(fmYuxW=5DMDX7<+w1Y`EC=i(Aa3&fbjs*SNu}b9WoxV}F?)^GmCA`>Izh
zeeh$#yiIQAjT#(%_Y0!7s46};nYvlrr=?g^$lLC~p{+l{4w%FqO<+}iaJ%ur3XNki
zWo+w<Y|AcN{0$8Lb70FYuFi}(UR<U-cJ9odHRVe{Yw6PO$8Vg{51h^Q=)}AUTrTg9
zc4pj7ePS4*C;sQ2iNGU0*E*NjGcU^zI$iC};1qvvoPTgxkD$fIkV~`QrwVxAZ9W~8
zZ7Qy=di8m!x1Z%tMc3O#yKkRed|#uNd*1OC*FK$@tnlI=qcY2(MSPX~XP7y!#sBuq
z$oo+hHmhTkwxMU)A)`#L{R~UaIXFEpJ`yi*rLCSjL*4b}i$G^9+k49zRI8r#`)!ih
zeS3C8KeOV|5A1Ijc5O%%Hjv}n+jcFEqi3eWjER2x)QWrlo_%M&AwuHe_bB!W&q|LP
zOnGCax#IOvN2~vmpS17fvq?OOYdxL!MM`Mj!V_B>84O(iUaXqher2HyXW`2e*KOW^
zxxDj(S%mld_J(fJTRWv)R2@#{PtAN`6v5pwGsp08w)OsyYfdYl>Zh;O7Mps%;IYj+
zd8P{obVZ|bZ#u+YN`Jp@`{qaCnTxh{9CYR`W%78i@!+hdDKnU-Ze22gbA{JcJ-MFU
zAMV`wpKg{|t<#dT>i)+Ud%r)sz<9|tdAYbr$`tkg?4^>+lkZNN6`jWL;rq-(cMo-b
z4U=&zuMb@z(aXOrZ^c2MS6^TH+swBQe%w4Gwx#9o-oj-4KfUZ#%X4Pm_38V%UqVA}
z@$dRKdatLwT7N(4>_me%X{u+M;u!B7m7Aw{xPtG^x{%XP(_-|Vh15^DQ+|daU}E?0
zCn}y+C(rNNCuVXflU?lCq5ZD}buD8Is)hF7)4TQ2S8uh<TSuN(?0)lBoVYJ(QufeK
z>%+O_id!w~JlZQ`epXamypYR%D@01kC-;i(jSvqe&L3hqE3D-!SrQL#b;(S7w=L39
z?&K3@S94!A0gcU150^8(?Ef&^v(qy$P5qnwjyKZ<XBtN`GL#&0*Gb;wwMSZc*CAo6
zx6|GmM{bYsY<|x<ZJwdU{jhbrJPjSDsYEVbDc5u{`sQl0n<^#GrU=}SabID*nQ!@|
z9@Ayp&E$9wx;$W8o_qXK@PjL&OK(h_&UCf(X9nkmh6mf{$NzesA>yv%z0#I3>k7}w
zHBK+no;Tjt6MwIKH|6|R`HTORcRTL!>0K`<5Nx=7+lhqLYd!`pi=C$Z@rUSzyN8^6
zUAN}2smUa*W&OQX*Rjef(;-truHjVq%(m}~R;DiKx_!Uu-;qTAxyl^s*L-i-=Ic3X
zWCd?J7X949<i68`<&*d71RK~)FMH86>%kHuzpHzN^*Ex|9F_U%HLrRuSJP%Iy;&PR
zR)}!FUzqq<YembFkWD=+l3zSbEpa?8y}9T-<0p&iJ7=DH+)mWLEa=d9_vfB(4!^FP
zUaiu)MSXwbt4JS?-EzOz^*OC^UwSxz@nZ0^Sc_>>Pt{h%pLi4baK4XRQ9;I<z`HM#
zs&fvA1&9RB^O`lC%iBA!!&6}A)+HQ$j0%^}?67m0sc?Lq0B`W8Z9zp_^BuTN5Bf^n
z*~chg`#8a5shG*lbJ4s~$0fLOa{M`OwtVQbPdm{6LuAS8`&`9;b+R@DGEDPo-QwD?
z*U)sKM4bQS7r~1s)pR}J-6Q6|aP@>+cXw~^tuuYThm9%wBe&$ig?InETE|s&8N6_q
zvaVtxbLr)`E7QvlT}zPe`kL4Bkd^b+^>dGFwkgldcp-0kKCMgj(~laLE6*-uPFYd*
zkY#4s+pX^f!ivH~n_5G-U&)p5z1R4-?9<hctfo@IH*U1tmhHEgzb0aX?Zi;=?6%F^
zcMqT5lKQjZ#q7+b+opfndHcihB?+=>ipGmJpR|!`+n0U2$^2ukhos~S=jOkUjrSVQ
zJ7!WH=eD-5Cn+=H%y-u%>JvGyA3Ecm-f@TR@YIa6KSVNK-Y^sKY~DU&Y5A0A0lw+M
z%Y~kWoJcvMzWL!Ut?i8!dI8%P-HueaJL~q&psOEtOPS<mypsG;yEMkgG;qmEQ@hVh
znMb}nKKOM>>e>fZ=WeS^iMGkod!%Et<+_-BR6g%=S%!VJMf`URf44k)sd3&~N#(+9
zg$ut<<jNcM{kx#cUlLunE@`?#{T5$Uw~|%MUAlvM%2^N2@>{N0<B-aD?`F*m-e1=?
zh{aUNaV<Y2e5-oSY{^{$Zx$YT$NuKWO(w-j!WFZxUK5-YAopV3>reL#jSt<Pam1h9
z=Jcd_7E7bkzw-T!s9N_j``e0|$NN_=(R;i&bm`sG44nH#O{5M>t}x5vm1uq0`Y~2@
z^E9c$9lBHU6OXT{F9~{bYWcqS6K@=gHis9>Pu#n{d7;d-$mWO~{lHHPCVg}A)%_74
zb$CYi%$!#JTuwouPp8u#oxj8<zqUPQ<KHBobN%NTx$Jr+&g@q^WqA7O#0{;&NvcmQ
zm-qi!$H35`!z1REzwpD6uR0}H|DC)bVsPlS-qdgRw<Lf0eQ>^#XuxT~Ep@XZqWRN8
zlsy)m<nKS*uvz`>c^B~+-}*y8X-7X*U-ayQ(WbQ68^6s=e$P2};Q#rx2a*@$CBOgq
z%<&0Juw;$PQ->49X&yUsHg5lJl<BGSESV)~%3gtfUH0CnKcA*PeRTTR%d<}A3f~_&
z>|1FX^U*mc<kQU5n9H@Ihh|+#OM3D2#F4c*v)7(Z=>4xW{SwQj7d!LaZ4+N7Oa78L
z$I3lFZu>EfCdJJOs-F-3J@)D6b>9z%*A|xQPL*j-THGtNO=6~|nAY44tK<1Ol&;qN
z@c8#3xWU}E|NYj5l|}2<<ad41QHgsepH+G&Z01Sb+Ddkd>=L2bVglD~N*N!ok}wIr
z^z$;Kyk3IVyGzHN<QLf-er}vU=j7ru(}W-Yj%$n0y6pXJ`m9%_8G(XtP5s;wZ@(>F
z*4eLLa*JJFdBaJ*w6aZEJ6U>*-AwhOKkmQLqkdE7!MVU^%#A4r@^)?dvHW~zy}~l@
zh_CZDEi#IU{*oV-%lYZ<`v|{>7HRV8Z0q=p_+#Iwv|cD@S#gi0K>ogPUw}Xh@1d4^
z?gyvp1zO6jSjXI*e*UeUm`uxs4%3elcFW8%pWm|Q_KX|c-W)8^3F;O+y0?Q5nRJ$G
z@AUk|>bd2VhX2fcjmf$teU7K!D7|a@{Kd`8>nodyn0>Nt(Ze3zYb$>H_|Lp1`QzrE
zge^y>%}sqOY5TNf{))p1wXgPf=6u<5jem+7JMWPLYic=Ct7==#{O3+)Vrl30dzIAN
z=~d$Jwt1^CYk!!YpZa&*PhlHVeRq`peHi!F@`s$BB7=3>`H+b!{yPK2{_%a0adi>7
zpHlo`{^^B1ZjVFM*O^cK<;q*Jg<<_2r>Tvib0T^RmN6|n_-_8cD2FSvzMHO#T_*1v
ze}zTBQo``#^F`n4t{YtxdvNZ>J88=eQk&&=`3U>{+8vQ3w3tb+UgyKQU5)3n7VH#S
zE`H6uYgggLHani=Cs!}XxX(Jvm=fI*B0ukY?Ly-U8|E1Y^nM;Sj=%IxlDlcc&Ldi~
zUUmOZ2ELj0`SxS?&Wv;4HmP^rShdqg{e0$LXHAV}-h(T|9)!Jr@I7g+Sv}W%DZ|q&
zJ5PsXY_W>@bxbyB>2}A|tcDXm-+$WiJ1|A=zvEA~>^J#4RtoJkcjNuOOYrQkW~1!=
z1xpqMpT8oLbGLSljfCMMk(2-GdAk~9+g*gy)u!`wm%f!~-!pyJ^xSpXJg#qb?NSc?
z>|T_-ajICF_UY+2?Pgs0`Fx{Qo^zbll}C0=3Sa%_-+ZDt<6ZvZBk2h*qBs_;?CX2z
zbRdxPK*xflJy{zv=hmA<wVig`pXtt{abNc7q$@X4a}M3zwJdILAZxCi!?bYW_uT1)
zG51&*rIX^im+Bk7;^PlfJba-nx#&u~?arG;{@0&%ZJwFwQfgHd8Yg17>WnhiqlSDg
zA+t)Y{tIF*_osh<aq!@+j}>isEY(FTChU<gW69DiTmPO<tySbxfcAbb{|U<HZzgOL
z_nHzf*Y$0Eh<~fYW3A_N9tQ+{l!>wqkuEu@?92N3HAgSUtf-c0Vxn2qKiMu?`^0$i
zH2QiU_j%0U!zk=CKX}n0HAce?fBv0%WU=<|l2zwd?<kPnV-fS>@_#2g8;`Jmni9q*
zxVWy^&T07nT3czNLa)Xy>yAg4^h>|GOs~i}t=^PztGsc7Lrc%WDLhV|v$eKpnw(6x
zmaE$#AoU@Odvn6;z0=n2P_W%0@U=noWp;h^nrS`l)sH`J5_)doV%O@YHeYV3NK4(P
zX~+4PuD(BI_QHUfLoQj=m~~#;(l_#{`#$V@7<_1&$inG1)9#+Ikq_-Ph_s3jQ1Cf^
z``Lj<6Aq@yoKKI*@LT9)xv%dgYvdQZuCp$-`<8USh?=|c(b0oDYSwq`aNWt?qx8Hq
z%e!#f;@dn7m3g%m6SPti9n!s;{{HTK`sLQ`UMqvA2Kx^7toOd+7InI#)rkG+f|cUy
zkN^08bf5i=?KZ1sM|D0-^$046h}z|UL&_+3<E288@@G3!GWj(&<XpYfxySL?r+K2P
zpKK$W*B@5snCzqR^8_!G1pCy6CxOmaVq9VlES%f4$1quZwPB<_|6~m#zpytp*EjgG
zH1ulD38{Hzo%Hf!#`#Xp4H=s5e`^1}RJ*0*P@;Nwaa!xVlR-@D**;vJyX)LbCt1E<
z!mq81-tD-sp6hMrnv$1n`!iYDPWHHl8HR6nsJ(dn%Js-op${8QSI;@|_g>KcnLC&c
z={7c>e0ZhI!XoKQ-f>~G=yxf9P8D;kP-8fF;c)SkZ<F!_Uv87~dsMVH|9y_~3GGW0
zuB;1;^AAdxJ3~yrjXlarHS$S?dX7MSbgB2nLk{nMJlWiJ;MV#33m1I&WUXuO6EK-a
zO75>)>fz47o0FdHxvKW}(EnKri+(dJGDz<}VwcLm?!I!0)P;Zt_4a`(+xpd?&f|Sk
zkielL9_!n>^E2Z~Pcgw_N4079qF4*}pW&O(=_}aII^o27p5hroT^?~OAGXbv+A1Zm
z@R~{smv`JDKDnOoAKNDIl<rGWc~JR|`E2OV!`z0hIqXK3ihm#L`)+JL#l!P^Qo~<?
zjrOmFvu@8?bF2GUn}FF|E13wXb^Cr#n{cKv(A=#$!L2TH`lX(k`g1S)tNKq+R}M)p
zE0#CA8*2D!%lVtkwn5*od3p2lG%nz`tzlfkntXTRE2*rn8{(V<v{r0e?O1W|nv(*@
z@(=32yBwSHuFLH=Xs*9Ad!x{XABrpmrdAxatJ%4GpB@r;sUfiMVEW~?JH0F~#*4nv
zJ+<zH`OMGV1{DTBdSwlhDw5VrIR4f_ajSpS6?>VE$uT`jcC$a7il4i0?`fkx{|c+n
z?C9S`>lPcW5Od&foo)FltK3D`wJ~CDsd$;hDc;)fdwP|pRb;xw<yT#JEcIB!DcWDi
zbx!OFi-{2xL3=XFIUn+98k`SY#3+B^qQTPQXQx;XsGk(RJ1<!^xg^VSoBb`NFVCN3
z&OXq5aHBPo`I8Q>4aKeEnJQ};)dI6wts1u5{hU$4x^U6mvyw?GeO^tLbvZZPy0J-o
zm$;jArG#qY=NV7!m)3=~6rQ&{(#QN^M!(+6xewA;OjS1sEh{|zv@0!UgXn{Ee|gKf
zuaw-3t9raqnyVqyB;F@t@r_yoDd#g{ADl1!nD;1Raj)sh{l+IWs(-Yns9PH;F*kBl
zyC3z*_#&0C`}4b1Ur)be)U<73w(Q~FYY^fa9C<v0KQNVPNu6j=aD>I(#q2j9A3HsN
zn!^*lIl8W|=du*p<`g|(mu|L|SiC=Xi>&3x-&t)Nwj9&@@$mRF21$o!`?t0m)Z_+e
ztrj)gYFn#n9Cfv`XG!TYi=6e$(-l)6@4Rp#LaFP+q2Sxs^LNQg$<N)BWxoEvEbDb^
zPc=6^3zV1onWcE9=9~W`Qz5lkoox>mue7h&!I=|2^TR=bZasIWvomI{ugzGUJ4N@)
zWn)P(OJ1dEoooks&Z(&yub6*u$s$?V*0sl0<(%UBo_IX&n$*$h$$7U5)q1yVj26(o
zpD335;l_E#dQPo}TtB#brq<eht$Xdtk|PtL+t4WUfoaxEY0>np$*+FSZ~T@rD?m1}
z{H}xTwD}S`E7vbndBZttb-3_$)rIZeFBKl^<z)w~U;RJS-)o0znefBX9`@zT5=sH9
z|LvM6#8<Y-VO5C4lJ-Ey`-=Pah##MP)coq3drW0&s-IW3eElUI$RF_FS-5!OJEf0O
z%GReBeCrWUNpat#R=6us<Khp#-W!b*q~vv`>M2<r{2pz{YN29g!!`NcpSwGzE?*;a
zs_{U1mRZ>i{d3pv-1(5;^y(njNB0x+*yXiryw(;T39+BK>56+iW6F~ULV6O{Q#QZ<
zV%Hrd9DYEo;NqVLXXl;E5|?IN(G<qq&2uNBIow$5D_`zG$*@~dl5f_U28HWyxi+^i
z?$(V9_BKz2Uz9(MTp+l8Mkd3%tksUePm-&P9W@WB+C1{x%6;fuU-)&?%R99Dm)XCL
z{+@p-d1}bw`eM2Nd-(kC9$Z?xm4%sKwc*&S!zbRjpNSQoU+BrX%9r<5nDyF!<()S~
zZXRP|uA6%C@a#Qbr;CZyUlQ7Aaah5r<?21=620?F-x)~gn(f<vcX!hwnZ><se(saQ
z7x=&3zP^9&RI6=|eEwhGYA}E2KC{MC$F-Iwf1R(Wzw79!tlvIYLV0x(loO@<9Rueq
z>g<2bTy-`}$5+K!J7r_%Mx{H~OXPQ_rLoq}En?Q%_isnbUE}NX+U*>xo72U0gm=vA
zm@A@j`Izg+Tt%)A7w&QWunS7|^3gVV?su{Cp|iq+qJ~|2P2aufJ^zd?M?ik%W~P_z
zi*#0WDqL;*qc*Kb`|0x&Tm>_)?*E>r6ws=_Jk7#RVfBY?mTMZ6Cf=*vr<9o#doS(5
z)Fg`w_NuW3OaB|}+`_y{h`Wn*vSf<Q{UFZo=a@c;e+=xjnx4XMW8fxg$9MELYar9K
z#5@fKmmTxi8&8_dY_q62b9I*Yo1<HWzD#DzJSY=;w8*Mt$)5Sgb;8+KUYsHH|13{W
zZN<?m5<;SZ`VarteN+nH7%15Lp7~Y9=XLYXR{x$G5*c$OI$xBde%E6)nLGEVu)I}W
z{OSXb%Z9R;+1!V_PqkfpJ7ebqlWiXi1T#EsKmOjK8n?4oy2*>vu(PjiOP}YtjF!5a
z3ZXqa6Td&?WaD|c@0py*<EocJ3~$O>Y|h_WIC*|I<45kOXJHLm<x160GuR#M+z<Tl
z58zN=`?uWJsiHyav5|_)$M;dPBBFhQi&JmS`r=obVvsYZWLf+tvuzI_d`ZwtyR7Ua
z8L({IS*t%q&)QpA!YXBttF*G)7C-5_w%=uH^|snS4g04BJGE_l{Y4`4q|c<tjS?}p
zclj$ubsX?;Ubk15n{V!+2L@$wAI_CF)JOXqntuPq-v0|+qZ&`o3m1C4`JB##mptEJ
z-?rIX>9iorP=9}rY{4YcnU9)kdAylSTp!22xRlUZI%7_7zFf{>MRVPb@Vy#tM(LKj
zZGU}rotanSd@cT3r}NaGQK2TkQ+IyLkDdH{dQs8ogZX=P?Hy}&&ikoQFlzyG&fB~=
z3ulKm$7k+AixxUuow4%7m#-;~ubmkyzHAWTTDd^Te_q7wZwsqEvg<BSS+b+N=a0xF
zQ@8K0PVQ8Ekyp0&`49e*vWSh|eQgIP96icd_1;Ijf<?-<|5NxSHvdag7R;A_CVb_~
z{EKcy^#XBo7|S1oZVD*Kmn?gKf9WL2&?}#`XWW~6{N_X{)sJVDTK?HvUy)6-xUM#J
zmyCd#*P-3}7GH>dA-K5k^`_11*PZPY@Z>iBn|e-lYfjCAN}qe~(x;@BYSkTnv-erK
z!PRA(KB-4$=P_L@V2!Wtn0?7pL1gEepxzI@;>NMH4_`HG5?eBJg@w?C!$&o5p8p~m
zpT8{b!PfW3wEn$m6YzApnm4WX$sT|IY2V*W=xSX%OFeha%1O-|7luzvX}ekKdGwpD
zfuqLSxIkqI502IUoDI+D%wB27pR+7QWV#{ilK}rcJ0zLDWz6|jTy3TMaYy>&nWk@N
zUp;qQvi)X%Y{VyZvr56HGkLA)nl|THPfb@`u-IdgahYeyw9Sog71zXN><w_c!7=;W
zD~l_RrnX;Gbf#ODJXsL5V(;~~=7YPH^FrCLZ#o;iTyMGr>#oyAKW2Vzduw8QMtQww
z@B!PGg?H@Qxr%1Sy_m1M`^%5`+((S;d!%cN#7<t^u-=keo!fjyW6OD|*s~!Cu6n;i
zLc{g)W1sv#eD-@2*HoK*Zk}5eqE=egm3pRg2`49*y_tLEOxd>ClNeVAap>RpJm;2y
z<igMQ+dl7ckT7)+O<nfOPw-$}sQtPlClZ3UrdFA9Eq+l{^YO;#wv3w8(qP|?J^r4}
z(zoUcUtE}eMT~bz`{a=QH#={y<u^FLJ-tt4Le;8$E>F3&Mfi8Fe(+ZD#hyi5YwoJp
ze!e4~mTPJA^~3xp`|olbpD>}vdZ+5*^kq~1pS<;n3-7<Wd~N^HvWT|mtv7p0d3JyL
zP|LIUPllPY{{cqZ1KRG1R=cnHT*!LPY-yaqb732^Ost3F{ux%uRu?)iUlAx;@$_)a
z+;{R4W^3)tIzmHUW_bISn=Gig+$@{GWyZYewyKv|GmFnT@jJ;6Hsx(Aw3x>#=6&G(
zuGY_~7tV%D?d=!LJha~T>CTVevI2PirO#=7eKUAFt9#x1TiYDxwz{2Jt}1&wU~zu3
zaeUm3<2<5uY|kEQ+b$}}XsB$u{C4h%-1RHYU1#gvRH~?U@bd{@A%-5wMSG68J)YP%
z%{(x6P7{ZSTaUhKoy+W>?rd{S_A_l@ZD>t<^k(}^SIz6Yd8hWL#g}Y~IQYe^O{U~#
zqF>3ZZuXM(?b4@3oYS0pLr!E(SK7I%+jfP{{c}Evtg=u4%6A3NeCAV~aYFj{-{op0
z+?Su_YJF7wVd5Nf(_*cZrf^B{uU4PRhMkW>?RL-T%@H~8ykReYtK5G@J&reD)n#Ko
z$G+Pfz@FLkLurHAyvuwCA0OE%{3h{>frUWqR^MBq&%byrDwjAK(YU`ROzTQp>6dvc
zpYvIVWj{JMo#}>5VeHI%a)ECeE-hrsl+R>YnjdmqU%MiH<4w+sN9{D-BW~WBdSvdS
z7tZp5Cw;n3h!oEEy!7atsc+SRWBt=?jz6~88PoUkbK$93U7cYE<1G3n#(sWZQEonC
zrGc+UljnS;^<_Nyou8Gz2r)&b-?`4KoO3qe-*U;>nL@XBdp*lnvkjD7UmCXSigno?
zzuWmGdzSy1|2g}3*q2$i-`DP8Uizb-S1d52?~;#KQl5SH+3=)guAB?zSDMuBw-frj
z`^*Wm9rJFvz4XrKIm_X_O;9PPa_&O=*C~8v(hi#48|*&*_MaQ)bl`le`Ybp3YZo^8
zWq0m4_VwCltebC>jSTczrnMfnTTvl<;?MCH9qa9DgY-{?G-a~9*nW%IPebTh%-;$|
zn{9Iaug_&o_?-Kd|G^30MLhRU?6=+f(o?kfxYzZTP`*2g{Hv=jR`1kPFj1<WFR$I>
zUpq5MX!WE&iR*aXcmtgpqjWEZF;9rP=lM5)*LNDL%$ED<lPqgPgEgCTvv~bN7Vq=7
z?=kKZ6?d{wn6!%jtb6uf^IOg;x7?WKZ=UmUy2_0g{rV@_gf^*py~+EzL^xN*=+leb
z`g6T6SER3DYH&B*ZPtB+H{@=_G!^UY=ox~wXW2rxFa8?kyifZ;Zk6|o+2#BD8eZ4t
zKA9SIF=hUDzT>wZsJknRNxZPh_m@z!d3^86wM}~@rRJ`juu-$iP`A;kD|T_Ce(B@T
zAmtY2eeI5Ndqp1wtXg@6S6yvg^7q@~25E2O9;iN&+i{O&<Bs2-L>Ii|>o^dvWO<VH
z%DEI}-t5O8Og;6uliFC^wjEs8*;`y5byn<=teW844XjH%%I%mF+S3X&-ak6>>i_Lt
zKIIqu)+)C)hh)r+&@z2^=Rf}h-;)kcXS`g@;4x*kH)p~I`D^pH+wOckcSo4p_v6pa
zCY67BQhA_ypUXrA#$svvhLe{R4sH?E4hvj*@!WPNn~QS~iLMcsESc{;Z(>#5r1qW4
zSNp!axW4Le>9P5%SAFfPIC|t$rsW@2p|}Y5yw0<+f4v*BZ(Yo5=Z@Vdwf0L9`<F+P
z%{1H=9M02?af>_g+h3@iS$EpfEq^X)-tcNJSD3A~qP+9Z@?|-V2bM)CT-7(PDA44(
znt6ub!)Lh;|M6Yso0j%gvFA^)*thwVXwYkgs#(Wgl&<>S@J*(CS8`_``|9#YxkisU
zMKyDOKYO-u&(95Vn-(n%Twr`CS2u#?;Qg4%OQy^hTPtBa{}!v{ueo(>|2H)yG(P!l
znf~DZ-qpukCLY!(s>))Xlzi^3l%Moli<uV`J!+mOa&d=<#7{c-<Cu+??8=OzreD1_
z&WdT@>$mA~FwZQ}8?KY;yQ*jJ5O2!KvW$}LR^Ao=>p=R%&FyPke9ncO3C^3n`RtS4
zw=dQ{Y4-W&dv4Y?zLzR{_UDFG8LV*Vipe#-EV3}IGVp2I!NuuMG^!@8+V@iXZCMc8
zii5|zH_sKX>Cxaz(>?q2pg?MN>w;hIXIo09ue-ouIq{cXO?~|KhJsbc*FBrWSszk7
z<6Wq8X3UoRiGRdy%k=G?ahG@Zqb??|mwz9aZFZdfv2nL}WYpS~TMBP&w06>3wY;xf
zaqIdcf`28BWPe^UC2;=7(4|G!znLGHUcLHvJLf!Z`MsJkfg(FDpI&*U$Tabf(wky|
z34b)#XnQ{_6N-88REV)#$Fq)W>2mJY_lMY~cC!|S7k&%eUU==P1MAE^oaZc$=pPAv
zD0k%N(yAHLFSxIn8_C3UTiRy9iYZ;&W*eCbXe3l?E7-lf+;QWnM$FSU^DlTTI5AP~
zv(6mPjZ^alcpAD7n?Ds@>@@d+sUPR3#t6yfDkm~zetlnaBvMFLL&{~rl_in#|KAs{
zsynZ*?o?Q?N7i%RR6V}w9M1l+M{2HY+E-sWzdQL;g+S*;n?kwDdDc4*hx*ma++P(O
zz98U<?Zjt^a~CgGGuUvYDMH)1_^M)iSKhm`B7TP@?&jA-Y*d=`<$$Am)LZqZ7T?sD
z9NfL=&y+m{AKBDd%$B?kmOnH*z|`pX+FcuY67J8;@vt>HXBpN|?04YDj#f3*dvBIs
zJQM!Q$n4Rk*66QG_6T}3O_^5vdfK<CEwY-1Y{Bzo``goO({Eo;pRuM@ZmCbigm0yN
zvQ{?Jwts!_CfX;rNp-@R1zWF&KX<SA{FgmtKl?*}7S&_bSEr`FzsO-Mdg)&N7LTmx
z{~SzZHVNMrZ=8B5QtgP@`nCl*{wuw#FXT9V)2!HBy*#r%K%sjBkD0e&^f%#k^(V9K
zRkCzG=HJX;_2Ex*<9|M;Rb^cUJ+9j~9ZQ#bC6y_3IQ;*qPu%ZvV|v`IJBmX#-04`9
zw%gzS#B=7!kGj+@^WQXFsF+b1z3pLKrTZhjB?8afPM;8(#5<djUp)TG>Zy($(My6j
zr^&XSy<Prw>JpZIcA@X-Zxs$s&$}=$@X+$a+4sLzKHSA6chlfnL)70@BF_VNi>Mlx
zJi5iaWXAKhSNB+$mP_S6bK>v1ziD&HqRnsqh2FTte{J@aNZY^ckw)Tqtp6uW?!V4w
zAI$Y;*M%dY(%D|y)83st{qwP8&gbWD(GSWdElBQO`+xhi@?9IBYp$NQo~=-IZ8~#=
z|DvePF7k^n9e;AjPc|vd^W`n`JFcZ!!Rp_iS<Wb$-JN{LqV~pyEanyS=O<+LIVbd0
z1n4SmtWV#xCt2cK*P-Vt+88#7p4%KAc){Xi=#-_GHr<#%eRG7fWyk&cufBgml^Qu@
zujkzkm-%vY!<QX#lfLa<lsG55Oy0ZH@9K)nJaNen3QXh-0!*h}ddSWBk-O(j3Twcd
zyPw&+CQMv5@qIwWvdg7?7n>i=Ze_e_?d7Z3n0A9RXQ}AKB8%J3q3=GQw6vQ!St#?@
zn>jyEEia2?)(T`^Cu&mhK(6WDCLxJ?3ypuj+q`Fz-44sV<d%?xX|BzhQ8taCGvc{r
zr#-J>{6D`x{si~b^Qo3Mv&^<U4Nufm>v>lFr8fEchQ_q->FdHnj}-b@bc?KK`6q7s
z$vd@JZTbfT{)aDx&zBu?(Uz3_*cZuZx##=?(LXnSYU*&6FL73UFu&os>D%P#Dsv3)
z<?Y}1d82>17{6EIRH>7P4H&j0|BU?PbWiQ4?L_%sjBYj0o|&ZA#8qwhE^a!@@NMAP
zx!embIVeuqTUqny`R6S^-<@v=JJ(b2zNT8U{6Yu!+tlkkw@p)@zt#}<d6~$icC2Dr
zEDKZlBF>`edv|j@+oO23`jUkOM~GSI0>5^v`LA#I&J)m!`5k^p&~etSJ)IFrImYhs
zaVG@a=Fc%Wo9L_Tdqw(4PU6>_duCtPFlAaYr6TXS>btvZ>yOWJdr{QbY*v4*fH}2R
zn2F({m3&}af4O!#=i83*0KPu8xWi!w3-$Nzx$XV4cE!qfQ5&Z1uYC0M>7=C<f)Q<g
zCqg!~2rX4xKlRbykG-$wnVz=@xq9%R>{_Na=9-c(D?%?Mn+r{jIJS7^inVL?jLy%W
zw)xH(M}@*Y5#PloDeaiY$`ccmGs8?}O=oPS+%k7(&Oe=Vo-bd-kzVxNN9WFk$-b95
z4qxuOYWVg1r)LJ-9%Ze%M>_u<i+laXB;#gn%woZLA%DxnggH3&2^{>%@_#Xl0~brr
zG2MnI!Yc2!_m!|E-<%Y@`sK+M{++?=uTP((9JAZY*z1t^k6G*IFjY>x>|kLbd)cTq
zw^#i6j5X`liTzCVTbR5j`2S9}LNOL5Q;rjwDN&()4M}WW@1C%xi%frfd^1Pk<!4m}
zTYtr;o?2MQo{$i}buoLx^1mt;CuHlteK+;nxIaDNpyv~V)q37LU1z9$u>6>;fBaMR
zJCEAWne`!Yj9(W=R_I3WtKOy*yFD{Z+Gq#kAr}p6uZJtx3@$!=FQ7Z6*!jLowN=8m
zgtqIi6DJr6{8r*Wb>v9Stu@!@uG5;a@eEJ>|I<&Lj_AExIJYOYCo})E!to{AkG?9f
zDl`hqC?@F@{^S(QbB*neJU+en>HUq@SmIihZ7nqymrh`Oy;`}BCH=mm#sa3#+xJV%
z44iV1FI?@?-ReD#%Py$1YS|c0oc%S=QCj(+>GDsi7iKw}2&|i5wQYM`?5Y)}^|GEU
zCqBB)NPVVfobb8W??&svO6`@b?U$UV3hHL=j`9?{z$5f%a`5xOV7<Im3g7+iJi4K{
ze$wvFqqFxv)S8iSam$PU>1RZ>4H-N;OUo{=J$caNnDIj9`Llc6gsLQhk812yDz^Q7
z@<Z3ecUxH)l0<!$ow~E|Ti~r_tNxpBK9P7#ncIkA*V}2P(odGy%%7XH+4Rw)Z0^fJ
z=8g&<PgTwFS$p(T5clhrjo+eP%-NhWk@x%eyk8xikG>RM2)!}y)60W_Z!LS?b5$va
zOt@mXBg#``&brC^)7Z@&K2H%pEUB!KeA&EztJvd{Kh7jKHM->}sh)}0FTRB#?p5QA
z?XTwve^~NJF|t3`DO2$K9sdJ|c_wfAtf9L+R#TrNlcDp$6>;9R*OtAXBxkccfcN-c
z&3AL;rr)|WbJOv2GKbgauAC6xY-j57zG<7kwX(pJWrprPf;X;aOTK4)x$(+*%k1q}
zxBj}>@PyB>->F`0nR4WD<%c<&7@C~KYA&7IY9zQh{yJastm+);JFZp_-et9kEWL90
z&eBJJi-L|@dud0k*coKW&#~op;G|Bi?uPkSwY~SG3YxLji0pS^6%*-~F5ME*aI2y^
z#%p%YyRGMEZd|@>cjFY1tkz29txWRlM)p@CR;t=CUlral+qk-(J9|@^@40HLXurp&
zs%Gp^*3s!Lo3r$!I`4}g-4}}==NYYP{>r!Zl-8z9d4ASo-t11vQ+cJ2)#>Xm7vhvS
z#}#rpa(DX@?|o}?ZwFto-9Gzh(87&ICck-{*HnJqH8+oU>89piGJVkkT|pv;gKpoP
z$;`Itv4}5Q)`NsQ{GPjOUEVI8RrBHB1)n0G5b4L~x3f;o-Q`jGd7`Sr1z#UIXU#IL
z&~Gk#%F6uX_b)sl^lQ6>?bl^bel1fIiTG<1viZ5l7w<C?iP?cSB0fy{el20?{Y_sS
z9-ADRaCOP1ZA}dkEH88?Sak0Q(hSLbd|BP%=l<Jk*2*=<tu;@wShd;RuP=FRJrCcM
zpe)%e@yenE4@HNBp!8+AXS1u!PV<UR>tFRnjo~imbyfqfN5AgAnsnlEaq!!M|NoQU
z1+093vp4OoX=?X&uS3V)2NtRo-&()6!tYjoLW0EA$pM#2qRc16JvI2RqJ2oMcVEM#
z9_4rY^uxkt9&q@W=htDoiuY*V4Ewt2<?4$R-+!O7P>Orw4q0d85LV{7MU3eOd{up(
zU-<RKcik-sjnvsO*RojhoPG#@^J`-6k}`idpZS^V-v^A>?G{gvINSAnDfiYIcc!B`
zagI*}bGL<F*(P?o`nB^?)~H_<o}ohLC!O?o@qML1sS`ij-0MuN)AApm+;Vx2?e25d
zA3iA05xI7t&HKo$gF8NV<v923`PN)jX8t(*mP0MiT?O+Z&AZnV!+9@#j6P`pUZ`%4
zd1iKgr47%U?&HeU3ne|H_^V5qzuV6}d{OMvCgJddix(994)>ILpS_UzxRdDuEvc8Q
zQj`ruOb#+UV37=~oquR?QlWL=wqNUKtkd^P@hP&sWqJPJiJS!X$DfSf-&q!1r@|cK
za_Fm%kdpELd&d-|Z%x?Rw`Hxa(j(q)en)y<-de9ebq8yxTIt7VlTS*&Js8h6?lre7
z=&R10uUf~xb4#W9rP?SDb<LAMek;gue}B2|VVr)gLuQoN`-lu@d)cRN*PCly{yFDW
zQSa%u4N3niH8p<E(ygv}zro8S@$}2^zG>~{FE0ladRsUp)XlzITw}TZw(<2FwyUhV
zd03oyy=I?KGPd`AI4QlI-MxT)*E3%)nHT1}!tI|N3pi2zY{KQe_R3<Kb)wzX^BZ%n
zFxy(@%{?HyQu5r>tqM!`1RvXHZyVUjA(&w^VUPE@GiRNe*(V)Qp5}J@@gcT?B|O@n
zT{T2r3+#W%eqCneH7W65*6yBVd|~HXU#Bh1u>5v^g}PAe4YsXDuFfZ7)dX+5tzM$k
zBl)XByYR(+6~A)J1<P%o^=WMJvA;fPeUhQti(|5J|Gmz*O{*2sP~IMYUFm>QMAX80
zXMdCy7W=viwFKSd`Fq}Z(hRBi%HkiHo&}Z6(w8rUx3qfC6`%6dLoH}kx0kn2Ig5+V
zq~_hiyuY`Zul%9BmL){Wgu{lXS>sA)&}*Y_tOeGST>n2VJ?hOkAz!XGU|w1$e}dNh
z**A}M&75?mBf5_FP;FAubFIkb%IV3+`z1Q>eV>1S!}Jw}yDn!}T(j~%uBBEcwv~Bm
z=!|P;Ss87Yg>9*S!YHD&E@H*uPmcu-edI7Wl^L^QLtJjTVcWF?)&#Fx>Q7hyDeG#v
z-n4Sh%oFTa+Oi%wRjKU%e|=)_rnc?b{#8@1|Ng4-|E;&zfe5DBiirsd^L%EqC^zty
zrM`bwdva#>q{!WCcXI4-ur8L@Q`?&nk`i6J=7XDIYX-;5yl$UdBgVLU&DH<U{n+2W
zpF1#{F(+?Z*tMTMdTW|i7Fy2!z5ji4WB=CLhZ6<;N)M@it66=!^2P+dgBtvQYS*az
z?J8V$aoX{(yM7(JqPf0x^_>Kp(AD1-1P5~+d2M#$K;4y<rowadKkPViNrY>{UX@$M
za!XEZ`oHflTW;!>SOzB1CF|5y&I?%=`b_uq*`@Mcs#-6lwio~0USnU?&(XK&f79Nt
zsU1&UI_GHF+9iDcZN}yK^6_K7xI2&4qf>27wyLd5so1fsXvI0PrZddn^8`v9U$Ccp
zuf2I|dBNNITRnT<>}+!JWnGgQ(j&n7Q_tj&+cc*`4Ax60J-QZNdB3SC^n~rwz1z#b
zH)$W>zR!3*>E(^3p&qTfuEc5GJ@C5!?w!~ckLw<R%kp>Ri1H;^^l#sKf>B=X=Y_0`
z-xuEqk-z>(fa#*+;x|!tJK|V9g8c6@^)LFjPe!0eP(tO#iAZ0~i-o@h{M#Kmblxma
z4p=L}US0Ba!h2)e7ZX;;yX~t_a9zl7I4r05<xZZ7p1<OH<5NQBulGDHy)O1n8Go<V
zyvWGEoV$Gc|CP2_JvCXGZgc;~YA31f<!wKBuS6wnk~?hOtRvL5IpY2G*XtH9+4CW!
zB=+3O{C5Wg{?*MrT3S?eFY>lo)j6F^DeIOV)h!pQzgU{+|J(Fw#p}CT`VIHj-+FOG
zYO7=M^~*08pVd$E+AC{(<$@H$=lX+><Xde;U(J)4f4ctgYA$Xu`)%rvX6|d0m*IbW
zQhdLssB`^mu9@FNx0?R+$ojL-H1FoNxOb0i^u^9?aBj`Hf240&p%dGSjS+$SSIzN%
zx$vb;{HE83SpF@uzJGP1wrcuo?cYuu*90&7Z&L0w?p^%q{&6cgNq2!r)tTiRe>i*-
zno{_)GgwWjIErs&S?5Qa&E|}qyO`A;Zc%gjDKd-2_aKK|^@>@`?=r3zGkxj2n)ke=
zG>3rj4*ldM&QkLedG1_Z^+@mZ(ig9re((Ig`4&sT|LpHP?dOy%cN$qt(axQ|Pk!h3
z``_4|T4tY!*tmJK=xuM?HbdX^)F1ma_f?*VZOc>XFwuSN*W0wQLEzH)kKb9Mf8Lyz
z(8f8p{ku|r^|5B&w*ql$xz@9v=iSTPsQ=+t=aWZgN}CpjJoJ+d;CMLeWu7EMn^O0s
zU6aC7OrNW{?yj7BlxfNR1@)y7j0Yb}{9PGX6tgtSsP)5SEgM&>+}Y;oMfLM0`~3Nk
zThP4lagRdC^*ycAwAN?(ab&NOPgu1pu0C>3*ZvyO;7d{VAx(bkveT>Lc=UMtQjfow
zcB^wiwvcIqs(aa#)sq)oZ}WUCV7zp5$?_L|2PfCg7d*L9^Y5PdTwhks-JZE;ijm?S
zK@F`<Q%q7$H|`Pfp1tL_+MP$D_rkrl$%K~~FXHq#<9hj<M1>%O%9V}xzAG&@K4_J{
zX;#xjv#GojpB%c)9=yc=RrjuGU!Pka>)-5VuEz52=D{~*ZzI{9uAYjCxe}J`KePY%
zrJFlaJ`2Y3HCT2}?%-jb^Pv7lsY-lsMwLNm|Ca>c2xBE#v59-qHYyyQ#p*h-Hsn2T
zW8<-P0msC)_CA{M=UU_z2}T*7*3T{9ygL>q9a@zbRLR6(b)D-6-!reAMJt#e1orGv
z`)QzT_{UuRdcm`gYjnjQ%y(hQE?jlM_37<z3ao$sZ=7$HKCkPK?ALG&_L(<TuRh(h
z;$yW!r-j3-t!v`>*g906J-XO5d4ZztTXENF)ss(|PH{|GJZDuz$!QK2r7bs~h5gPr
z!L;wp_KuSFr0u8TH13GTA39eb6DM_gj{rwpimvRzEzb)p&Sl>F{Uy>-L_71tug}-(
z-nZ+Qyb;rTHudd6i;k@`UvIOl{M+T;GjrFx^6>k?VIK`%r%t&)HT%evy-{t&KbG14
z@VRqX)a#?A5670moao=>JD;XUdz`CPh$u)BK6z_~uCn{w?J}7;hKB?9aOj@k+IZID
zka$5q8%yNkH)|Di+r?rJs<jKun4I|Z%o>xt+=UG-K7Q#8^*>Xt9659Rfv*0EGWnGM
zS2e!x+TEYQ@j%-0_47+Ilm7=voQZIF`gDhe{jMW=IuC7DcduRfxm-T+$fADB*H7QZ
zoL^bOQxm?^uVkJzul=H`ON_Rj`!@aI&0cy~jxYAeY$pBxb331ItB<itl+|SSzj0)(
zs>Y&Q9PWE`Z<QRimw(OqBRKDkJkPiLzj(HA=r5Z8;`<3*I}OoKC)6|EiDXR4iHTPb
zE9uyCVB+LU@7SJt+;*F&spfGnWx2yG|B0rTnhH`npZ}@cyHU1whDlsmoOjrvi`!Q(
zSpT6Q^372N-NWs7RkDxVZ{}Zeu}HLb*K*kwCC88hA0w0s0!x+`Wq<6N_SJ{^<fD6&
zCM{pe(D>AQoz-HNr*7F&9u9sk{y8dF^BJ}(*N0ySh@G&mfPwqI-lN{kt#_7``YD;8
z-l`#!CThR(^2MKx3kr{I6+Zj=jAYrp4+S$X_`Fyxo56Qn!>#z)n<*@NBJPApc}?bv
zI{jf6i{zU{;*S0jlge*?;+-bt&+Mo9b|%v&lQ~a3wj4fFJLe`#tX|;8X=@i0M3`OG
zSiITFE%;ekp>1{AcR>Xn76FMmheKhPYmOLicfLQ}-%MvYM}fNZtj?JM<|Vi4?9%iC
zEq=(n(kn~S4sk!dbf@iktF`Mn`b=-_VF@w1Jh5Kkfb)%Ot=qz6r~7@ek-dLi_WXss
zUEgy5nF+;tf6#cX`d#MHi|)LH;)9d;I}0XfUeQ=zqy1-6psb2QXHI%ZU-2w8!!?U6
zH{IQ`VM6Id$C;5AEqhK2&PZLCEn|36`~RjzPvw=CroElIrTV#i|4Q@w)1J?XPJU_1
z(KlmDh%$5BquM)rPycIp@?r|3kp1boA%d3G_8&DaIKNxQArr=4?Bkt%u<5k(w$w$j
zbKf3a*TvT7KS5;T{DUTy$!6MY5|^x>%nRVJd0QL3N^KqIhF=*Q{GAzYa=iR_q42fc
zj(cqjf}7fR2K+1)J#+K(%-dgbvTao=Y|Pef+^v1%(+8g4m(A)nPdKAjc6QFP#jja(
z`%fB|#Im+DzmNP-pZ~1duv{`hEl6gk#uKY!agNq&6?K12n6uEq+tP(+<(uzM?}kag
z&Wc<szfgQbLEVnzZ%gJ%EU><Fs~|2*{Y8}yXQ|J&gm*!6N_T#Gq&)ZP<^Akma+`_`
zgmyK@Z8klSq`7_4mv0Kwqy$3Qi*q~=J@8p{YlY5Jon*d>uYWt<c^G(Dn`@gYeR(zQ
z6w{ZcNjwYA+}wR-8ne6LB+j|+PaLhfBU?X7UH|nx_s#p66J4L@yj~y0RufjLv`otD
zU$U+3Uk~5w_6dedl5OmirmqhF+BHM#VtjN?>!O|wt<8s{Ivz=;@q95@IqRhQcH8K_
z&!w@KK0H)sG}BK^Y6)a@xMSLI<Pev8deW}rrFYbW#7<~$e)Uj#)%O|eH$P%N_&@t^
zagNbe?X3D|1{30XoVWZx{`T_1>Yn{frSHuD3w^sY|I?lK9_=QN+?Q=wzwY&dP?1>$
zV&_~>PyH?tuEZL|s<(W$lC^Y-KxR_c+|z&m%-7LwwYv4~(q-e1Mn0KL8fI2JaWd7W
zjg_A^KA08iv#C^{d*>w%3FVC*aot&`gHpAbPRO`A#-07N<=|B7RQCV-ju>r9w^)|1
z{C{Hhq_ig6LjIGP*Uc|9=JK;Y(z7u<sK=Bs{rs#tMa}<9XB~;09<sCVV^!Yg>q@(4
zEKxuGQo>UI*B^$D*1{@r(c6B>{ysf_c{p2$kjAy$$=}-E*6AE@^tFF#9edhuVqZhM
zWN7}QsNYIcSVW!rj~_c*Qs1}6FG_>|V|(rkd3%YRWVRD$i&Pez<SX61Bde=$=kLxK
zf6Y&fyJDBT*_&S~J@s~r_=mvO!^%@6RG3uvKlzgxTP*(~=OX9v7iynH<$dC+EYmL9
zi%J+-Z(6yg{bp?I#2$gydy0&u#3xn8T#z*v_x<@W_dx6?bzX_RIWJhIiWvN2J@Tl2
z($0ILm-QGk^NuavSABi;r}FEsrZqAdoVvKyx!%)=N9W~~>aSJiTbHi;qnGvKk*w`T
zvyIFu%T{Wb_{>(<y`Nl?BkC4o*86*%;^x4Gj>d<7nzHUW);H<1wy=3*%Ec-{$@iTP
zw)CCu3jO-kj@j8oO8<6BQF%kG&)KP>$62l=U(-#V^`Ic!cguW%zxz)=Z%c4&J(wv_
z_$R`;C7}Gc?vpyEjix=zjoc0&NZ{@aTJJp3T=T>u*#}7$T5>Dfd6u`h8n_*2oEI1%
z=`&yA%&G}0Zilugwdj{^%GGsbQnLx&a#rubyr%t4{p=C{A{5U~H(otUTW!iFgWKlD
ziaJ|gt}FcS-~LYeWaxGKu9qIU3O>`0Sjv?Y^QP@&oi#7wiMzsA?Td^HELqolZx3I1
z`mw~{MxFakJ0%w_cX@NFHNM$AYw^tbmY^T@8pe53_qe{UnPyU*_`+))d%(1}E4sui
zRd;J>J?G@!9q4|qJ)Zr%w%>D~Ur)mnH}0$bzj$g5lfy=J_ScgSo|(4l`_s=6A3w_N
zD6mM}rN}4sBPu=e*b+hK*}VJDFj~J3?RK5+T@c!nXPV-2aMfAM!#v+_x!PTS$`PxR
zEq!ReUVEBu-73@am%lD1&sjBbR<ZbzQ&xKFGnUFcR@HA!vEq>O`(4ps@?mkK$b(xt
z`_>=0)@AkJ&HqYYmZKN?r#x`eDQ^+^BPmc|z<KuVL`Emq*o80ZUMYXNcz&{jMT+?Y
zhE1=u%c|NqE;9#5v++*4{#b=4&fDO8+((;k_0|^q6VD}n|6jmi|E^tZ!9PDX=4szU
zCeBe`|09&|TXh;&4_lt4xIgnx<vXmG1Krr|7i@TtAm7V-qv=|;pv-|^VmuG(jNZ?1
zve{l3tMl&9ytPa*oc+i7Otu?)+FqRW?r3kL<S{SX%b(nCU0f$}=D~;Qy@{!T6M}x#
zGOzCAm6b?m`MFJ-uUo6h>y6*Rwf>5h8#i!szgWFY|Jt#Ci~E=E+IsW&%kGwD&gqB$
zY5X)R+3~4+!~NGDXX4f=<oIxRxCty>6sWl6!G`O%?7tR#*pju{Z(p;{HQNubBV|lQ
z*V%3U>C+d|svUeZTe$Li^vm;swmLU@HcsZO<L$6n^JRV_-;Lj<kq?Duv)x$t$z0dv
zr>lY62@Tm9GYyx$U&LvsUMnVHlC}PBIm;w29U=2dfoY}@%cX06&pX=LVLai8{xSc~
z{-QUn{F-{+Hreymr*<eUSaor_@iN;rTf?jFpOQ@2tLVG$)Xukje~e81yW@}i>UCcm
zXPy6nJK*b&Ua71nvG)qn?s-St@mu+$O{$FRTJ-<K`wNwMCcA0%%#lh~j<4KZrS~U)
z^G(|+>xw-Ndr!IKXu%R+6~o5=EmPwA&nTbtmIG0(*7B+STziVANxd!D(h&6Q#02j}
z*~;eJ1`##M>Is{3RhY`MO|<72zU<hp^WovHoF9L+xOO|u5Z@-P_j1h~>!wtWTIGEv
z?;~%^oG%GolA>I>N<;n9pM9rq?mc(k^g}mWyiuFc<;TYN?`m|*c)6#$yxB3SQ0k9v
zYrx*<XIBogl+K>x)}5{RQtOdd)6SZwwfF4A^{=dPb20x?Vr{1LP32^8@ZGb|7Jt|u
z{dgmv&a}AZB__#huF1UNk=Ru(V9K-m|KYx~nj+KAS1+GsBz~i?PoYisqnLzK&h3`K
zZ7a5IdE<4<)F)-js<YxZ-7hFRx)@JLITp9blim7udX8p)!qvcio#NLF&y-$i$@m$<
zEV+2%{%2u#@ADao%Nh&2>oo*col!jb;Ny?>fT;YN|C#13SY{w*ddyKU_j3EZ-|KEn
zTiLwk={0*r9?y$^^^$U3M5krj^4>0v@_sAbsTG@ayy@HV=;A_=6-Ew!#osQFiJsef
zM=*Mw(ZXN1pR=s*-@EPceLLUTyLKpq>=xkNTB5wFE@?53CvOm=2BYI*9htQsZhmn*
z64<KLbcp}ZE-N!Jv+q6gW?YT+@_IhU!(sMPRrMt^0)Mo-6q-NyE<5Mtx#@D{uH9Wy
zM|K<N)%cy3aZb2zRrBVzfV#!}^bIduWmIJhL{Gk+eeC?X7bkda%QB=s@t$}89g-6m
zS0Qd`cUbwgros{*sXOmo0%y)Kd-0cl3fmoK>yJlP3cc`>wo3AP#c$Gd)HY?krPfO6
z=cdjRtS>Xa5_u?;HTP`Hx9krN#dkwk;stnKvGHh_97z-6UB%_G?XkY@7XOl&>z>cr
zdv;%&V0%l)0f&Ews{e0YuyMA9<Ap<_|K0{AzS{L``{%`rHz?HJICb@OX2dk_RL7Lb
zcXqF6-D@2pwQXhKN`b`R%<F{C6qg%Dl`MM`e0bZ9>o+Q5Uz>0|z8w5vrnZv#$?cO@
zr9GUdB%PD}FGnKv#Ur1#=A163WlqdHj_z5KF}+>by<d9C#LxFW{(bj%^Y7N>cJst1
zXbLYX^7a4hY3>th!*2A^c2oWGto1v$KaT7z(Jf^D*lP8MYw}gyL-z98Uo)Pya9+9M
z86#>s`4In~A4Vk-L2u6dZ_76PCUqgiVwaC%(Tu#;)91^lU+3zU3ZBuf|FxAf{ff2E
zB)@L8TL%BLO#5x$ZD~q~m7cj-{rkmG!RJMdW|Es$6{^HcP5t)r^O>)Q{>{tqO*gMH
zpDOouQ_T*+K%tCX-|gGH?aGS%485=ITJQUQue$Vto;{1IT^%p@9kT3OecIUP&$9_9
zye5?&vgMKwUorEGPW1Jhh5M{ai#7%py*zC(VbQ+x3JkV&YxX;~iTs+l+1>rX@?yTL
zvo}Nw=Ervl>aV%~_+hIO<FEhk4wcPboZ?)Ru64In*4bQm5vPNm%=rxo^EA1tdGD^Z
z%yVV9cIwT++cyH2Zg>B-lG7&Y{8x>j(}mXcpBJ2(#5?7Oe<*|Xv0WQxU*}`_?;pP2
zF@Ns<xZfvkFF(5O>{^uo?d)fdx*SfVT)%hV_T6xg%~LXric*z69?kwLk(e`A>sj;l
zH=lF&O-f&RV7Bv;h|^)-x<#Fzvs~G~s9ffpS0WL@Bs}R^W5?r})2}WHI_PZ|)wI3H
zLb>=Z$L8C|W?cz3d)T+QcmLFV7b`lx%sINU>CDTt8y`MCt}<BU$36Yqq8EGzh0k^V
zzk2MEdeLSdv8?Zsi!IJ^>D_$x#N6XSOG@bH=#2`~(|x_RoV;Lg&2Ea@|BbDi91o{W
zbWz;8{id%;o$6Enqsk3y8=H;R9j{p$wyvpH;@dXK^<3w~{0<zu{c_R2;%esV-Tw?$
zZ14=%5ZB)RFofyx>096b-&q?r_k^g%lqIsp@~0m^JUxH6!>Ka=d#;t2t}Isd|LL)C
zL+Q+EM!pl@tPWWnl6&dAv)X}U|Cb9J$=d{k_ie3=oaUN2?X;iy8X1<#Y8B!7sV{yc
zwN09|t8|m!qD$N6^>fI4I)B&i^i(&+pXXj57yGMyL&8Kjc5(14_Zrou46m3n3J?B~
ze3`molE&|9roYz@{mFIyBB!#W>er62hb`=LznVBcTw5E^p|0`KtoY62yC>i5`||Nn
z*ik;&if^79wmCN*o6^dXxgoRRh>DK$!OL$t#1v9}`*LPob*R~Kh0%NMO{sd54w?D-
z;o<6USI63R22NCM2`_M3C-Z;BttShuGtMSt&JA&&#I4S{t;uVHN!--<MT?*GpXS_@
zad%bQzK<`Jla73p$^M$~Qcn4`$i1bnQjE)$gjH{I&r<xg`fl2#{ae-=J)NGotBB>w
z<O6c6nonk2s`6&a>^%GPTxpPifNem>H!D@Ei$56uaP4d_ZHRm?Wwkz_P1-hUjqsun
z!OI<a-qL?MI;!8BKbS9YYu1{hFDAr_)Xdmp{o3YJPmp+aRKILC%Sv6}RW^U6uP@(p
zLEz25`ksWi$DNW}t30)~9jJCa<s!OD;#K}ZNB3JA``k^tW8)q8+*aKyUiZFiuEd6-
zNwwR{?Jg-jin3~b-}7@qey?=eqpLS7j<;V;b-o+9?(5B2iW>hTZtZY5skvy~;;8ro
z>uyhWa9#YOSk7<8VXmod;R@TkK2O_Vk-~4S%6lQHNa0D(O)b-zVGfd?e9M#Cv~1@1
z9pG_LsL(k4@6Qv7qvs#w7_`<+vz>AA;cF%jLlza66aFh+R!s0V^b0BT-rlP4ed`3v
z(1+_1k}__qMqaYpX)O72!dds@mprWxtv69Qy==4jHA|lbo0umF)P~uZi%4Dx^I@p1
zk_x)wBXRT2m%c?OPfhLr9?P=MmiHN_;b%j0bHVv)ZI>#JbR6ltKEKT7J@chm23Mce
z$7SD_>{Z`;_Fnz3&!+AluX`STeAJYqO5l0RrS8>V|9D<i?Fzq;cFAsyqUOY6Y2~!b
z^R|iHoN)Qnft{*t2Y5B!|C+itrebZqVU*05)Vn-glg+;_YPqp8L|HfPmBBW)XsHd)
zS3At#T6!=pJGn~d=wx{nj+aYod=GwhbiQNR5-0Th_}jzJZ=6|TCy}*o<>U3YY!#mc
z%~+?YTxMa%){*j&B~$wo?|HqfGwocuPm9%9Z$~J+eVpt0Ab2KQud`Or(HS)!6P|ur
z=dhcj(mr=Z+lLzu{|3qF*Vv2d<@}g;m%)3+`~2RE(gzL*R9tHHGgmV3j&%O=VA<RM
z-qtU5rLH_M)K*;hfX%0&x=qu~M=ITE-zO`^maB3q8{B3EzWDWV_hO}t&hzv7eko2^
zZkpyAXOLCEDPOZ{LFxIU2@_7(t_nCDHfPuL*e9Km=E*_Z!#s+@-v3avnU#@p_Jp(f
z@68#1wT{K}1}oo+Y1pI5w|v=t@4Pi~(LcI2oVjWHu_ryGqrlXCR=SQ^<l2*KWse#7
zGbSXj-KEsjqU_hOrgTyMQ|WoXPj#JQ`W>#Qv^V32R(aZyx&5z&?pbIwNG)RB8@4*;
z#Ev`a6Q{2E?5=Zd>qD2L`?jZEZ}9TYYFsnbGiFiHt9jd<!<Gsxvd?SCRFKnL$DXkB
z0(Vz{-_zc3Y1flaF7On|)UannZk`jM<S^m&qH{+3xNPO7i1&PI{}=i<O5(2V3huo@
zX8+dq{W(AX!W6dub*Viy+jj&X+j8VZ`i%PJ-J*{ht?Z}!r$2o>x9WH&^Sx@BEx!A!
z-`elEk$WMA)#eoguOD;yfi1x=d^QSiEa|lRxZF{H+hyHgvBiI8PyXVyS9Tfqw|yVJ
zCVuO%-y?I`f>Zp5)|~94j>>_F(K{Nug(|t;s}%g<yLj(xL(Sf#`hw?XmJ<8VOlH)3
z_~8DN$D%(%0%bCmPOrWzKD9b<y_Irf`Nfrg<`%P7`#W%5{*nA|(*l9$U&=e8i$8F1
zv>yw)71c3QDDRub;e3ZfZ{I%14V!iD*e$<)j<@j&yKkm4x|&3l<%yf8oLK#2k~=fs
zd|Ou^-&3sRF2y|uc$S+n@;d*M{>AN(!jMt(%l+;;zU8OB=>+eeac{4n<cdx5I_ulF
z9og@*hvAd7+q=%YH6}Jz=ibekHg~Dkzx}ClT9c0b*^oEubI=QZ$IEa2E?9iRgfp3;
za@kjA+5UMi9@uI~Px*EF{~w#vMrzshR~Q|*Mc02je^bXt{GRP;+l!jDWzN%-qBP!3
zsSD65&-B;&-S{B>3d20XeQBL~$BNrG<%J*LD4H{4lbTAmrRtphy8}M+)agk%t=wO*
z_fy!i>(~BI(qJzrU#9<SX1jWUU8~Zf;0)XRba{>wYRYSLZ7Z|fTdwIV%rcw9>XUom
za{SeLHmSx-Y$tC%U&q&UZ;9I#*7J9k?CP|w5#0Lz*%V7(wg(dHrv~59K6diiH;%tu
z`nBz+8LAnT+I}p|`}A|k<EqAmn+2*S)*JsdU6wtsKzPye6H|`cMOGZU!1Ct4x2d1#
zjZ$;nmCqiYN@Q8jq53#qzFwe6@tBt4rRxXdUN*b&v~k=BUZS(jKOx=qrrMhDwW-_}
z=Q}Ou6qYu;%gywkSwKtps>@=<2XS1PkM5=4-1j`@@FL$|2I?8VR<AtM&y;xE{$#hv
z&(Gcl)_zY}4u^hNu|)liic!RAZziiM{g=INo3BKs`&qkBWqYl^w(wdA)4F5N=N~MT
zu<l^J(WPO2qWFYU{ac%5ce);bPfs|)r#io(f|13`_q#&!S?8dw?abRB8Gbm`nJK?e
zcyEZ&Kh}ep6UxKRXxw<R*wxzN&pU?0rfXb#j#Rs=|Brc8C0#Lb;fbG$hZeR^Q0jif
zdPBd(uj6!Ckj<S>4;Fpz?RNLKpAmjTD&yEAi@C2%{GK~>xx~IMn%=rX<nFuh4Nt8r
zW~#7k7s;9)sMHf(yQ)yTKGMeilkbl-1&bB8S2Mj3TrkJswC2Poj`tg%?_6{7xVXcG
z3Cw;SKiIjxd-w{d`WYYnH}%1qVwF307F_r7&uf@;R!LJlxOc;XQqw6%{zUcP+?3zF
zQeVdQ+|3t-PSc!3;%0N-71KDEeV1)clB_J-ZQV^1_|tD&I*7jy(GNc&V#R;||M~vu
z32*lPo}#sP4L_emTwrjmQDW4;9edj&TQ3T((X#P0nJsW`aqW@jSrg?deIv~pJ)0xH
za4z2~6gQW1*0;q0vlUL7vs*D;?GqGVzjjZ;y#o*BE*|8RoA{=trCs$!ad5*D^_#op
zr8C9Sq%C(&Q~comcy7DFOp$~0Gn!w@`s6=-men$SM)CiM`ClZm|BIezI=126vI8B<
zc}m|scC2z$`*~K=j6ZeP*_JIwR=db<y}U`Hwbv!;Q0TSubwa$NvJX}V-CEi&!pd8|
zOij3H^KNf8ZI`#7duxRIAE(c;(vh4kJNx66`(g)^CGVx^+wFBXHofz4$10DFYn=Z?
zhW(hyd0Hn)+`4RISizaO5q)fu@AM_U3HndE-zr$L>->?XPq*?*&4oU)?P<9={eQ~E
z_I*xuZQe1e{QG81VDIJobX!g!L&(@~iyvq4-aUp1Meg23?PvVvPYltrTg)jTd0!;$
zkWT)NMdchdanl`7ng{4~t>H<%So}9Udy2(L&i8)WvpI?$cd%{~ayr`UnfiD(>#N3l
zf8H01aytB3aP{6<RslPSt&Yl5B)iszZB&>yhtFVP>eovzqB^&yG|rcJXqhVM%U*x4
z`N&0wwcI_D@(cR~9?neDb3e4fNnap8{=~Mg5ug6OuDn!U6xp6zl(NnF`|{h_te?DV
zPu=eC-yaZ_Yu|DAkj;Y6Tea&C@~)1%*7<R>XM&yeX^sy*vzKg{HhYt5OZuHv*OmXh
z->uo{TlC=7)%vL#x;cyWOCs$3Z8f);3;S=|=*Zy4m!<E!Y^F}nF+sKbUIkBPmmG`B
znPMlGXUp2(K6Z;O^v}nc2cKV<al7@|o6i3MXNsF%C6uysuDfuBXL(}krDN+K%=&Mk
z-jwVnUUoWar_e(E+{~5JmRT*{S+VNR+j3@sbKXMN1iL&GB$Ss|gjMbgSm9{#O?5Hf
zr7J)G=x6_aK82Tk;-b?h-Y0zIugOY#w8rkj6CJh~&Bxztt&-m^z0$$IY|{7D1@kW5
zeD5Q&)}V*|zk*%y?>=z>rrJlZHmzqec_zN<!_g_x*}AN2&x)8^T<2J0=h1a1(_dV)
zC3w>3`;Pay#E(0i`lFD%>C&9suVxP;7uK}S-;l!nar$Kk6J7b1WsDDvRSWK4zZcon
z!LdJa#p^Ribtiv4%@e-G?#8J*%8yR!M#Zv*Jh}6C>0R!{>{TZ2Tjz^t^yT#^h2Jhu
z{JekGf9|D`E^qIDo5E|l@_9(zSKA7Oi`KV%^36*3yte!w5xnt0N>Ake^*l8*!i?tH
zsHutP{o2gi?%?}pZ<6<CR=p2@o*YR2`TBctSi|#6KRev4e{O9Tta<8PnER+oSFdr;
zCX2gMmo`3(Io|kb@r*joygRRX0xx&$wEe*xpjP1I5bu!4aw1A$?gu5egH^W==gB{m
zW_<tUzDd**w&^|{Il?Wamn53@PhPn9qi(_6rfaSSpL_o;TCtGTxJK`n`Ffe3PokPk
zrmt3z&)*=}ym6`}*M-NsCjDe?TX0Ehf~EW>m)Vo=-ZWAV5wgtPCgP}WuC?aFy?`go
zjGNuO_N(x)bbLDH5M0`$IGa0Tu8%s;<uvEZSBgyIzpETe-}uevi}3sl(I-|{33za3
z=x>+zEM2|HZJj>P0##k({G7m|l+`89qFz_dPx+Gnh54n=56dH;eq1>0Ht|tHRC?>>
zH3z)DY%q}v=IZYJo%{3S=}Do7=YD?TyT{`$Yw?1AwUzG-B^D-gz0`0kt*M&S7Nquo
z>FVz+b!lhaStW}~UhI;atno1T!?)bWDwkJgnJ_$e_@&Zcrz=>JJ>lkA{%Iy#?sJ@b
zKL7ixGA+4$|GN?80Z)ZKKRm?j#vr=UhW`ey?UQ-&yUf4M=y#0>yA)`*IfAeIwX8n>
z`B`_`=6E=p$V}Kdm9KTD@XH{n1gFbC8njL%obFEkzN2_*n(DV2e#zW0=ef7vTCkmS
z_rEIi!{vtdRsZ_kb)tT1rplK%I16~}-b9ty2#5W#W~^#&Jn+^}Hk$kR-tMK(`#!BU
z?Bo>;oSgLBNsq00_FDf(IRdAaF}zv+VJpin_7{8#QC0^NGPJM${&M-I&FfhY|K#sf
z%Wu9``a&!s@wmXb7pEr$=xYW!MXdJ?)6CChS6!jiXP3V4R_C^>!U8^rUs!FezqRz}
zt=XBsWLO{fx3^v^*l+(zXRhGFsoPnD_vLK2+R?~dVL6FQYt5P+%V(@CQ24&|cuDz<
zlB7+R3b_n=4j1=J@7yE5-}3Kk{kj#0xBR@$)x~AjwRoRz{Mv0?`(7x1uvi=5d#0yo
z`Kp-5KkjQ!t)05lN3QO?cy@P`s`?}CukUBp+`pN&<i^%V&2HDC!Y3_QI?qEbtMgpv
zRW%QzkM+Tto1NZkF10ba^6~n%Q=61LvMPg=cA0y=KD6$Tw6q56WZQ?8N8e<(rf{5%
z3~afxxll!Sri1#zOVO9*SG}`(^f)+r=Bo!A`~$tatky8Et%wxkpAtW5sdlB*^q@t1
zq7Uin=Kgz}F7np2dZNtM1#b#$*39vZb9|gp_uyySnGgN1mA<^?vEMUuj;X>4ZuxEB
zd9^IgTojd?`TN;P&wSBbt6%?fSzoVNlJ+uASS^v=<z{F7TrI)Xr#>Ios8Fd$`nQ?U
z#`pFj)rIp8th(UxJ@oGOs^Y8s{pBJ-DQo5$buL{uQDjB^`UCeSvxYDI>uqt8)8uy7
z9}ex<=NZy00=~yqa38$(%3{SC3+7t+_1jh#ubE}DYEra<)8x-hlMZAV_x-P4{p;dt
ziH@33rabqF6B)Ut70+F^(EU=xaj$nOBDGKN?kg}TG&^;|PI>c}D7!-}^0r%IlZvJX
z*YK5nI`-43wN@hZe2(Ttn|j~buLF3p&l$T089X|a#$w;dyzNZL!W}G=SH0wI`XrIn
zbJJ{Ru}mZre^<@rW}X|isq=~st>9;!JLSRh7l9lsKU=IFwC=3uxTEaozHy0=*;DC@
zapsZk@qYuFrv8-LzL4jb{3AKLEhoPo3w-^n>3UKjXXdrwwuap4YWZ$kRrX#Euqn1k
z(#l<QV9RE!K8HpFn^q6ub;{|*Zcmf`J*@t(5}9kY?a%(IX?H67O@Dr|eAn{x*1xwc
z6Y`6GCx;t6s+hhnJJosioQkf(74rEm&5N{ZdgnNFaJ+5*HNE&?Yuws<8{Z^&u}w-A
zHqbUtu#?+<J|@;_DT}zuMYqPq_dj*4lQXQkczS~3%jGj>)vu1zoXg>2A?m{-;k)<q
zkBfCGvu*A^VQD_A{Z8EN##Nt{2Soz!PjZj=!&X`*li<euZ{^Yrwye<%aYpv?+v{16
zt(YTmWzxsBx0Q63a%S<qU2*kj-JQft)jTUvPfgEP8+ep=Unu(UHfWn&`uf+iGj*OS
zNV!CNw69Kof0}c_;xiSJrT4eI`zqv<qO$M6A1S-n7ar<&bpA5A>)BG+<aB|#h&%M@
z7O`z#C+@lb%vmb#U;7-B%!_khW&JM_Sh==%?R^XP^*`(#`PWTjR+2PY7HGH1t^MrB
zJ>43cy>>*1&N@Bsz!jOj3tOz0>(?tBT`@^=i|(ZP6V@(RC1Pp4d8x~}^mh^adw6fB
z_w{J|Z1+B`Yao*RAW?UnbIKzv&5Xp~-g9T|yPr1AegFH1pOOxme_=a)EIxnN+zTtd
zw@uyFuM*tH_5X+HyqRY|J4uM;GwH5wl3exs5nq4Br2nS7H3HYZEY94xxkZZQ<hv7A
z9)UL>v|2B{P|jF5JGM~s+~U~1?F_MxBSnQ$S|`lDV6R)k|D@>6)>2cI)W?S1{7=1~
zCcd6mEYs%mxywrD|F)8a`sW|UCwQc9obrb0zwYhFORAd}3GJTC@3wA!=%jUC7H6ti
z>h)i|$lb6`ipAL6qJs5v=Di6^R6kC%a#n4W)~z_2y2GhL-Yvl{A?eZb^8%uKn<O|s
zpFEqj@#DUE>vJ;lw~B{&THbCuE_zM<zyXfvFTGEbXYDG;(QdC+%_=c!IsPNqmS@K1
z=@S%q-q&7g`9HzLe_De~?mN$GKHHZ$l*Ub-zb@D){;GH#<Eq5XK^7dBnzsGrv^m`8
za5i~z&D_iXtgJ6id$Igo8k<A%^*_at9%Yj%>SU#^<?pGRaOs?4n<CTWo0Cs%O}n}=
z=nelVrHhl^{5F06zAxrj&g9byFR$LJUZ}fxF6XAix|X?4caGh)I({_bx<r|Q|NR3A
z`Ma-PXILT?IscXzpUd0TSt4^DuPS+8+RPGa+_~Z&$AQa6{q;w8I`vu=nsFzYUtguV
z=$Gsw{Z*xx4t~&>8M}Ix=B9<N7o1J5M;6_FS^j7*`_pSxX_2WsO(l}@jWdd#JbTIb
zK~?+QO^)`xvBzf>ep)LYI@6>%bK{*mYJ1D_{?B|SdEthG$pz^>!Tp7kjjT7tTO7Rm
zE=B#hg8=8Jw?+}GZaGeV<oC5)H08kD)a?~tw-jo!22Nx6`M%(E&Vh$7m#M4}?p%Im
zugYeXNR{(eY1*lClb&ZcaklEFoo9Z6b@Q;9g#nkY?`PZi8*`Sfx?=VrYrSgT<dvT+
z*0t+|IlJ#%V50l#|F)2ym-LT(YAEasH}X$13a>8zaHN3!{{FtH6APp}k6f}S4wtK9
z*))6N@&{*Pw~Co^hecf4H?97{oA`!p(L0*9OxdxmMl&-+aaPH_-c@4%P3G;dSo~D=
z#~#0;YQ;>ewf8Qbi&v}3_I>gvO0Dj5*MHBzf(eVRrQUc`B~*Ci*^=uG=S89gU2?zY
zb4uDK#A&`b`(yQK-MQzUZA)(Fc<u1HaqpX@@7FJ!J|Txe)9l$rCv~a0Z&H>OZD?G_
zV&QBNd*@(Xu&HeCmZv8yPVTf^Fa7cak8SzQUys(*{@FOmQ-8tXYMGwG7&DGbT#p(~
zSXczL`|S8LtA%%Oea)?9`iI$SRsDB5m1tBSo^(EVuO4H&%dyG#4r;q!`L|S2pl#EZ
zNr%#&&vJaHbztR*&DXC+tdpBy5^rSP{%5a@Rc7Gx6xUPMq1&guaDDo@OZK3T9$V_7
z+~mz3f-e5C0j1fuzq)FgH?7jUeAIc_M3(Q$N@-O~0&i~&`=x4in!o-=PMWOB&Xc;g
z9lR@xkAJVvG)b^44S0Oi+AMGL#wYI`Yn}_exY7E$@BESnc@lR2HRV@5+#~ezp)uoT
z>C@g5uB5mZ^gYkEeA4@&=ItS+VD<04KaRYpbT~I(bhrAHUy&cq-mh2iJ{G_IW#BQ6
zxu)#7g^fE!thO((m+lg070G%2IPV&>^`pIq4(fTSgl2X=(ra42ZEK14x0}XRe41`)
z^<N~trW+I<?OOBb@i&p)v!+Y$DIc<WvvKMZ2HU6m+{{~JQbkxibaox%x^SoZJb%aq
z4f_XTmK$Wh3O>31US`UlBg?t+70bS@{JqGFD|srnft~M~i1R8hwj9ddu()yelPTNH
z^%L^$u+QXV`D>+eGJku#WmBr|ycRqE$jws?_G%cnFt{&zAE&h-Rm!L0?N#wC|NNYr
zHg(Ov#awsXoDy%$W^;RD=>wkEi<157L+8s~5`8H+bIaZt@qRTmT)n3k?qHuY>&VG>
z-KH0PYBlFMvT#jj+|Mp-SFaYC7T_n7{ATij%NgDZ?=K`+Obz@HBfe|LrUnzWhPa%B
zI*T(K=Pp#Zd2~f<+wC7=H!lA+zWgNf@SH`da?>Zh-xRi=A+q+<x#qhcKGj=mzv)r`
z*8A+P)62r^f_aWR7k-I&-EX`3c-oa+?#lcNOy@~_uibGmFFx>`ID0VX-81@cR$88X
z;s0rQ#<okp%okfOIoTFl&*AYno4YAl|L9b^o1#D6j?aH9!`EQ3B49>8!`}E;pO&n-
zeuk}v<-EuYpT&_2XZ~#~Sio6T_D3uzaqDgMNgko1J2Uf*?Tk;%O8&zvdpK)ad{d0k
zYtA-<Eqf;<PT9`Nn4<Y><+AuyOYI&p&sO$y|I-t>+&52SPOo#APi%lxNLO0jjD@fI
zY`&RTd|Ucg;`BA1lk?Pb7alw7{ry!(ucgS|SN}J5*A}0+Zt8vWrSvS8QxzTG7w^vv
zW4`C#vE`M>x`(?Du80c0y6$@X1v5+kiMmRMB)`sHAEs#ibx}F%0<JiBk=*#ZJ6`DI
zx>pygO17kYmsIuM=C-2#nds+lJ9cfHtQL{|w#0akv8J={vKwbS4`(grxVG&;<gWV>
z88X)U)T1<}z5P`mvUFl|(Zf}X912=zzc>3<=FfQJ>54ohr@*xb^aR)^{`y*0<6h7h
z-FTPdS75Vb>4u~$^1F3HPpFqI%My^A{2@@|?|+7aQz!Dwt>2#W=)lab#nWcKWIcX4
zURYddmh<IC^E&tUr!$SN1eDFb<<GkRXUvP^6Z6s^JvqWN%XRg3uDI?*Il1h|@9%zT
zVqB<pqgb|jDeIloW1Z;+XQd3->~{$GIv@RK!12{OE-+haM%_*q>yKSYy{~eQ#~Rxw
ze+U#Wu-do!bo|+mf79ajsJqC1V_nlfTY|58&fP2P<Q|9K|L78UO?e9IlOqYuoh&Yk
ztj_OX`CKkgJt4LxgF824>hvyY`LCyTSm@M8f0d43eD>MI7-{=wS0d`u|75?tKHZhw
zwyf<TkHfx~oD(9K{9v-|j_vyY_pN@e)o$etCw(G5cl4g%I~>+46!iE_`sy`OA+b;1
z?s?}fyZpw)!`qWJGyRUFhCWqOsu#6M{OfSpM|r!4)kf!_`?Wj%u^d$tdn^#P^_w8u
z6>kn}skR5}82A|`Ech_9u%u*nh*<22UvuPN+>j9sGd>pDur(_+(5UBZP5jBX%x;`v
z7Bf?_|5hv$)Nt#)*Qh%)Uq@HRQa}8av>40DHFMuNytj`2ual>uFnyEcI(;#o9TU%c
zKE2bpNKnmVvAiYEbeD^7?H=;%IeFnsm~oKiqbb#2bG(%mmT_)665pWS@ZLW+=Wa$>
zSd4+&p)=AiKSgbG`I5QY#J%bK)b}3^zsm5*FU>r&?UZOq@KyIja|zjLKZXAVMyzP@
zn)Z5PXz0P(mZTkCFKmyl``X~!=AbfPxA*n^JcG&G_luw0Df#wO)_=X|4sn;CyH<qn
z<(MQGJHKG+iC=5%Hm4ii{}Jr*dQ||+^c<G?%Ky1d9yLC?!OA4avf-ZSgTCu0WRIWG
zn_Dih?WD=42j4aG=Y2V;a(>I}R|a9V83(;YxAe?d{#S`vkX_r=x4y*c+Wr8?63v|E
z*<0>4UCx?RTCt?{`Hyv+x28TQo4a5B(aifdszm&yy;rR<s+;+N&D(s<=@ixvZoE%i
zTed$tVpbWDtn+Kx)Wm3WkA!UXo|jEmBwQCSnUku1L?S=7OkvwGVb{JN>+F0wHMJgc
zdM%Ke%w_t(<Z(x~`Pv=ZrKTs<{}6m|AzNdOtnS<w1&aNvH<tE&-SgA4f^S~5-IPn~
z5|>+Wm?h-yl~;PspRCoC|L!X9r&$@VZ`});8>Z0m=~G6*ilhI2O^!HyUgu+*gtzPB
z_C2N^J`1GFYn8wMwJzW@{~_8_KbK>^>Z+6W(_UuHtm2r!DCHA%<?!s^9}cZ7h%qhw
zt7NzSbmKj3*?s3jZ*O^W=0NcZMd@QY#*9<ycXt>pUa44lX!G4!s~aMu0vAl4eEqrW
z$@yxU8#c1FM6G#h$IWwLZuH;E$n~AJ4ELt6q)W{WZQ1#0Z{z<z4!I9QJ07VlDts@k
znx&ec=ld=@Tt~cjll#n&H4eV5%YJ=a_f>G?I=ksS28(1C<v(%xUg>rCTK>wmg!or#
zozGYNb#%D#O*E!q=gPt!ooievTX)68+-aL`?;A94k)KyKV_V5<**AyH*Tf3v39XIq
zIu^$K-b`UmjLcTE?(IpXO5L{>`OcHM;!?bG>*n0euQz@6?(S`s@U1yrX0zbdr)1}X
z?wk9|jkZ^s*c`vE-?;Z+M|tLteJ9u66`dcpm__dU-xQuymPfa~E_gI?X~NtiDKQym
zSJz4}Ig+h#HtAU3Eztujo9>kNolDtR!Tb8$$LA`o7rjyyf2(Nwo(q$h>2ch`Gt7<o
z9pl}N{8c8IKczmtSyuO^y`ky@L;raruI7~+H%@Te+!FWD=2g}&4v)H+C&})2U8gMG
z=<XBpFthSYzOM#LCWn2?ZWpeWojiFmJT@z&d?MGCUAq;x?#>25A*)&I;!HoX=4{vP
z_9@^jX82#tkhv+qOhG_ohrs$xsfoO0{vO`-B5a}-DjO^0xcU}0wq3Pc##Z6GHn-ic
z^ij)&-)(KK@hpdVyag9q{CH{q<orshi(J9hX_2jZ!oEMAe7E5hZa>&7b2%+TH}1Wn
zi_Tq}r!$^$DNT|N?ff-$@5S98&qa#-V0m+~(dhVsP3l_FE^WN33r^^*J6di~wmgmR
z&EswR7)(=nZXDrW;wf(ZZQmJB_Y+rl-kl?Ay`tr<*`o^y65StH{1M6Nbz>H`@9kKz
zxA*@u=82P1F8aUQCH=@g%;|#Q*W<Y-a^2T0Pupl{6vVk8{{D-Y6xH{9MZJ+p6`w?=
zr}kaVs&(={w&Ci9^J^qhCIsbr*vXv@`>1PKXeh477`rTUulw~se+<9xZ7cnF-#<v;
zX5R0xdEaMOT-(As@nz1B%j={5y@-2tcWIbKcv0`Anr&^SKY#bWPMi5csyzNW&zUuo
zF5TUyU%vF|FF&IySC*uj>G?JbSQz~$%C^5;{ESmv+nr&S`H5qKQ`dz3;S`);XYF=^
z`*bT?vQ++k>4Wj{^$)pjTQF%A&eguD`uz}>kn6QyPYax#7F06rE96w@;JmEM=d(-0
zR<ot$%9c*ChK2vz&OT+o7(68)FRo+S0qu2de#SmMs$rck=VZwBeg3$D*>L@FhxivM
zUls1`I^w=6Eze>8x6*?iYgbm+Oe_dG_PFoAgW!Bc>vpz(y|UsP57;_Y_NMrAzW1EE
z{HeM46UB8ezjz$Jb@G|4hT;2m{{^L)oBQ{Bl}{{T+$<{iWaiv%-Im^D)vfpKzl%)u
z2#@|4n0KQ3#&I?VmE~97-(vS|vF^KKcDO+5+UM)r7$>a0zR3B_^yHkGA3M65eC2Aw
z?%Y|tdYYt?rq&I0^Y{bpNnIT00>7$<Zk)!-q`SM5%e3Ii!;73!#Xhf0js7uDTUKBA
z)LdX*#clUs$yaf_+pO<6y(`dqnEy5J?a{06gKuX9zQ6zL1dH1g8|Ab;dycl-FJ+I8
z3B0hxMJ09nGV7jJVedP)ZF=P`9KY$$<4?bFsd~+YcXKR0OuE5ww)a!atI3~p=6*7B
z-H_A}`ynE<UE<oG(pLw5isY3x=zZF*ta{_2#x9rDCst1Sy!aJEfXv~eF%O<t@!k9J
zuBY0pDaWATrYXO6$c3wK>LM)yPAoK?6|KnS`*m*G>Qnk@f|DoCk(EgN!qB6!e1Wda
zgD1!Tt$8kZHKSJj{K|Wh6YhC+uTa(b{`wBj^Zij=M+=g*eajF3@JiPdp5Wc~IrMFh
zfzPHs*5@mKUD|%`V|miN(tROwnD?ta4KZ_=epjo(aoR#2g}4<iiM33|zvfMGIn=2v
zFy-rs7cP%>|27e9o|?L3*LI7$clZ7--;~^WuuClEj)mc-T+NG<B3C9d-3-5xVew?j
znzGX(vYt)n9W1yzZ2z6%m%r%r@7nM68ka?jKCVq@-Coi<|H!sN!}7zcLryMPp(iYu
zD%#pw?pV^d;#TgLc-1c@bFT3Gb)I^o<K0u4mbYymx2QD8oh{5fS5<v}Z$-wl%;3+t
zuk0MwR~|k8{-Jc!vMR>ttw9e(g{9@9jWs>@&3igOIJM<l@u8_DemB~-Cg>@#Ogtpc
z&^2|zHi6qL=l@=KwfKbOL`~IfRzo}Hts8ZA?2i4DyS@5jVKbNilXVf@bw8S(=08pr
z2syYjOYNEL9VJPDJn<9G2K}EN^nF}y5Nn!$d%4HyTRM5>X<IW+tPQnsd@KHC5lf|A
zltQ1S$7~0tPi|knOMl#w@Pc1st1JiOt}e41+ZLEva&Ig>ZF#S@$$XOcySiIuEafR%
z<s%OzPN-}9p1tn-$29@gmi9}-=X3EH?piQ!Yq5&WSO2=A1tRAevko~IW(S_ht~fPs
z!R>a(GbbOMxZmcZx8PDpVAx_c^8=obclTa8ymsN9a-XVKRWHs7KR#+Q{T%lNe(5f~
z3J*WSD<^9MbM|)5o3JKoR=nFKRr6TQzhBFD>pw8Rpj>sq_3-kQSuP*_`<}<!-~K9K
zY~t0`_TT-6$Em>D!yz$2eJ|QmP6x7WSIG7%kDU1Z>jkbq8|OWLo-<8g#WW9l-80WM
z{B+G!n(l0PEpz6CqG+)m8;6MQz3lW<Cc$IVe0?mkCtk9*x-tLBEG>}*vhvZ@yRtsT
zHD@VX*z}1c?X;8<;B<Z?9<t-gGOk@*^M2m^t&pG5xar_gd)~LHi$WA#;)_x_R99yu
z3x0Cg#pXU^;qeIZhOg^p=|l)JD9q?mG_UIkihJ|n{eqh%Jg#5P9y(g=)_g;!uF+@q
z?te$(HuE&k@_eRep0V20Wu2ScUEj!A$!2oD9<4Yq>rs)@`8(GRYX6)5gMZ(O<l|m7
zyxvz|H%G@T<kCBr+29euw<G-2nyF`>A9~H2efu@npXfT?=A^qz_%_|{d~o*A!UpM@
zx`uNH{)ug>FMkj{&*F1X_@v+iUY{-)H>sbtn3S|_>v~52o?TLJw)WmXZ}WNjWtkZ*
ziIKI1L5h2x9G?4Mx9$1b`dbz?CrdWyYJW-p)faql$BNh#LqqMtlPii^^-EOm>U`CI
zkji9MpeVU=Vtz^CQ>ln%<Bd0t1@nCLlukKkbzM<1vU}2t);mw9rm-nLVsUz3(ev}%
zUbFpi1?v-5A69T$yG~(-k^jHAvxk45iqYY|f2Mo25kGs^?@fPqE4m7`cL#5rR6mW|
zPuL=2dV-aVhT7HQW8d?m475|OMY6uRc=_w9=^INI|Cv%Fe0p}b4bOKkVezGo0$NYM
zNa%B=WZTrd+ig1aGNYS>^X;O_4<VO+MPAHH`oCp!%`J-;nlZ=r7&cBhYgV!8)B_F~
zALWHVCW)|~TXE6)-CPCznA-{Z9Mij&KCU`&<XDyPJCD_~mjB?N$h6P-zKVbK*C{tz
zR^Ptg7`Xat_#EF~Y*XJku9_D%>6(5_@AalVflCf6PN~>D^Jn|K&vq~N-7J`zT(w8*
z@57lkhlFPZKHpJrsO&&(%9|evhO4uFt#6x}kfE!idM;t@B&%Om?`Ng?9enI#vVYzC
z%Uk)hN_v{QU;hmi;h3k`<1E)ydau#Z$UMOG`2Xn~2R1q^$ShOv>gf+%`pKB()FhXM
z@0M+S5dYQEa!#Jyw3cQ!>r0#L6jiP=?J>UY8`sZ%=w`Rk!ma&&sp}VAGreG`b;@hy
z{+QIC9}EMxmUep^a&gRI?YifjB`^P#XN%U+FTw9DA6&9vbbB`Iu9NsCtt$$LW8^ij
zX`Hydn`aVdl<}WK%@PSh49|TQ&vs~4DR3}<`!c9r^GD9h6^9-5zv`U7!gBD~MVkvI
zQ}2~cX109Dd9wJJk%mrvCWHCEofl$ERbGGRdRiOzj^QrH!BcPAObg82%{o5cpR4il
zxFU<IysH_X$UVU+X-3=G_1=CCGnM)D#d4xDkNELy*{@3`rUb3pTetDz^WXO#n1-Hi
zPuZ<-<xC%E-=;n{lk`3RZ;DRUYU57YruKO8OpYzr{@z*~9}_a;HKWKK2hC?2cl9<`
z8YHb*!cl!?rgQmYg<Z25!gkJ>bT_ExheFw_OeXuRuoqg_&)f*!pUSg(x;V#_O`co(
zG#>q#dH0g_uPJW7Ux)GvU%0bfQDael^n)dWf1HlWzi_sF*07@aW8Q=9zO&hPY*K65
zJ8#;t#t@UJhS_DSj^+HTt#jMBI-K{@WJ@WB#>mUR7j^ucbg$=WIGdmNYNs8Jo(Z)c
zoh)beKj?{IikUy#(_G<KnmHp&rf1o;9}){vZm(S)#lBfmN`KPYg55WoHA5yhc)CxO
zYKmPmx%P1Ei>Z5piWA;t&PtZt|FPglZtx!_qfm7%!EZ|!Wmn9;FDAd|44;>ML1f#k
z9R`{#f=yoK^Q@hDj|*z^#M};XO;4`tVLK-}W&VxzSC;%*8@GIh$1W%PwQu&#-*miw
z#jM!#9YMu2p4wh6t4t`}bn=(Bjgimh-POtAv)=ys8#ht>*zKv}r%&YB&7Ar0^ek`5
zx1WPfoa*AAGB2Lr^~Y+?{_L`5q0W-dQ_ffKmX~qbY5SV3OE_fHeu+gQ?a-}9JC<=S
z3klfm|DJ_6p^x`V-1f`oa)nQIE%Zq4nQ%yNx%%7XcSYqMiTNFKdg1f)zLa9!7L$fF
z+XbIj$>x_ov-Mq{zx~Ls_6*U=kBU!UT@k%IvmpB3r+25n9_o7=arYuqVYqK$OL?r{
z^3|#zw@qjYm@CqGgYV21O*v+XKb3)XpN#yuCfrovxg3|M&Rz6t-Hn1d|Jh^=*K^H^
zo8@;~`NyMLx2>wi9V|;Sj$B*vgE_@N)aH#+LV=a!BiF85t~;*&6VurdVBxfW#z%vV
z>)LdRS(A44FiLj(SkZRl#K+*}TlRi>%sZ=!vG>;E#)pS3AHEc+bhId#Qn6ueT+jK!
zs_NB!Jh_HZ27=S>T0CU_%-;8L<FpwXuWrsumNq^hu_3i8B8a#1Rp|0bcZ9d;c)p#@
z;qPQxDf-HA!7b(-cI}xtYaZ#RJ-^$)z))CIU9{tltXbsT=^m=vsywxLx9F91OLn_A
z>Xqj^rLr8C{-Dg#blJp9`tjctQVttBW(1#JTPXiIkT+?1-Q91Ae9aS9J}Tk8p3wX$
z^nK3N%E*uRg^q}GamB3J>G=Ib^ed%gS+NrjHn3Dy&ffcJY3Xm1Yrh4I^4}?6{gAX=
zs$t@sx85w*_WjGR-|oowe4AaJf9HXQ^zBW5uG$Ct_UDThOsjb~Q*lm*Eu+v@)~Wd#
zjAvi^#tEOAWGwZ#YmQ3HzFDuYtkFKSW){=y(@T~$b1E-QpEU7B#kQsAE~o4cT0Z-f
zOkIBbw3op@eOr9XwrB7EXswaQT7G^**xOsZyI8J2KKW7i+N`+$c8(2;w@aKT^?g~@
zG*g%%a2?C6TTVRz60ISN(zki;cUY+C9KUGah9wjB$Y}`tXk&|CnJ0B^E6==+lPY&v
z0umUW`e@(S-*apGsmXi+1<{XFU4QIt*lrMLJ<BF?iQnOQlTHNiD6UwTeA!7m#@#n!
zwTLnMWkGARGsa&{FZQyA-?_Q1&*DmNUr^4EMZZ(l`}fcM#U;D7qxi_W&Ytc9jSOoW
z={0I=m##i$GoMpgzg6MQ8odQOUwvwFdiH(0O5JaTY~u|Aj!H(s@7k09DLEZ9$oVB3
zKI6U8zaVFUfJ?!glJgr9D;1)Cc<w)$zuoltpMH~wKNo^j`sQ5icd=Q!%VU?)j8~P0
z3N@F$^-S{POi29td}Y)J1@5Jv7kkRxNS?dKe7W-On;9K;ua9;KcTMv(n;&2y*;9Wt
zO-_HU_GW=!OVj!-lIIC9y<U31+GW~<e@xT&PpR0m)?oc5DN|$VHE9<;yl%~E>(1TX
z_w^#*W&aBclo=J?XV)zGJ#!iVwF=w0r?@uS7*+ePuQ1wrao>Sg%^MG~iru(o=qRl{
z$I$Ne$My@1M=r0p@>l75y14oSwvz@7r?;|gJonf9(l49LTbZU4UKsl;L|y25_;{1@
zZiQw4^p-vJUUQ}W{<_|*#;g1@Q*OwZUA<AAIeqD)9m(PcVxK=KaGpN#R`K~g)Aswm
zi@hm+aL;6hh^6!WU#zcm+i2ucH`{Dt<>!^`rLkNSioPgDZ#V7m+qnB&ov;@Ft5QGh
zw){fXW>K+O4?~4_)GUxYDpoqd;nA^)7w7C)r5NHf!}?r;=B!Nu_p00&bK@#c&;9bN
zrrYgPr#{28i7pLSW^Ax%u8}#(8h3&#H{zpN;a>6Tc?Z6KnEj`zw&26rwxbizPWdZ)
z<58x8V#poO3zEKHC(k$aKC?nH(CNqTD~5f4<}C8f@vOfoI{hizA%mG`CqCQA@;;=>
ztUvBUfLZu&vCwG+Dyyz5N65{(`oHGP-;MD;7or-}y{E0b_xDxxhw?aW8*dfqZzo<y
zU(a6V(sSTP!$aOj+EL8!w;q-1o;OkObXvG-Yxlb6s!Ugl6^q_{Gdw(Pp8SbpA9%R;
zxSlx?=YQ<e;gH8qE_E<2s-LJZJBhz+t=GYo=_{q&|4*s=9L0TFq+IUZiqj05c55VJ
zmX|(Mi(7S9$?WQpX|Jvu+<Ua8_A-0mQtvoH+XK2WE<q1J1<y9Va{O@q*0vqnI<-Rt
z=B_kkSzQp_A0EXX<#4R!<Qj9aucw3cZ~b2}<KXwy332DtuJYyH(A~DvD&FnZcHg7j
zJ{O$U&HKYMzmm10N^(#AocFrR<exU=2(=gNcyYn*&Z{L8trh-+UecL2*G5r7X-}Ql
zZLy|bIRSdEy4N@4I<<9eSp2m~nZxb-Qqf!IzW*y<DZ*`9V#|4A^PBs{ftUQfkF<U4
zap%qI&#_h77Ji_t&Tp!XwF1NQh9=)@I}9$%S(v|=Bd+<^<+Z@;h<)nP2c-^%%ucAf
z{lR3?PO(SXbLM0TFAXc=eyH-}yh%$%*ZUtUwwq7B`Ech};qospE}QkQxE*?)_o4Tj
zkOfcX)wxy7<V`!Xh-pT8p<8Fhe7(wtPA@LTL~mYHlk3Q__wZ%I%_j_<{{FE~=sxLp
zC-~+Mt*s~LsA#o|XZ_i(+h%q3Z|t)ot!tay-cGG%yU?|&vuypfjT`?<2u}<tY%=NE
zct2x%V-t7xW#y|nU$#6<pTjbx+2`^8i4S+`{7LngCgm4><%c74_OYm>IX+iXE;DCb
zdAld!&E68ev;(iYLZ0jmbV+V~CjI~QjjN}7OYI}Se&g?4c5sXBfA6yAfs=X~*Cn<n
zNAj%NaCgS9GPwu4o<05cgwwcbjspKYms1+t9H!yDZ{N2SE$Dl+mG67A>do)5-E(s^
z6I2~yCd^wfcV9`I+J4mnhMi6R>T-MkWG+gX6mNTN?m~tNVNE7Ml?9d^qUS8wHiT|y
z+w%EPdFug*C)yf&Rw;j8<aWiwVZjUSh>Vm&Nm`SIULCHuzopCKh}`z}@3$(iYQDV7
z%kjG7%u~Jei?_ZBxETL!G-kc_@`<<p-B9U<4bh*pTLhXUEH>$zO>!!z{&W4U?stI|
zx!ry5H%47?Vn4t7EB}OZ2R2%K%bp*-Bk;6(>Dd`YxykuC_j~qi{k)s+`>72tg=OAe
z6?wMHRnhrJbn!W>MVVpmzFAIr!#M5t`PH3&q~y<}EP9c7dgVmNz?`i?kDi}TwK({h
zW5u@8*{XqIZwjAP{}$zJ(OQr;art3?o>j9MIbJQiq@FBv;j$&`|IdXd{$JTU|7pZE
zgGv8Q+_>NTV^^3U@$>T?{iN^7TVg8tU%BoMuZuevA^2zZyI<lq*F<!kuKfGpR~8V#
zs<b~PtlK40(wP5+<7w}kpEhJ|)p>K~uEwNQ#~7whoZ_F9Hig-jPwm>3>=|}hQ41XM
zR!ucbie0vB|IwE`AFUtm*uoKRvQ$Uz{M5|sCF$oL=P=3ouZ`B8s`6*<)P{ZTlT|r6
zd;jVF{L<qlcg@O~-BnX=_GFEiG|T(j0)G5^F8ZRc@9%-G)_|5Z1r@^IW;8q2Zq0kx
zpJxBvYxQ);?{eqeekR!5(a*Tw*kD)qU0ZF_`P{~%Pi9BV7xXH=y8Y+9**AB0=144D
zX~N&47_WS}nN7PrT`hjnqM6!@&PcONc~^cbnJ>5P#!D5S!}}a`{ML&7IoQJ#@b%8d
zjRjg0)^=THDe5b-n>BssR*y`9DL?O?dCZh>{jtj)yIHH}em2|pS^iLz;W8;(VI_6z
zHA!)2B;G$L*c;oX5&w4TM82#A?)o!78gXw4u@z-sbF$oaZWOOam}s%D73Wf=j{<yh
z)9y7KZe6}Eg>|mlvxki>+XCCpd#%;KA=9}ai*@ll*6EpxyY79{NfzPxyfFP<VqBoz
zy7PZLAFR}xW}cYAe@O6AldC`TDc$EqIqgoo1y*a<|NL~;NL=1tIr3e>8O@2hCYzHi
zM4fj(UeDFmcEa<Z!_*~V$2}%~&E=Er=xv{9wdvWJGf#7^Jo1&p!g(+9Sf*<{Dwr53
z$jV&i>3qyq>GE4%|M#79e<v0m>k{bBjGo@rD=^Jy_Uqsis@+ppiSK=JW6i#Aj9&Be
z*nJX97uX4}yeg{txgxLY-Yu4h=W3j{<AtWORBYXSZ)^NHu4inEHF||!A9<3bJL8J&
z<WHV^S8g~^lX~2&{~?3Ok0V8YSeBdaDr>&?qu9~=-?S}yL1!Ln&U~00{&@R?8~ctd
zm$>{u_wbSzvDY4-epICtyM|%zY5PK7F_t<16An(VU4DMbK2;}+J<hkHq}O;a{pG!I
z^NC&F_k}KRX4T|e%G2b-Q5ws0cZtYz*A<R?-W<tdFKJtmk>-%!_2r}WI|-vEuG2+J
zyFN=7`P<*Rbj4rhG1n|jrt<3Q9gLQnl;6HJG00q-rPZ^HKUu!{e3g*M{<}|0o`@?5
z`#pBrwM8ra)~1bjl}xW!+g^Klr<(79W{=8;YMookVj9gKeqQM1(4SlFSYyB8v}br0
zdu_V2;pI;g((a2-ZIYaI=9CK8TIILe4}M(bUHk4+vhdlhmREE#wA;$3R`y$$Xq*k5
zzdbNNu;Rvw$zNXf2Y=9;ez}4B?gyjj<FktcgOddGbocwWJZ|vH*(d)ifKf=`X^TVb
zJBx*qrW|5T4vQz5Z076eT9&&{`NmiI!_CQV#|rYS)@U&7H)M~l4d}bV!I|84`|LL%
zpN!d#6Rhh$P7$8X-SXk|=Ds6FGoP#xNiaw#v2bgge9ob5-+Q;^o;-T9yq~z`v48S1
zJf4)_Y%0KZT36?`(%t{7e}4b{$ot9L&@+=Jel6O(=i}APKR$N9!lMgrbUM8}n^v;G
zvqFDzQj10M-Y(n7uw7~=r_8*>8o;z$gn#Zehv4R`4hIUhi)0@65;ZY=m~fHf%k}OR
z%NZ?J34P_QcquJ<VtdR~qx2p3dJi@weHG}wD^oD}N9*QX>%C_m_<n9uoUPWrBe41R
zYTobCKW4JN-Shd@<R$!7i_Cvr*3aJfYvGiYy=%A={;U3JoA&6HU}>yJ`K`;o6N(;P
z;=c3q`AgSCo1^>*3*P@&wnx2Jug3Xu^P>KrBHQ0@O<J?-{X7$w&tK1Z&sp*LTpW8q
z=HWa23a>xSG5+e$BvF$4-f%*;QdW-l{<K}@`?#(d986wYqFkF?#U9|N)a~SzJ<0gf
zwBP5FXFt@KWMa2%(hjxCnJj?{bLR5fF!nplS3j~oE3I74Q6zZV#Z4C@zg{ug#p>gu
zR2KcFnDei|@{>Upw|dnr)c%$HG~W7PPqccZ<CaASZ^!NV@A+`E=%n*17agqYg+E=H
zoG$W^aqq@D<9WN@d}?0*ZbF7>_Q|C?C%u_){YOS}VbzB_zG{=w+OxPh**Mc3HvMwx
z`mEx-zMqT9%RS87!>H`Qx^3cf%Cr|xeE&5kkIm_Z^4fltDVH*x%x)&kz1eXpJnUMC
z;X2iO7v?`)|90WcoWphY8CP?Q%@`b}f9)z^P0*FR;%xhnp>B_j#M)8|+mjvVcgZ;Y
zvUsy0mT$@Xp9Z?Y-Cp(2yi@;GU)p9ocaCt3y5zLyuNVLOchvoH$As(W7#vP3e3|X(
zx>PcJitlTl_>7iWty!LzmOj|=?#>ebw%TCHd*AQ9vYa?S|BlAKf7d!9gFd=Xm}j-|
z%&KksP6w-Z{5c-5Wx0KoQi<|k{cD>yuIY7tUfN$(JHJ#l@qd5I;}glryT!J3w5wal
zu1TEtCdPmH%-cf0=XpnbIoO@P?AV#LoBw{;wSH>g%6)5+D|6Q#(UGZ7Wccu`cE9qS
z^FI$iKXsHv^!|p;=fAmktH}9(%oBe(hox~&(Ttwl!#Ay-2Tv_}D|N@Sv+vNN2Zmcs
z?zJWD|1B}kYx(?rZt`Dt^v3Skx+;%JV|Ck&?-!&e>tv*F+51B*Wx?jP>)U@{w{_XR
zd|~2|RkM}eM=_e&MK9TOMMubsbLzhXwz<nWc05@<Z{Ft(%{IM@!qc|f&q!66@aNQn
zM`j6{`z9}V@;`6cq4WHn4n6&kOk-!>Iw?DUdyjI=mUW?ZFE{>tHPJ(Z+bU$Xa!LGL
z5iQo8(|L{EeHDYR>P|n>zv)Zpp8xV$FDKqOl0TnY*k|LvpgMu($V&<0=KakUHd#*5
z6Ggwi>&RE<<f-|S-q!fL@+N=(UZGVRGvZH#m!1unzxRc4%EJvuwra)YaBhuL(qCBe
zf9ouft{6L)$G+kI-F3gyCcF)-y&xA`-ThI0nb{&YZa?`;g*DeMs63n}FeCh8A>U1f
zU1#&&K0L#D!}!|rp39}rLwB#b=D;A=cU3-U{->Nu_AUDkvu4$sP52VJ)uEU7#=iTT
zzxjIEb?#(jxp8oQf$-WblZDG?HUI1snYhfQ!SY?^+gZDIHTYjPpW+}|eD_=?^J;OH
zqw|cpraxU@7tYmuNLP(j;f?W>Kl^|A_ihw-h<lUCbm$?2bKRW1v%fAmVc(LisdiUu
z<<7cY>%N`fS$@vkH`ygkzu}@5*CSUm?ur{eB3C=x)AiQqo9y|VeR&FFT9f|@>pj<`
z;}ylf{Yp8h?Cbe`#)608>pPBSvOC#6jQFd3zo<p|=f3s{B~`DUKb`N@d-6fxb?>X`
z*Csr_C~mG(vPs(a^Rh+l0sHeB=I&Pg!?5T@&H9gQ9p4N0KiYr%aPb2H7N>#<7yG9i
zpSUWb=h3ajTOLi--fv&2`8jPF=Y&G<&XbF{yZ1Gk9yjHc_e-@&=lx^+VwYfGp9S+h
z_4BW9y#MCF9O_)+dgQ_DsHXRO&Aw)F{6ACi>*w+NyA*T(@<eWLbzRLDq_Xd`K>u4w
z>tv4)|Bjsw5z{Cs35pTfHh=m>rl=o(CV%-9@@I>c(iZt9yX{5QTjwS2TOc!i(xm>2
zwzhNPQZrU|`YkzX6mg>F>ur9Owl)7f`Ip%q`hTsCeYLmZ>sG5{4OWMPj_I*pZ*i0I
zOiM2gwc&Wb-g<|Wx2tnp)`^o3>cr2?+2$Byq3XFZ^~R}%k=dvBFrE1MH0Jz-<>#vo
z?Z{p9MA1d|!6vpBXGG8c@fNLi>#Q*kKXG4zLE1j$vPH44?}0@@3q_KadEBxvidfcG
zyUldPKXujce4(ULgD#0<dP*y6C7e$)&-}DHb%s&R`x{$jOBC*3Ol4@@v-_dfBo(bG
zWfQ6_9txk_WN3ZmsVNt8hC`O(L)NKDMn`3*?VWA2U!8Y0pRCx=i^Z#-O?<(g7Lg$@
z9V{`eWRKm`gCz~0e?51az|kvX8y?qK{BqgZ%(5vz;-x0cIw{HApI&wK;IRO<0;7|+
zx5^gx*nKrMu8DqT9vH`dI^(#rHdhB<%d@__zs)mP`wuh;@I34EmrwLOUX@XsE-&bC
zpZ8e!$tzn6A8=eW%wHt)Y(wtNSNm5RF0uAor0P)aH*4}lTjoMD#e4JJb?l?K?LRWT
z|1rJn#)8H7*rQXjmo5J%(s+4a{V6r;o5?4SCVLra$ySPeR9Jm?s^%xNlbiF8eGC+5
zVHXluud{oLc4RDn&&E*eZQCxq-`yU_{Qu!uHxtEGr{`{6YW?MW((SKot5P&evzV&*
zxi3CEDLuoxG9#ekkQvXs*N^A@{~}bqiQ8_q692wS^G?0obt1&SBg*pswoa4Mi0^B!
z@9-9#ab-!u^jBhbd$XGitFAhKGPJHK)v>*<d|WJ>by{RZ)(0If^?>-Ty6!Fy&MO7f
zNthWGHCNoV54gE{1qWlz_Y96jY>yY+z7mlC-t*KljVIGu<RVPA@@Co_Upck$CI9PL
zJ0E`+TvfX4P&ChjHOhG&CtdC|yKDEn-CA{{CvvUYVVw#C{-_%=YF>{zKEC`GwdE(H
zsKeiv=X5RI9oL>Y{``^cui9RF?jHgx4tECcdv3G){2s?&L8rfS2)gAkf3`7QxOi6D
z{(!mM`ny*v<@%ogAU7{Y$5Ugm$W;f2?(<7O&)(q0%qlu7N^WkZ?p{}~rl$uO=H;7M
zKW2XaF6f-nl)GQ}btCkW4{Uo|EGl)_JWOr1S7^H3(o1eL@A=wAPfc}IHmoT9Q1e2x
z>_wUI{zW$5SFhb3wd`5e4(%41mS5%WH#-vAnd|pkEHrMtyVZ5}ajut*%qg<#AIBM*
zS8~7W{UCAS`~`0JXC@D?NdNsmcl9s%+ml`_->|#Cd&xIfpPEJA4(wqIQGNRJ(k-Wg
zWyv!S=-VFhn(_NmS9Hhv!oOEL{BG4Ki>w#Bbor@9>$OAt6@G;o6_txR3nzViS-1Jk
zJPw{8Vzu(SlA?s!kL(Lu;%d>Q;br<fR&Cy*mg&DTtT!)vy60UXgTQp78yh;guAA=O
zn|0FX&+?Z~67PklNzOc$w%`d9Ghd=)RpNzMv2${=o333HDQmmK#A>~{W_M}J*8YM&
zk~Kfycec83)~?j`p7`1Pg5REMDem&-zIzRExhb}4536G*d^J&x&{J6O-FRzjXGWA@
zZRCfLpYn@(kH473ti8{Fc~NEH?v6-~Uu7cyGakG+HQPw@=B=%KtXHeNmfH!-b{eJ~
ziecirmoxYBqMi!}eJ)PFvys)jFZIFg58<_Yn~jt^OB#7v;#I5u$*0}n@7y<qVNvGl
z9F>#HR-S%6)A&@Nq1I|^>nS%{>^s!jC)v3_6Wx2pF}8Zm9KVW7o33ei)#R2kuKT*m
z>QC01-!qQ9uIW9X8MwaWMe_8}w&ej<I~IL8&$M&btWBjm*RH$cx`BDR>*pPHFL~<M
zc5?n(6<A>w`ubAHDn5ag0WJFJ0q+0zvzoEls7Wt=!}5NAn?dfI^!ctuJNLx%r5IeC
zB)ew&{XEfz(4c<r=X%E*`xF~&8!mEhIC3&ghvC$HkvY3JS)AUrf@Nlhz|E31UcN7%
zOFlPSc1ZX_OvlMm=J~uuFRjbH-E^*Pk=gZi>7O*0lto+E{Pr1F{pr6hCR$V7dD7#^
z^?7gEL+yGaXGFh=E;8n^pPnpq_k4T%)NdzMbm}<&W(LN5&AM3a()E7YpH>rx>3r-*
z9#;MQf9l$zFpgUsHzoc0{hVEU^Y#7Z6t2ua9p)&<JVhWa{py7cEA?*W_WIrURlMN6
zmXLvbcBb&WW-GxC!%3Cqz7<_l(yE2u-C45ooH?Iw#Xi}c<y=1ttFJZdW^U8wU2AM1
z#CTf3?#k6SVaInJK9jUe=K86lyk@hn=4|_=A5>eK{pjwd3FjDhYU|$p|N3mq3hf<=
z`PsFGw`UkE4>OcGv|e~p&(r08KQ_Kk{i8Q|Go!EG{=JOHjpH8`B)kp3J4-<9$cA3a
z_yY5k_qNe|+d7(sIhg~c11FT|TfgePe=&CUn=MjRO3Fpqrwe?q>D#J`=&jacKd07Z
z=NHK|ZTIBRE!u&)3*;U<DHNsVKMY+X_%-FtF0UA|lwaEaGUapJ%+5^RQ!ZC=_I-?P
zhqvjj{DLiP7T+Iy{b?95-S6y#>0gfj?R&aV=HQuU+q}-*D0?<}t9p+9$(z%68^k;A
z{gh%=-}P1CZlzcckGR?9*?&2<xHJ{V_^f^>yhztT^|5@g<4TF^mS*quo2T{n{ePjA
z5?Hu*%J<!8g1%Q+3!Tw&U9Y{oLG*D*SkdYHM|rasZd)_+<>^}vE&ul=%Virpcyh*{
z=|je@Wz&ARwhH^554xuI>z%iIZ257{vmM8nZ!_*Net(G9#L({odjtPpcjJ2hAMz(d
zE7EqIE_y20G-sM|YV9ho|E|vV7CXvCeYX29yte5qgHdnWqFo-F0?wBgaBjFZHAtq}
z>H5C~8cPl*uzlst$YSWe*K}Q$yYS~^tFJ~AvSJgL3N}tp(T^<psyu&nu#mU$wA!{$
zapFSztac||bL`PpoVlwk;FxU0#(eQ-dRr&7Eisa`dDbDt@ltcr#fui7)-Rl5v-%3J
zw@Uu0Wqal<J<K@QiBDgk)WrJduSp9(Tz5#8-fPb)sbBZYDUW}$!o*E;5*8Now9J20
zv?<tZVZMIup6x4SpPpo$F}<MULFQ)Rq!zo6XIt2(UwsulcTWF@2FJOpu9!NQFz?ac
zYw&EhnauPTODr4j-L1{)c_`EJitl)u{%6Cltv<V6+r^!qzB@%b`11!(??Y|5E~lC`
zUnHmT7j`MlpWXQDm-La4{maixT=wvb&mQOF#p)bimt~9oU~ukT?QD@!*P!xoTE(%B
zK#?w$-3K4$*@ym*xhZuf*zc+M!B+;aRp!0;@5bHrXjR>g=f6S)A0O<?cu}<LsoZzV
zH2d}IjI>yL|269NC2^Q7UG#s}adYX|UGI;mt&<dduh+2tZRvp{PwKvLrZ~$^cQ4eM
zI;(qg!2Zq2<%v8d)AudaoMIa&QnB<y!oiHy_RWE3*t2fiO6K0t$e(JgQ<3aD<8c3_
z67}txr9Ly(ru;nmz4Wz}k{jQ?38rDid*lweckY!g+L7;Yyqs_I`Pde<w-X*c>S&I0
zo1|8Im_>h~n!)2GA68zxZ|9I3m>O1TDELYD_vgRge>X~qWvyFjH%(PC|BAm%;ni8j
z>T6a`Ojy4wt1vy<W#zK43svD)3)iOaV)goB{)B&f<)RBS1$QX8zB}OA&nIEmw^H!J
zWrHKNkJkTXll<){x?D?ZYA9o)%BdAiChzWF2~aw!^89P?y*YBaMqHEc&$81syJMCu
z>@7S|>+xxK(efi=0->6zT$Rsw_*I|0xahsR{go1naFp6n|4jySpH28s_al&fX?*}g
zgY3R9Zc1~e<nxKMX>9y_cEhSm7lm&+GFwcHd>arK*brkoQF-UqXOA@|?foM3%F1l(
zkH2jj5)b8TS;cqE(q<KR+$J<tXM(uyi~nD(M69CBdJQ<;L?0elbvcAl+{obC+03=_
zx=VY#f)DopeKxVVLUu)rh0<Q59sK-8`OluaNnM*}@FPx9&+XOea-+P-Hphbg2HmSE
zYcR^#p<z~^V_W&vE9|pTjgg$6Wb>O#Jw@TU3!k=kyce!z-p%@bV#gBKX_KoNqF%)8
zN@`SIEc@%3{k*U%=QR&$y(u(T2yNW;Q^3<8=8E_4i~{lH;frK1tFbTDxU*B|y~y%6
z(%WU_tU7w)8!fUvWSnGmVCI?@@#9CC%hIC!cV&}r_rGQQ%zmWSpi#GL(}GO<KU=?v
z{^A#1Wg9VPrR9{G+Geh0-%fTf;5sX`I!uOVPoVG3x383W)n;=~INluXF>jTlvBX;e
zgF~~kPkfp)ui)XV%Xv~e+m|m)kzZ@yW|-)tx9Hs;m(pW1dh>6FGF=h1ommrGDt1Xl
z`sUGwf{LW9o~BJ)A0(AFyxi@;TXk0XT+3S{|4O@0XPKV41!WjiPCIk5-utA&fuQb=
zV|+YIdX`-H`PQ^v%s9rQ=wVUR6^>@h7o7$tjX6SoygO0!<YQy{&MC|G)jw@3b8B{P
zul5k|Hw(DqDI^r}a`U^8(v4EutZ_!S4~4DdeZ^b5K`ph<>)N{6X_4ArE($H}diUwd
z+Nn>!o^17=-yiaI-o4BJEN1o0+`tfDEf+gC`(BrYz?H3cOq847TdpeeJEFKoCHmZn
zkfzx?n3ilgzGauPX8q$+UpC!IdGST%ve}8lO4?gq|5~$hw&BE8=@a=@Uly~MShaxV
zJ9FF8(3Z)1XFfZfSlAeKz^0#Jw&jF9ImfJS?b$MgHHW2h*8Yz=dnPy>xV!n;KbN50
zt70CsTu6Azk#c;e*5z7HruPkstqnIKR`ox(*S?PZQcDw4gRpJ7A3Emvp3#WXsaSZQ
zV|GQ_mWaBl{sZrC1@@lr_?eS`=*fkJ+ka09jXU{U;pN|ur@kM377N<cM=YMQl0Q{r
zL6Vo)I|u7EGWVxuTx!shTHO0B!6rmEJngbZa6vH><KONBjz1(rH@doCychU$<-Odz
z8@-BhpEu<vYv+6lJ3Ccc!%tU7RK6v|du4vF*8a7VI5sZY@jrRXsUzANZ=X#t$XDMj
zcChVIoXf<C!UntYOE#pR`g-oHpPbB^O4VnperL~md{kB}eSS{}&#SHf{@(71bIW@n
zvOUN83xCLd9`EX_if5K^Y(4Nl+RjF!HiBh`lVh0Ump?Z3kzZ<*{oh)Z#mkER*mK7D
z;9K*UE60`nuJ2j<`)=%uP4}Hx#Xiq{Bxb+l{f6M!o&R5*xA?G@pX=!_%g*daIy^xo
z+rR!O?OI{*mgz+6*QeZ)#hq<eu7*wLvR0n5F?r6r{70MiE39tZEN6WAjev^ZpD*fV
zpYQiE98%`^a9+5?n8R*n^G5Lrm7g6iztBsXI^)?i;qB+Wn{GcW_AFQZym;1;wX*-W
z|9%?#ylvg88(+S~ZIs#SSm|}#e2;O3lh@0q+-rqxo4@I&R~%3af9j>BbHb``Lg$7J
zUDozTuJa%K{bbvzKX=s4*FSYw<#b<8vBFX8;QM8JOWNieihrLb9{DI_qL8P_?=uxw
zs^zoRZuxcegOH&BJFnWISQ`$De-CO~FW!B6Dc{ChZwbQ%>z_u`tX6f~#P-R*O=<qg
zJ3Z?BL6@kT?ngR2F68sx64|m+<wT_uqoM=X=c=gIu1`8U=0vWob?I!?VE^5)dWI#7
zL__nt{&hZ0d`jLm?5PLEjEdGvf2rb?KWFlNX^D!wc-VHaV|~lIgr({?dw-9O)$O@%
zI@w^&hyDj^Bd*o$QkC=U)9T7A`mxmHL2K$?E?chl%StA59#wp5id!x_S$O}V?!N+?
zE^dAO?D_Wq?I*L=PWJd{r`11c=9H(acRzLK$dP^dn>$T-)xP}M-{$=N$TEL&uJ#wc
z=-$VC%XLl_x-$6bS{lsztA6U@j}NPSSTrObygt+T^85eW;jUfRPfTNwb9lHvK*i17
z)|d6w!i^StH&<;AJCmY5=|$e`s|{R#-Z_fIcidm2JLj>*%ft2G&rEZ>ePI0$_RZ&F
zxb>dbgf5!gXKT^pvOFO=Y)#!8#UA$+3mp#CbL~HPE`QllEvcW@yKdRD&j@$)SN!{Q
zQ-DmbkuB%Tn}zqDDV?(`y3odEdA94|K|$5?`hT2OiYVnsf6|s==sBk*b<FVmvTK}4
zyKme73jP(y=l5Vq{+H`kg3;0TLH(KgWgZ&37#=&%#K`nSB&JFA^3A7rCoi_wz9Am)
zeo5a?nb^PSUe*h>I5Mrf^I!8?MgR8F^_s@$GJjfzzIWg8bEQfb{Fm_t9KW=1dg)W{
z_jAr%@jX$Ir|1{jVXn@mbVudl?d)k83(G4W*-Tq<;nAFeo9sQ4-5s)94Qf`J{helf
z{IPg&bVbQL#YqLydf8^1-v@@KiGC|;cv#2AeJ#D5!G^))^z(e>@b#WTA8bs_*Ebw|
zA@5SQ%-Lnn+8rAEwx|VK{XNko|1Q##%V7N}*4Ozrk4T>jzrSwN+n4W`W~>kK;OP{#
zDOa`Q_KzxvVfx*a%&`8Ckbi1SZT`Z=s{$=Vw$5Z&|NnaNX`PhmE~YZ<-#06Javzwa
zDeImpSf6;|!*qw98tu0%%XJdZo10FJI4-+6e&dASy;)8xY(*9Nm6)n0J{IKt#;E^u
z`X{@$^QKNsbNd%uxZ|94+xugRWxE+xi2kZLd3#3Wo{M1#fvj5umaTYKVSc@GZqDp~
z%O$ecb9zcWb)2KRH}Q&q?FD<6V<+c2M1Sm&)CjTXsti*1e0neRXUw%tH4M(TV`u(3
znwcuF({tCCzR4=<>K1!X-Xkc&=Mc!gM2sn@%452m$jQBLc(gb#*&ORS+VU~M_uH12
ziqSJZb(hWaXFbOg{OqmA{dL!`&Sy}~TV&s7v{QV=EaOvkx0E8Qzxm58(o4{N5_%z?
zVTon^j;Vr0B8Jyq9bjIcE3Q9f9*0m(EyLMQ8@0=Je9~oEygY50O=iJT+uO73gxj7U
z2yOW%e6i~0r*=Klx28Ff|K97|h!<(uw_|$w8?K3OVxKJxW&X4KdURdw<jmwt*ZZ~Z
zH*MS*Z0e);#AiOA^!KHQ3@7|py>ijS9_5L)(J#0JWw=*LXPU^A_!&RFQnvA`Xv)v}
zUD3Rknt1<ni81Re;IlSZx+iEwA~zrFk>m1tiHltYEN`uR!tmtZobUNp|6Yy@aq2m9
zlK;y^ode%Zmi=#DDJ$Nk$@W5XLwM!sUlSeGl$<9B^1J^1wxz#OuH5eIy3oWrk>brK
zmpY0ltTF4azqu=PkBNiKC0(8etCcN|RxEUmIUlxP;*j_2-&cO$sLy0Q=g?i#{4C@}
zz*hF`Pt7Z1`k%G^cb`9fy4&Uz`?mWFy%Ut}SgBaDa+^M5;>9Vu?K59<`z0T~VYMOO
zZ}GS2%x|aIuio=%i2i=^I?of=8&Az8J~x=#p3wWf<U9K*KdH_y1%+LI->;FM?02{9
zS88+dj_mHkd~s3@!KPyGURNIZA0NRMH0fs6Zt)cxcG>l=kEv%WEtbs)+ITCxue9rW
z{B|9q=?1ZaEBuWb??rX~*y#PZc#HD!)J^<ZSD&BV(U`hMOZG71y!5j__P_RY-Fn;j
z$>9f2l7tuP71*v`CUN$h<&<ZJN%I0OrOe^Ie>_iRzruv)-`3u3u~}~S&qM7=z;|n=
zi<-t(`xy+4>++`UaSWX~k6Xt+?3&?Iv$cyBx8MJ7Vg4Zgl{Bkk?XuZdTb?&OvW|Z7
z*>CMt4&MtU_FD=j>|efi@qz6U>2tqat7ca^`|a(2zPJ4eSH;5m`A(iHTzNrm<===&
z>#Jgu(+ono`CYzjiGRh^aL!AWD={!{+Sh{mn&YC!0%NwW*ZS{sef{e*Tdx~loxj0!
zNB4<Y`H9(e`=^~r?o!Bzxb~N+Z{5NYk+AY>Az9&!Ev@%MU%4G{OXRC&IDF=&)8(~H
zxid7(XZ5`ga5*R$ArO1|=QZX>j!hR+pPxx85|s#)-oM&Ve)+o{F4^zH!mhNw3|i@G
z{QRvCPgw=?tS@Z=360ik<*d)L=1=N8s<2d4NBktyZO4!K5(%Gz4`nlz_B>BGuw7kp
zfw}G-?lS^$^OjG2lha#qB*^Flm(B*I*60gL+C2$PZ{>`&f_|(HxN~e*`RRQ&hZS$%
zko)0n`&0JiC57;IwgoaRPvTpoSG@9@aQ2mAUvuAsP|t_o|DD(%dXH1Bu0wxKvBLiu
z=}PkiuS{l=?43SYXs70roNvrqjf7%iE>$1<d+<o+oF!WsZG^nq=iF>KS2umnl7t<<
zCSLj8_<XB$-FcZ?AzNj2oEGIw3yQdLNc3xq_~l*sAs5Y!Cdw7~Yxi}A%d5^?f3ocS
z*DsT@7SwDDzbL!^>8TAL^EerA?|QT*R=>q0<l4HF3q5nQ88&y!5vudqS`$0nR9uv6
zb#B;cCtt0J?{B57UXaU?&aq#$dx46&8((s#e;(uSfa%X<-hBOMq?_cp%=vMU%(X{<
z+U6ZQI?bg+`R$9+vr(o(+;eg#z5Mx8^Z6FPg<HA!q_RHz`SkTSQ>N7u-bB}^yIj-S
zyP4Czd41b)@09xD<Xd+qWE?xJH&tlo%C||X@_V*Fz7@+FwE2S6`)f08cb<0TFx`+_
zD151&;bz|7SDh>0&)Fcl^wn;&gR<N6I#pM4Xfd4#i%`-RUG`mkBX`Spiw@D6#oHP#
z%(;8$U*ML>&XW9_I@!PQZ26q`U+ppz^I4y})0Qj#&kUQuE0J+Mq;OLETdv}12l=K>
z32Rjm+b_O*1B*Pj_Q`<re=_RhM0AgK%Um#-6Ej1#cUiFfA+s1J8`YV=-Wu;b!pU?-
z{=whn@4xA6UVfEPP<-Pg#UsCMXRUbrFJQfa-wESw4dMT0oHvs<+o^Rcyv5l@X{C~T
zeNple5i=pl&^Ujk%71KG<y)p2TMOP73w-6p@-e^Xe2Q|Uo&0LMbpqN}m$MYFPI}ZA
zpeFE~{ma!28UEtAcUCuCjSIL?`XwW7p^M?4McsTE3PQ^-WS&gcH&Zm`_&g&vv8Z=z
zAb)s7L8JLvq2hgoa;38~+~f90x%n=;qFQ&-LbKp((5DUgVr;iHsvV-2?)|bPr1j9I
zz~wzVCe6RevGncbeScR?SowRV7+Y!^>ulAVG9j<`yC|lqOlUau#s6933&yltH_t9?
zVBPUPUp-x&OYwj>o8$86wcXsevY0RBF)DA++uSq7L(a0!?Y~@%v-)&a<&;w|g1g%P
zypeggSZ4}{iL=(dcO{{18O_>HdY^q?`^fyyM6-4Ovo~)k@fX~GB(;Cu4{7zuElUbp
z+1sj*-%mKAYjEb>!tADrAtho~miKRPM{0gN`(5__^gXdZ9IW3yy_L`E7a(zoSIWiu
zHNXEH#n%l?CwblPYN!dBPQ3YMrp>o)O&51f>a>>oUeP)upTBzt|ID{0Ht)E8c2_gL
zkyrIoPyPDiF3Z0_G5<f?*G(|6cUr~qtg<L6<q6OAqjCKgZ-u(b?+-I?Ud*yXcka@e
z3B4%=3;G>0c;Xuao}0VZY&tyU&9>X#|Cw|IW$s;;$bNs@MYiO?XQm#_wff#=7ytY(
z{&QLC&7O|AMU90g)Mnp(v3_^(+}U3>)Tge9H|F>0fAOHitAARcsI|<L$jwGK1vM^B
zlTg0apMU?7#B@)sNP~zY*RtgDlLR)38NB-veLz`#N1lG-iIol}FSqh@9=kSY<HKZ8
z>Ek<ZDTu3IVXKrpEa2_Q*s|>T->{XIx8zuQ9S+?7;BZi{S>uZ)+r}T$Bt=C6d$XE+
z-WWL@J#SduQSpT3i`tV5Iw{kcxfbS`3I(4r@Ra;f)O3GYnD6H|)8_2idb+&fGUpeC
zpc3<QP4*A=-EhlSy|9$wZ28H?T~dV`MV>F4xqQMmkElccvZu~0yJ+q9)3>*dOYG#?
zJx3R7`-QwXzx~-{*Hd@zZ%+03H0{zti@UEIW*%$0x?~mmnL|%P{k3(Up1U>4JMUoI
zJMFaT?H}4S*uN_quL)7qQ>dys;!@fB`E&~Rh1337#n*dI`zrUFF3~yPI%|0gS7tqH
zT)W&+yGU01N#5(V-IJC#-hV!waca-0r6!6yz0@>U&JZ!(;o~7@vWDI5$JBG5{#SSQ
zh#!coQ@rs{?&ZXFuKiByHf?1+B)up)_vzuN>xvmiEZ08&`9<#0<DO0bHEtHUPdj<c
z_~G>T7wWB^H@;MikFor`rTAsDaQ)FVrDt1T-Z-VO^3~J@X%Aw*Ejj&p>Gg%j9R=d`
zXO!-aT+*%~<=@S!{&DY$ycSoPf;E?Zt>z2j`8;1a^U^(md+9b8%kIs1@@fK`XOWFj
zYr@67%gtP0<gT4K=ZoVN{R#Kh9qiB*UYe^kck9%|oQRnh*C-s}kUuhWo94<;w*-}B
zUc=tYZDlh13#^3{qaWFB+`yYwwyx*DB+tWBDZOw0JKD|rHOcPJ@;CRKcmMNWc7G51
zr9aveKMTE>EncB2dt7qYGM9*ggdfsAIqMvKou@mqimhD{yCr|-4=uai@bw`NH>a}v
znVna|d{AO%)UJ5hr1WcA(mbu{Yv+Ac4S2n6U)0K)XCDq8^Sh~d=DGThReeTni$AXC
zGkfkFX#FU{fq9R^$G>}*?T-Gn^h!Fz8xgTp_Ge!uu8K`#U}kWsn44qad-}&F->yT9
zyp<f6{W=aN8BO1^+VSM1C#%fa<vc$;*}cE^_@hk$YOktFREk2bzPIo2`)Zrz@cY~0
z76oqBpIiU6GUb1iiJ2oYUHs+e&(|&|{Z2i+j%mTY#s|N5{y5k_J1QqXH>Sv=_``=H
z`x$Rlb?u*ZUEq1A?jVug^zq~7aNFBHD}HTmXZp~nzh=tDpFS`4OK=`}EE>EtYvttH
z9e$@zGQPfDx73gE^5mBN+wU0eerR}S<AKQw6Rw6j?)Ve0v)5Nee&>zF^({|Q3I$JB
zAL47(R(8}i_tL!T(Hy?8_iT!dZ0rfgjvklDz5eaqX%{UP9~DhsweZsWMS9NsHcMvl
zmdOT}o91&~OkO=Z;2Vqn`%7!(XN&wPvH$1j#^TAlZo|Q(ltUjlpA_+Vx<r1PCL8<6
z`b~40#&_uz@!Ur)-b!FlTs`m1om2C<gIJUHT+qon(eBgj^HsHfHsAJ0Nww2UE?sH6
zbtqF(&Bo{W!o+LdHqEV5o-IDi>i1&J+aqE2otE3Tst6|JzKJL;jyQYAV~y&(iC6uW
zsU}%`RcN1PckOKaY5%)-JoXBOrtbKkeC9@q@Rw;y6K%VXTxht#W_@zLb>XY>(2W|U
z*23)mz4t_<mQ9^;nD;}jS#acnN|nOWwgs_EKk7bK%Gcu)et+}yZQ0ft*5#9b^d6W}
z!)5sLXW;#XYU(=i|Dru&Vy+6S-&A<GzoWraX<dlL`oe;xx0oAFZMfLZn&P4psx4c>
z<5Y3s&(!+B#B*i*Rx4+OtaovL6Y$QoQeNG1nzzH<{&@Mbr^L6iiEw=iihEc0)5pj@
zUsaCpG=sYP@x-2}Su2)&HaZldx=zzaSIK_K%l>au6buu^%#^2Pyf2^fXJbl9`tr5U
z=6@@`VDg^Nv3ge1kz;Y+4;}byZOW2(UiNv=l9LU|%RITJOV6>>;`I}ISpCZ5(06_F
zjrY5p)xEV|6qdf*zV7|(`2V_L66q;Z=Y4$N&6>QOTjy8#$$pl1`$Ee()2&!!Z`|&F
z^>x;f73X)$U-Yux#GrSWWvN)$Ri%)b;hPF$yO}Z$m_GQvIrQMR@)+~h$8QCA>%X;x
z*Kd8Ili>R;UD&I1oe;mD#MF?-pZm{MSOnM<J3pK+x!Hqh${Rg{&uaq<|GvujDA#qg
zdsXI{cn#N<+1EF>?ov*lu&Yhz_}=7wZO6lx>2wuZPpW(HQmm@mbN8P!+x2-PRBR=G
z*R0UjTQBW?BkKkK472QZKJN#X!e=@vl>PQ6eVGz5Rd}-Jol4~$Tl?>wIP`9NcB`n%
zoquyR`6A^md{UA5ogJ~w@WR*6$F}#KxVKa+YrbK4!u(U;^B>qVDlYnTM^U-P+HA|z
zx<yYO|IuDhawX{{ci&324_%dKB#)$~ELt?(<Xv}2-jvYEk4hXC#3)_wIUUQs_iDTT
z45t_KSD*NH{)ze0ZCy_q1O@u<8x(9a@yiwRnq85`JNtl>xIoH=6VGq@x$NI?vRa^3
z{7LuT+H=1HKIE-k&UN?pjaSBgeLB)i^^aJ8-S{83zWS#2<2J+0HP`kAvqXQ;i;pY0
z7H4Lye^ce-LaU{>8(cFxei&=ro~5(YxZ-x--oigI3O8;|yK>vt@J!^C`4d?*&826$
zgjNU7SYNejQTO7bh2|SyuW7BBSY&$Cv+tkISNoaM%$;X(X?f@9U*Ax2{!J>wyJupP
zwB1&eFVL(CeDbz0c+T7()egzOA2MJ6C$}Wfaf^kSLgCb_)3enN%1mt85pY2CP34d8
zN*T7y$8VRdySq%~sHf9}<j6Lgyx*Zk@@_GgPI;@(^qyN35iFoAr<|E=a?jH*#YS>}
z#^=b5hPu-yyQGTVP^_3Fzjj$=ucczz_aIJtn_o4b{~h1dI*sM@!+I&UZ5Q*GO?xBm
zvP5%M?MlzB?009Xy^d-9DR=RufBcc-{^lurQ`62HzdX~sI`t64kt|QfpvztoS;0Rh
z*m-po^?Our(w)1~WXboFOqy*!`Hgn0QT8kd@b_FTwR`&QJ^WYyet)*>$jP>fwPkPF
zPY8V3U>7BJG{jT6WZ(Aw%OTm@r(FquD)^&q^1mW&$(nyEzn)I4J{h<7*$Q!HHF3j;
z+E4Q?UD$T(Z(7;om0k9ZXD_Zx=1DoN?!>ro_TgDE5uIGmrl_a9UCr<($o9x_36Wg~
z;$F@XFPZozBDJAYE~Rx(#m-|B6u0KSp25C7)#vo4gtShP6PMy*CLT^L`6awSN9C-q
zL6d9$jel1IPJc+8aVe`JB401bcV?EM=)t%1AF8=ODqWTPtjff}CdG4RgHg1_(w$3s
z?3a7gg#QlZJXr6T>S^&+;Bd=BrWY%jCUTwqk*#_AfA_gkMy4sP-!eSZ66Xk-h5jnP
zEO+?SwBO3lZ~t2RM?2-t|JCu9-}Wt0u;9z<dnsvbzE-{B)GVo&Iak<fIz%!>>k^et
zTq>VfXY}wEqxK^273&|YHJQvEWT5b`WJ;Ri?kOi7k3<Em`l55rV4tP{!(`{{#%f9T
zKlA78W=i%x>wkT+@J2hf2@7U;-f~XdFQ#kPXR^_rWwWIs?+cEXsgG9b7uqVPMR{!!
zIUc#7@%oabRq4i2GcVqJdsUscR`#oS)O1&wh>PkyZ`)3%$nE~5&0KP=Kwuu<ejB$F
zXTnTQ%ETt?9Q_xX_sjhZ$B|s2qXL3i?VDU~2R2=q9`r%!L*~r<s&dcM#s{}u{hZKp
zil6t2l9}(jMea*qH%nP)9-JnA&s}Er+s|*)X3vS<bohtj%SDyE->bIlX5VLiy+}RJ
zz)Rq2`XyaU4axnRZ1nA4SSV{m&4}v!zB{N&H+toa7sv81pWWjiFFxJ>#S<2upz~7V
za=zRrpScx>IqzMVd0VMqOX3mDn@0~{nz+%ULVU-{%zYa!bM0Py_z0JRh*_p^XqEcI
z-oQ=IdP;wP{`_R4m3Sm`Usu8wcU}`;ZX=$^(l6J4b4uPisdTz7G{#KBM54X!v&lD$
zrp*7GwamR`fr*U_D?L*G3(KzZHP+e9=6Uzpl|17UQa_I#lr=eWZP)E9ZhtP=RhFfG
z?$|$}?!-!6&#Cp37H<n+;n~?Iw(@by&z!p+Fa4Er;vKb@&d?W{^>$Ls!PowG=Q5U_
zG=EbdSF%Fc+|&PcyXh}uDX$;<T+BE=PdlqTSLbyghw%)?>qVi<I47{Zk-xaFPg&&P
zt@-VJD_hR#*JLUih2ET;e`=T2NpmSlxvmA1;?7R(U%k^g`1AGtZ5nz73(}XIiHbW~
zUhJ7D#Q%uz<pc(y{-664oixiIhxb&kHROG@(#+oJrG?OarY&io_r*6=&-ibB#`Bf%
zg2js0PUq*`JL+KjnQOA8ij!tSh{Wer`;-0&*FXKT@a?4Sl0sL!IJaruD@?ED`F6)K
z+rH+=I_t{K+cQ?){UvbdT<B$8N9Alz)jzX-X7lStZFTr)9JVszvY_g6?b+UsqT2f+
zLh=+}%elK<@cX#HM_gRCZo89e!j+Hnxt%+9O`64Guv+a?=Z=TldmD}?X4;DI6g_b@
z<8JU-XZzBr|Io?jL9eV1Xer#cT=0F0Z_f6wG1XD?>||N3oPN9im-<zr6CWw~T*7Ma
zV-vUamQ%kubWeJA>4whJ+^%ii$rtrJoF7Jr`EQyvL-oU{1I2TLb)OiozwdA%^+TM%
z$7qdrx+?CkMJJhmkF{dGG2LxR1HWyu2-jbhjSnw9J@s&r&ytLGmZ0t%Wwmn(%v;JD
z;{J<HliK5c@7#wb=T#5P&E~!TwsCvL6nEL5;eYfFOsl)eAMe;{B)hnC;>(${mo3@#
z_x4v7eifdV3fIaz4csbX>o|fIKPa}HXMa1ge$JGC2aQ(shx{>}Ywi8Kne*jZl{W8<
z(+Xri^(ijmD(iZ5GEOG=X6M!{rI*GzSEf3f=E=W0mwWNYDTZ#fGH#wPl8R{}&jTwx
z3-=^!ef&ma^IVDT34N=N96ZeZFZ{y)=(pQHYzsac`e4ocb93aLTL0EuHUIgM`Wfq6
z3a`b#^?7vrNLIv_O_yxeZZXv0$hhQ__><*r#NEqVByC<*^Oo-8)t7Rb?#JhQ_eFHf
zv?t~ZHg2=-@4b*VK{zGm<d2Qv8MnA5{15Pu`N8+sh9T-&;+)jQ!EdfLJT$gTs#QH}
z{!M?Kblb1py(fgHROhU9IT{+BbyTmR=dqiF7MGmx1Ec8A8p`Lc{5U>2Cwfx2#<q<A
zmfvm#9TC|Sxq9#Rj-U(Od~J8GGAeE^dvc@ljhjO5lyZr_kb?I3Ig2ExoD5BE_gFV;
z$J^55y|Y%y9xAK6=B8U)b?Uy{uScIQFLaptUOI6ukAiP7qxK25nu2+s%x>*BD2YCm
zmN$8;(*NFk2IU==(fOW<4Lhc9{lk69D9N57>atMq;j1sdwx9lP>$=y+?+x<>=5~W^
z6$h+YHI^(&+hwostjWSXPhI8p);l(r<@E*P7DzoQ-Eb$(s`1jz*r%5d@9k1k7ic<m
z>R9`xuQq>I+^vsjcfGmWV0-QA-aEfy;v5zgGXI(~pN;2d=gBGCml$jA(b1gVw|jo%
z3f22^sw-A3J>{=)b}v`Gg*p?rScOrPk-;_7UEkPdpK)mOn!N17df#isyE|6qGH+cN
zpK$WCid|JY%bKW8N2i98n_fb5G{s&lI(_Mx@&<3;&o=*-+<ov!JAlpbcBbB)qxIQ0
zXGQjXJ)mrIz?LKLdg(`_{LjA+p3`zkDUbY8Un9xACiweSg9SGBmu}ezZgzNd#4dBs
z;WI~k+7%26-9+@CyFI@#mz$^U^@O=|E31MkKk@C)-4x)SWW9ntX7Zys4k{wM9jbb3
zBX7;{dOY#tQ_<I#J%2AvwY+?clWB9g^qm#nZD*nj^p$SD^4}*EWj^=srp;HYzxi%W
zd1Nl=EtIOTZg#`=dEYMvPJQzJ0pF2TyOkI}MO!Rr`oG&hIV1n^bOr51TYLSAte1Xo
z+u1_nq!m_tE8Aa}C(*gXcZGaM?Dv+swU54?+feTLcJ^fvo0Cs}OEjC`KOT9)`lr;o
z2REg2k4~68W6z0Pxoa)EHhsTovt{Dk6+YiAe)Roj^jkbF@%Vv1D;mPg^e;H^wM`X1
zcC*}wIWNui_`aafV+osfh3TEKT~X9j8W=XIn&+kE+(kN3qG#EEt@`0*`e5lt;Vs=?
z))Xbj3gk;J+{;+?<^RMA#qASL74CJJoRm})o_KfJy7SxSTyZ`<t0ii(pC#|LdM>*M
z#VpEQjAwcDyqmhUI@5kUjEi?V>AI9*`JxcMvj48@<*ucB6gY6~?_2aPNnuv~<mu{(
z41Be+`B^79(kI^fx!tysk@K~3jLa#4xbSsS$MpVWx4!=4qWj>jrix}OZ)UjV-W_w9
z)t2a4r(CY*h)?+89%e7|LGsGu#rI#hZq!fxEG#wUY)kF&YgPue*Ixa55y|k>O(2=!
zbdA-61J|2*KHgB*5!zFj=Or=oy4hFDh=YeT-#%`-l+qQZ`BvWQM9}dkul-JKP+!T(
z=PI<8^YmWtkQ>?2|M^(F*q_PNS5H}D>K`p?@ZXvDe(mcC`K$|7^yAM36m)t<y#2s?
z{NPsQ&mm1GoM&WmE}6gn+_Y)GIZnRay)lXHS9&Th_sq+3^Mj^XGwvyyq5fih%A_T6
z4?a7rTkC1c71v;zU@>zlE7x|u)50=t`!pTrS%uF!XmHT-(L(1}pI*#U;9MJ_dGr#a
zThr@^_*%K3YJs+kSB&;<@he!|Z0(-<@8hz2r|kNqm((c5&bjqvrt8c<Q{I)$s_rVx
zJoZ=n(WkR%ar-xIFsV%YDW@BDE@IV;%z8GxYu6twHh;Ty*W1;*w{h3s?Y7F``5E-@
zXQ^2Dl(J*0&+JS;GD%dM8?Fy-iCD~$mVQkuOylY_JFmn|lka)hug<gkvh7gYs>AJ;
z&-<%C_lIs%<|#a*pr}()s~vRoTFOL&+2z+d)~}W~zvp^>>ADi;mzmxF8BzqND)2w<
zyeb%QP}6I@Y{qE@hWfh|G4r4I<^7q>*=70kuSMgf^9k2yEtxf2P-sWwGXJH_5))Hq
z);61{hrEqkbL{e(J)d{~pXBQp*W#nOY>tGNPMpqmk8HU;e0fDn3}36btxZ03{m+Tk
zZ*luhF}!=G(|ttXQ=o!vLfpIMY?B{HnG`%ow&~bk^o;583SG%Vk5cwtl)3Xp^iZ;a
z|IF?q-iOqWtah8cxz}~#nlcXOo}<qXXfxIMi+ryY?5|mP)hhh#$2jS)$2inBhm=n<
zZ&~+3r?UOz8>X`DlFx6JUuAru$FWg(=lA#L7Dr9lF4+3$PxS1@WINTKuNQJBcAv}E
zS{He;^P;<jp`OYq)%DpLn#r3AF4XSG|2sEzQeOPtB_%>LConFZJHvh6fo%2-zxBhr
zu5M0NP1vgS{MEc@-DI2M`DS;^*(}^IE%~}xL$A@|#^-8<vN(Hz##hVsvvTfOUBS!N
zQyg);@8lwt5ZCK>yoGH(HZu$C<T<JExKj4=4$1u+#dTtw)~Ykka(=zrp5e$b+gVkt
z5mG!#>#lCwwN?9}&fSQz49=&K_o`f3wl@~6tzUJAb%*)0Ti1eKWitQ#lNz7s@#Ll2
z)1PPF{`@s>&&0LIJC+wcIOns!bz1R+-Uo>n7WmA3w6{#iYUBFL^L-~i`k|XT%g$Kh
z<K2tjj&&$}n{0Xg+J@LAu}sd!vU`@KUySt#it>;PIk&LB!o`2%rkJi1k`9q&i*(%z
zKHYSwC{js#AN2Wz6Xz$b{kJA>P!aNG{<K!5u)=OC-~InmVcFYRi&&i9AGb=T8pVf9
zTI4ULa6Do9M74J|;qh6G*9E#aB&>RISh&`!McsbR)s%UgS9M3I^(A+dYu|0N`}lqP
z(u;yQ>+^bdu1exta;073@q(3eYD#uGEsfgU^2X!xqKPseU$oq~bmZpo<2PJ-Z@50?
zo^m$3C&bKS!R7sjLuM*eBwn==-ki0jUSZO%2-EXV0zYqSpUXLAMe^)(XZ~NmtFiBz
z`@VUC7F}^$L$eeA-?@12BX4o7lcA&R?dA}J@&eBWRbE}i=*FfyKJv^HT^1T{JwJcx
zvky&IOk(CTnOpGHzVQ3B|9qkO1}pz3|8L~4oWE>i(2h5cx2{@Iy^X&o>_J!fk-2JB
z4IkdIehNsadtb4<_R2&*(Rrl-EZu>Tm;Zg#S=e&yNSyqtw)X$KD|r7-zoEC{;yRVk
zsWGSKhvgU^kYDT^wL9uu#)YVVVLq$ORXNqn!{-P0=UJ;{3pre?vwnE}JU7#qn0G31
zdxJ_pKXWsQ3p{%5+3(bsVd4fh8{YA5d;amE_v7Ucbi*AM{Cl(T{DRXzrY^eJ<tya$
zljUVr-}>&-09%1_PMPEa>&@4!8(yTWU;f7BrBd2ai;E7s!&Lcon)g@yJ`lD|q;baH
zF5$V0=k}|-oD;umI+Iqn|4Ow-TaqsCeSd#i*s|uGOw8+-7rA|(aH8gk?}x=RBEoO?
zu01k!>x9RmvZB7<r<XW9n)YVNRri9q9^QqFz1-iV`?wugra$ltpX@Aj;4tIP?8`@;
zyi3onxw)~X>DcL@jnh7TVsC3@e!1e}RUhkD=5N;2{$DtmHF3qd$2wDIsxfBU-S|FZ
z$7XF=6GfAY>%R0cYH!onT^pftqpXc(ipDv|yb~X6%#ZeM5M;WsWV)B8(fp}*lqYIy
zdl*G^{od}ds$VMY><QC<%dbDvIn%Uv_jbEo7nW<en|B%h@mlv*KxZbeN6EpthnAdl
z*4Fyh=~-3TrI5a?#xG{=X7=u*&!SF?Z<^N^Z76E2)VxY?A8WSw(iHjirRLXUE|`3%
z?SG(iRKd9|gwaWvdwTUrp}nErdbvwfDmTs*TXeLAHE>fv(Vi@U?ai4&FBV-o+0^!X
z*F~AXlhYHL#8)}j=W%VnpvUyWwM_l|)>l(kx16=MUmT^i?R@!zE}fJMi>5B~HD3IB
zn;vIY`+fHKrDm^No^3td)}p@Wg6O3~i?{J4uS%{vEtuk||1|CN?UP+={5D<vJ;&iv
zO@RlWaaQ<eErt2K|I67X9|-8b&~+m)eg9TX-rj`tmQC7UEVl2-_+2Y^tLkvK+{Y)Y
z78c#CW2)(jC_d<2TWF)V*6gOw?Zqwvifl!!35PCyTc;iVc{^i7+Z@F+8FTJCO<J&0
zW8X^6+bRJIGVgnzW<35n;#KCxue&XJBg*5;AK%hg-?Q1`+r`|E2QNQQxoe^)m{1jw
z=FQW4iE-x+w@9g*N3U2&&vz6$^nl%?`&C|~w|?=u{VlVk9Yt5v>@?^(Bl75dfa^@*
zQ#B6FGZtNwe{|#hw5Dky^If8Q+dG2)Y&QE_m>|yDxc}F#iyU7I-TR~N?W@?bVOHJg
zG`aKh9&J-Nz$m%-lQaYO8P<-!vh7J6tsMN%zD&-#UJ!9~(oBaPt1jH#QdLkOcki9j
zmx+QsLT+<6udKf+v^YJHiLKPgKKrTmInhrZr*=1ZL?m?Gp5lMbj-7MK-}k#1ob;8X
z^(V`nGrME7-rsEMeY=f^zu0&NZC-gYk*hPJI^v@Bfm`;j`@QaRbbPB!abnR@usWGL
zPw@d);+=}V-A2XTd%n#zQ`~4;r?q~^#1-#)_9Y8eAG7`}vXZ~>^qf2ema6R6?3P`-
zE#K#GIcm0ANp14WKYL!sTeNq@34s@T%8hN?jMo<Ol^(4~U%czeZ05Do<ZRp&GYmq~
z&fU(uvu}m;RCANm#LFR<t{jlF((mIrXux!F-`&iOOMb20QF7DS=$p|&)f_E@Qxe+C
z%s%j6lXh<DU`X%q_dM!kDYbR>-Y@!dChy*Iu)%Rb!P3PaRWI+D>%2Xp@v+{&ve~;+
zuJdkQ%EDSNvha{fd)JxUI-fR|T`z4g$T}<)!XGv@@3gg=%dLY=ljiN3YLfmeK($_n
zKf~r@#jn;$><ubA&*-Tqemwf_SI3*nZufWi&CqP9DUgv)44*nj|H(EXrkVZhHd|(B
zq|W#J{Pn25ptH5+rQm;Sn`^@DXD*60VcyVL@Z!#n*wg<O#qs~&bXY+%HhqQN-L*5C
zwx&;<IkP~a^-sgkKaK1juebY)GtB<^`e+u{yep+@ajK_1*xecL{)zHa+4b@7=e9H+
zTWyn5&pvl5t=sVDw!4@1_bSt(RV9tl_x3bcCM<He{lTZ^Pkcth?f6xuoA$D+o5Ve+
zmyK>}jVXI5+N{QtCwlAOjt}1YsvBH?m@QYxIkYA2WRrgM1c%wW=E?hIIaR{0iW{u+
zy8Q53{jx6E$G<mEyrmufowGW6|5EX79;Whl^Oap4J31`2pZyM-kzSu<yjQO^<Z{_%
zCuU~`M_rNN`_E5JyEHeh$ugiR<kp((+Z@M=W7tnRcBw^2n%nGnld|IS)COy2=X>)s
z%R8IwuJ;GCJ^1N7Nwin#c44vi-BZ0?v3_?w59xBNzM1n+De_E)-q9&<I@^`yU;daW
zu%2oEu7@v<NONp^vukH8^OHm;na@|6$_0~?SuZ#5IW}e0xxK9Kwod!M`8S`Kqf2D-
z$Kxi?J6;R9T>NQq#Pl_z-{~1~4Ik|ns_R)Dc@*<UUfnB6=uA|kX{Joq-miYQJzwWs
z*6pq^`29gfQgvIu?*rF44=(k$`&it*9AFW*>6LTj0d1v-4=<<9xbm{f!~3_6o@>CS
zb5e7A#b4HJ{;})*ef{2+8Qa-%dUbC)FI;$_Y4w`js$chTiP%1kh(8k+$hYoM%I7~7
zeP<uacSkzCvse-7KDX+XWbw{<^WqJ1nOk3Rmfo4%bIL;6GGU&?#?F76t2`&RNz3xD
z{`1&(Rrzh_Gc}8i&glDyhV;$YwDg3h<GV$UB?ZCH{${ecY;CBP`h8NTLH=sX<yoeW
zo+Jm0IW&6CXy)jWa7bWOx+du0qJ5Ji=kDhXDsOU{f`2`0>4?!uz3?^X!YUr;MT_0-
zzUq}9Jsz8u;5BE~18bKZ*Vq@m^~jlbAX{XL(&6UI@+C1!^*f(_(vRDF{qV%e&$#E!
zkz2Qf>(>cpgEbFV&N2|X+O%jYXG607?50qI!!e2dMn>YSN8(kcKg@Snd@lFUn!OGC
z7v@$ef7moB`(uc9(4CuK%z}fKEu9l@9^oYQ_}(n1f_XkYQ(O;ijo-g`jj_GK<)c0^
z-xqvL?5>ae<{Or(xY9J@`>q2V39D}J+2eb)^PZi->LXmn;XZR@1#@=>U)5Q}${om?
zwoEr}?z+a~Q3-SOI2~UEaB^PfJ$GQfs{_+F)`MNgtGzOkxRr9gN;?KV@vc$T7J4c-
z`@E<2D^-zOYeG#|O6|X%uBCPRJ>xE|gL9`RymonZ<Iwk4W~m_>LH*}XEY-Tp@+$h@
z@y(2<W-mLK@&EeY8+A;Fw%U34m^_XS&ipMK>we7VR9~I@hcLc_Uz?Pi6<LJX?ks5U
zuiD^xZgXAfR^6GJGeU#;PKDlVyAmU4x8{Svjuo?yU0UyWsQ=QQ7h4l1sx(A?4Xe21
z@oq)q3M-x&?2ZyV!C7mUAJFh=)t|CCYGO&>>OZ<ujl|bD=lxVky=eBRS^7u3mGq8t
zT%I@nB^<qagEg)u)TSXMNo>9B&GmnFoIW2QtK#tG*!n38qPCpit8rf$Ja2OP6TSF7
zZt+FOU(blmygr3>>G2!}hWq=E`!9+)Q}@?XJ?;PBhtn)yEVYb&x6Nhi>OHD%f9fPU
zUeqmlb>Cm1T-(vR;7O2a<I0K&`_-iTTtcIkFJSjt(lt>(%W{pOP|H5%851x6yU(}R
z*WJ60U(>nepl$C3_ni}WYq0U?eKcL;em6RqnIo*)UuJ^cS~1xV3`h6mrG64tJyLX1
z<nAt^{ZrM{&E6Kd+xkv_Cu`E8wAO-wy;O45Ded+=E@MZz@1I3qq#2lI@YtHnez44S
zj?F1E2D$Fl>k8*BmOFd2fRW20<5B4i6WNN4#u~G4$5ajZS&~mm>z>~!`K=?SXj{xu
z)m2ZUnL<hvzZY4~SjU+CiKG8d^^LCNgF=5ab+&Dfy1ul-<K~J>Q&e(xp8Eg%<%?$*
zk5%uRx=3J#?$V3aZeKs`w$5g&y`4T|eV4-h#{v2dn}2$Lm9f>GHu0p$zYUjtau3|Q
zw&eJfR@Vhdo%??Gd{DIzD82h|;-4RrI4>_cCY!h;c<OUKfy;r<QztH!dl%27?6dGf
z8}IWK|Mw+s7kWK=ZI0W?SC7saZR{4^Fgcm$U~k;5TdSV3efoVkI>(M}_1Ap2{`FhL
z@_(sza^F&z=C^iQ=ArfbwnW!WUvCq$GF9mz<6enBc~&}Eah%^K<^E<q6efK_%kj;m
zB4N1~Yg{UG+zc+o{z*N2`p-S3MKNc(c>i<noxXe3r6q?d)~?(5&5i5joCAqQ?>Ub4
z->}Ki3u)c(;*8jhb+(duKhiJW(Gu#J=ocE)t-IyV@{mI%UqTlcIy5UycSsa0ik!4<
zt#{XP{`;=^I@1fY+6+rP10`N96TVbe)B5r5kuu>UTxrEK7tXm-Q5jOUjzQXd>*WdO
z=cGn-)ZAqku2m{t5y*Dsq{xip)$LAga-NCF$9GnrKD^I1%=qh*!0W1IF;Vu5)1#`&
zW;o^5nOpofGCcWgJI9Ww4SFG;^|a<SUH-VwdyVIotJmku4>4hkKDFVNTU`*dR>`D1
zfmze9`P+Ww-tTK<rNJ2UX--8^?!mKt8jUrDul=r0Dhl2&$ESSw*v=K3=l+oLerFi;
zdGbzfO${NQvteg`CWf8$4{&0<>wP`3B{iyXkJ^FOqk9bICLh!Oz*M6@al$A0n&Q<z
z=2>VKp69!36gh9H?uyoXJqm9=zgfLsYme?xareH<=4Bf=mruT^W?0~~UuxIHeVxn1
z)Md*bJ=Ij(<1|H)Yn|oZu%Zq{_D&nSwpF*Zw>D>`Mwp1k89KEdzpEFWQ1Uq6WNMx2
ztVa(yYGwq-zStb;n|A3)g2q(agD>|@h-S3eoT{HZ-La1;f&HUT-hQ3U%M=2(W~<Kr
zxJy6CZCS=mqoz)`&o38m`*Zh_+o9_V|Ihtg`S;LsRu5xGsq&yRy|I^#tW&=ny}N!J
z``UGrb6%RQ{pnz_`BTumkcf?HdWW1pUpSfaHtp%Tl8Nj$79FY2U&{HW#rdInRDs}@
zMYAuhSiI(HLsaFTwFV7adS^^fQn?)XGPv+cX<@2zcXfVV{;Wik1G#2DH*8V;xH0ON
zs9Vhz!6vD$m;TY)p6}&3IXlAcu*sIcHdFQ48Cc&+aH_Q)G^iHdbcWeCI@s`g!>*ln
z!tqgm-kD9=a?GnTBxbk8R(^@nUv|<yT3Iub#iL7t%e8-WUZ1DBNb1LyiXx7kO?Nw3
zaz*_nIe0s1`%RVor|%Yb=hU);&KI6G|1$m>^!REm*TKRKKKv8=LyE3y>#ew%9;o8C
z<I|)MsT1;l2c@-ntgbQpefY-PSguX0c6QHEdp6fb^6uMtv$9_&)SOupI45w8wz@|^
z#hPgovJP3TR6m@1t$)Kqt$1_RvUM>x{hYt%9Ep6F?=1hhbYcFZ#0?yIw=ca~{aN6J
ze?{7pFw=EU)~DP&9KSk^|Hy)_1?yIb=j1)y$m&+i`TMtWpTn_!nRCwr#m$`W@M>Mk
zUnD<ehwao8bNy?T)#^W$Jl;}1yKL|IQ?4v?GB>MBw>_Nvq5q@JR`n&~k*{vpP1|dd
z`=0&yyML4UrfuN<y-4Y^Xz|OA;|iA^V7mm%(9ps_R`FT!`cPq$BDsHS=R8p`ns#zm
z%Zz<<^TVYU7oG}fp1H0sp{nJD<PMn`ul8xK_nZ4q^7sAOy%w>P7F?g3-g+Umr6qK4
z^*PI5DgV2d+`M7*Z*hX>%`aaJpD6sO4Z1d`F@GCtom1d5Gu?xa{rd#vzMFnrzA?+j
zMaReE<kFnQbvHV*Km20YJ}Za$<RpO!^Ok4WOHc4Ay|;0Z?)ksHEJ4ps7(LNGbkb(d
zpDyRDyvT}O7EeE#*x!-m6j`(K<&r{9v$f1;E^(}X9CYAhspaX9;>TK7hI=mVw=VIJ
zIr~>;f^Ybrt{2XyHwSBW3q0gcyHhgp%GK7>MQt*%a&B4+lq55yxzlT(&bt%NXsp#1
z5t8Ryb^Y@%iJ8}W-U#XDm-3#P-m4R|i0`q?nS#g@2Tn{}JF7_Zn6F)O=UUF3m~gI;
zh399icocIay|`)3x#q_wWSz5;kMqU_7+yFl^UK-0-?=+=<JRIgpDSPP(lF-mnAxlo
zwL-Y&Fmp>l$ka<Vzf$(ZY)-$}yE0R0p~5fq?6XX5{>95@l&RlKmAxfWwCIBF-3rZ=
z3sO3h`9cGQUp}?A+v;)Q-r2dU_4jOa<qxQP!2E{&+MYst*~I9qMCmLg*_Wx7C)8gT
zm~5T=<$rJ6@h$ddkrUSC9M!+{B4Sy^9P#i#W7Q|G&UKX>+&U#cac^D8Cx+hJA13hT
zh0bZx<CNWQT<YKW=V9w)xx4=Q^Bru?ytWW<zZl?k>{~FSKrrKK_UCD$1?d9E%j{H_
z?fdB_oA|=%mxDy!ymiNq$j(lBEf9JB(UlT@Q!f*a=RJYNty;GEcWbA0Ox~{eRrQMh
zgJ(A87HvM=t5)nPy^&bsux9bk%jSoTjOO+H3z%|}%_`RO)b1nZlkH8fY}3;A%?__+
z2)NL1rx-5rm|O4ZwuF#3r++22?NHb~Sv*0*(rR&H*#`0GcQ;aH-twjYYYNC@JACu2
z<#Wq+p$O)$d`E3IGA(^u*kx?wW|^k3xvKWm770~xi-o=C53V)~W%yBQGe<vgPHfuy
z@_x5Ne=2&m&hm2O`G4{MT(KKD-8D9Wo0uwI|8SpMnUj0xW0PA7&%b%*tnu>~b7n6&
z;-Bzx$;s?%d-p#}3eyR=`DCRndtP|8hE3RUoi9E12UqyjU)!@NZeyr`*YktsHeF9d
zWHc32dT+mu-1qj>3C<^(J~vXA{bb)MCH?fqgB!1{UfJH8{BK>!3U<pItyZ6ljs2YN
zeY~^QYWtd}%r_6W$NpWu?)Qyjm!b<|>K41KGT7hOv1D=0RJogPZ)lw8@!5PWahg>?
z3-gr1BQ~MSl6UNuQ=5Bfz2?kIzweb^HmOV!6!DkV?BurSbU4_2u$(FM@yzA(n$EK>
zK66J_^C-vL@{TQz{-u`tPcnV)&Rd$CANSegw1a9~_oKR2D~ZKNCCsnyl->P3?vdH;
zr}ckUK3Z6-vEp>8ajAjzYxSa~;XMui_XcI`{g(V<>5`}pwm<Kl7^%s2|JU7nYFZ$d
zR!BX={-^uaw%P7H{!3w5U58P^<=MK)p?P}y*2(UwzZeq#V)c>UQ%^%~*uPlv_lL8n
z*}-=&8PzjvPV=Yq%nHm*S1A`VS~R2P4Bru-jW=fWC;WeLMnm{U(MApKOTGy+L&R?h
z?+=x}^zB&qI_V<{TQ;$V?N#vEYdga*v?O@PG=-w@t88u8ghD$cw_2qBdd8dh-fYQ}
znRmk`#WF|e-G6C&Owj4v<{l2e&lkBe*IPKh-B}(i(Q*2sm1PBkIroMul0h}+U9==z
z{8#Rg|0*f|bY9GC?<4zbGWEGridl76zcqifr~kaLd;Uw6J4c$|xiTMp*z+Z92J4eA
z4=+qR!B!NlR;Dgdr=;y&)_t|=@ZO9EKY2<$OaEPCGMDU(JDa)m)y-;qIT3zAcZ20C
z<!p*h>-;%z@c#Kiojct9%X(&uZQW^eQr@rUS4PEdA-;~auIAolX{#H{-}r2vdHB}x
z#nUsRO`=bqeG(x*{a@?%4;MI#Y8LS>=kkASa(jnx@XL$a*FE%^$sBcN{k3mW+}WXL
zWo%X@h!_|AH?R%#Rn<Psvg%bq$u{|&JqsGdxGyg*5u3C(u;sbO{GHmf-YZMrubS+k
zEywzQtw8XRLNV8Ziz>4(E!{EW8MFKMjK?xRqc(CLS!$xG^jp?HMeRz!?T{Y-!YhvA
zD;}<8-&N7p=PUBlRa~d){e#HdeMcJuf^w5IEE?TEhzqr?N}MM2Txey}5Br$16~1%r
zmR%NSm#ObvDQF|Rz5PPF&EA^D9tKCWBD@_`3;EYQTI40RC*ef4qDIwB4Nvc&!u=u1
zYTcYnR(32cU57u+sa+p);Mc_4&tARXV#O!H+j-^j7In_Lla9}}&zs(*@?Ytf+}&k6
zXV<Q(KRZ);*5)>rfAyM7(<bH2d6c-Lkn^>S<J@W^!&wvG#93M|E<YKbU%tzC-$#kv
z4F4j+jAu<Kx_<k9>Eyc_PBp3-&W+cS`%msSl)hqp%*gxbm4@6~;%uzqNitn!%*C@N
zXc->5!SZ+N`O@7Iyh~Yq7beOdd$nTPODPBM1?Ia;PRpD;Ubfq%*w0EN{8J5|qiUAJ
z2if)IOY56Xtnn8svrd;*l5ulSio8(2Qs=bT-SmqyTpVXT%&(gexcSyMpM?(}SY6<#
zxpbjEC1{FOk85?RZ`jUUzc7n%``yXO=N<H0Wo0Kyhkv(v&~3Z2tM<>j+v+hDF3m;^
zu{C?vbM)%*87*27x_61!Q^RFXqfgc5NE%0^usd!(A9Pgr=#nYx&t)yUa@Ffdwi#F8
z$IrPIW;&}ho^ox;<yAjbTc_F=aOIi`^Gc4nYEcU$r8ORh?GAbv^2gHH*pVw<*7~%F
zP(yT$-1Q}^&#=Fma&Xbi>!)6crOkVDq9yI+s*~G4ut!}B?h{@Tx!1b*xuR9`vERGi
zynXa2_E+)JGpXrcPV!VJ?u?pryKIwy&MFq8ZQMM$)&75r-mebWEcNQTNQIlrvJ-*Z
z*+PWf4sKev<>|Zg>sFP$Qflf;=4o6kQx^C0VBc~FFZ*L%8;+}swmy0HZRgRa=`U3h
zPecf-SwAb7>8ZBz?WPjxApVmJ?i)<GC4KEg_<m74k=5HP;)G^AC_LJG&)s3te9zLG
zPTkXXC7Yft{l~^*rXZuz@@Agj`d4-mor!ridG|B6zW<S1e(T1Yj3e77*6p5f`@;42
zZcj{%CRd8i^%dv+qTP_BW83gTG(4bTuC1BpRe`+^OzJ&q9rhZoSLSSCHol&*d~!tI
zf<4<T);lI&o3PaD$5m(1z4w+qZ0|Q|UX@*SVnLtaAqJP%RvxAd*Pl%7t4f<1m9%H(
zwD(^Hoxi1tRX%ydeWRI&zf$kRr3F7%T+G^5H8Jtz?BMNt`&ADqZ@<7A5W3Idnx5{f
zuy3E_MJMf^t138acfilfi}tQ}lD|DspRMZ4%cCmofsd~6vR!@f%<9fl?OPi(Hs3JX
zD9@R(uP=yc{oI&YQ8J8O7HjvKpK4WcchJfVEKAwKu&%H7f9j9wf2*u^{aB}_*KG1U
zLY?K@n|)J%zj&naW@YxyD>GNttW#RDOKZQtgYLkto30IdT|!$~o&JO_a=dsXF`)f-
zf?B@G{aD572W16JhpI|<2+B?rO1rpID)yf@$L{|I(~tiCX4m;UGvNNueChu^8uMT2
z|1b&UX<5Wp&J+25gY93_ou?9cQ|tM>Q<k^*w;OKMmCLmF_{e2)@uA8#e);8-mXzN;
z7jpl(fADX6Ws51hY(=wM7qs}lPsp?0<1r)h-`5)(>fb+EF6?dXY4q&=u?o|Fi{qzA
zYyJKw^(FAILY}Lo-}n1UlWN?L&T~<24t}C-bh?6b+nuSQv-g+2e01E&!l)>}+bY^7
z`$@y?7q1`KfA))-5|mdLEI#SjJ;$?xFP82K&iLKcEbKF#xoFc{(~O0?_vTx&#-6dY
zk}bWv!Cd0#PS<t6UKM`&b}KHV&1QEqKlAcavbGC5Q<uE>X50Qm#Pp5-*SAb+OBti@
zY6X9BP&pv~RN=qmbjyfG>@_F1xOD2?WzkI(i|1N<A#N4N+QP+m=7w1>a?eu}+xWca
zZCPw*nbL&4T+x3gEtwkg=c{`_lKZmLJ`-d=mfqe_#?5=`{fz^8y7g!HE86?c{(Lk$
z>aF$^y+6)x&m2CQ6aUJ8nQ?Ew*{jcAn)Uu)a^m$k^!i>!+8e`n5yu>kALedkHVW_H
zc%!uczO~vc&quGmZ(aEO$29)7=H`PwKZ`qEFEe~=;JD*?^0mgd@AFp(9l9z#?Pi5j
z@I2{;;|6ADpYrj^=C*d{yR50yn6f3;^Y6)dOuywPeR#jtzRc;FYt4j<FZ%osUyl<u
znK?gQeA81e_Ky#4m8N|<mQmDkz<cplGd-SnCJ$fqtG@gj+JA=k>sO0RE1Q|~Cg#7c
zI`a41>!O|er4zsGPOVa1q#4V?=k|DK#kbo%tsnW;Fo*2B!YO0bP}A@wqN||z$hC#p
z;zoz&J7%ulcYA+gvD5i1U$z4FWx|363#wUO|Bcj55@cK+H|@t~qbV=K{=_;27yh!=
z|BxqR$d>+Mo5((~T;CjX=3nk97w-Q4W8l`d|3=K7M^2CG61ApGjGp-`N@JRApHig$
z-SZ+Usuf>cXSd}3S}dKLpZ&o;``m3&sX0>1{;Z$X^X1AUbN2V|E^<U(fA2o=^Zl+z
z`gfLj_nrSFIpOLo3xA2r4zH3b&s(LQ{<|^%(A>*Tr$24U&qzJZJpbpq_eS3RLepPP
z{Uf{dNS!D1Z`CE7Tq|8|EM6LDy%vyfKYT_!ec2EBy13-CXTEh@_!0JISFNuS&&_iS
z{6(Yw$t+;7F}v^ZCpGVMN=m?M#oEvQd7t!x;&o<bL|Ae?dFJriM&we=mB(=>ok|p~
zO#=>QFud5P^X$vZ9@&MhL6>4zIY~^;6P$PA@=?~pZLPoO{=EIw(ki>L>|XG<$r%|D
zD<Aq?;5D6ceBVyTqElaA=6(te-?2}&L-u~~F0F(5f``w_2weQrdjHtx`MlvrR6aAT
zZohwSN}++>7sYAJKiG_JX=~5?Zs684WxM3o=?&-Scy^dQD|-~M@pl*R$AC}EL&Sd-
z?G)p`U&(EMbk&+&Oeb7h4^)`13VWV(<WiK{Kfh}S>+Urzd44;hV(yRWr`2MNc+Df<
zwYda|gz?XM6zKKXl-ta=qxDAaot~+tIX9S_6gZez4sOf64Msi?>h`wM-woBSuG73X
z{W#F$`1}3kWe3~Mvlh<3ArcsU&&<Q0hds6D;7%ijhtu6|K6|oyPk*RN%OizU9h0sb
zo8v0A<NB%+LV67<j-Hb^&l%pe*WO#_g=%PvL|CrUb&1SbHJ_}m|L*L1Rs7C(<BA(`
zM;C<zMtx|0n9?U4eJA4Pj8@~OiN?yc?I$<RJ$c{C-04|=^2akNJDsYu6P3=pFY?)T
zbWbT~kaqq~tpht>eT#S-!r?2Xt2zDSlleR*;(Q#hw*`E#@f6E2zO3~2!9MfaZn<u$
z6^9=G%u{hVH0%7GQ~S4joQl<3Z0^0W#K<;!(b+i@SKRsi>X%|dRjY?mgxIRr^I4~V
z+%Q*9&$g#trSk6Y;OlQDZkYRWVUm1uoU~Nk3<tBvam@1`$1N+Xk}dkzkg3k}cDum!
z4c0biSl0ObYW`aBF6y@M+nu`@tWBgC)N1N@PZWoD)*9{#jZFTr|7`B<`o_=U7k=;3
zywJQTEB|TL!{1lj>;p|#8eJAxqOpicb04$J`cLjQESuF2?Em??Vu9M@El)1rN{wef
zw^Ge^YMRQVbvu6)<Xu0j%yCHacGnA!;ulNu<&}?{%Pus?d~;%siC=*8{yvUL+Wn?Y
z3$lv2SMJ!>Veu?BY)#JQ8Cm~IZoT@r$xLBZiQvINZKhWbHSZ-^*yb-3UvivRQ0T4W
zRI$z+el4Y4;_T*@3|medW!QN{vnA0!>2*rOdq16~H`SrXn3~1DpIiNK!XKT`v>p4@
z6P_77{@Ct%Eaqpm{`#LvQo-Be=C$P;N1JwWzMm9v%1WQ*YXZlCq6r@ZU!6+%_3*60
z_Ast@4WaK%3isOXaS{Ba^J($nv<sKl?X|rcp~lTxqS;ycK>OI|bHS38kGKDu;_f!T
zis@WUj@nbn8Ix<%GIoXS>nuq<DxqU>>!`d~)#oSg{;h7>RT-)Okx8z0-pLos|G%HE
zXpoZLp?tpXY+cxX+xhqG@3{$C2LxqbU3hUv{DnO3$$QVt<GAmz@!Uy1m+7xfWH;=4
zp}a}*;)GuYmB;Fs4YHTm?E5M>TdMM%hK^X~-lwN^v%fYPAKP$Ln)w9d49mmDYv*s0
z)hwE1a(}Ju{G*%KXnj02wPt~=$+GqH-BYZ#CtKx6{9AjJ^OLaLCEwiw%M*9D^=5k2
z2A)4Aec^pf>&nYBTTdCQ%H>C=S4-c1<GXaRP&R{PDx-*L`-7qv9v!b0cy3*`e<weM
zx%%7k$VKOB%B{N=Riy@=n$`EZ@F1I>_TL%qZ8I7!FOToL6Lpp0=$TB0?JUu&w-kK5
zzP<lZCg-x5%WHnFJMn0%h(YVkJ;rkEJ9a<#!6PK@7P+}-YfQ<_sGBq9J6AYue%PsH
zB`UK<BuA>^QBC}8iOo~8#pg;d?X9(+=E1<x(2(JB*s`?#jdObKg~VrPVjoU8X>w6+
zJ$J^JIWeit=HEV-eUEu2;_;?v`SYu_M~`&JEi=E+CK}LlN6*ea(jex3wpZRoZv)Q>
zZfpIl^!*M9J!YFzqMdBH--mJaq}DM1+i{QW7MB#n{W_ZJ`Q+NfV@JxQKYOv*L|oLY
zc~Kp7Kh-@)^QpuESwEGamwM3}T~jB_U0Aj|IPAiW0ISu@6t8{_R;V+3<X4f9yk0Hp
z>x85&c8#1IQ9sN&twq9Yo;*2d!Er-5YsEL+m>V0+R4gyJOnbM}r%ynzfq84>dDiB<
zcV@qM&cv)sf6C-yTz#oN!&ac(&F-4uy1RF7ZOpuV`)07s%t(iPq2~e>v!*Z3_?FuC
zv^=GJ>r?gD@2)$oc-9u7Q^Mh!yyocbFEyMWBbHrR+hCHj=A_Bt6|-g6pJh4Rw`%ey
z^WEFmzYt0e-#MEtTwwn0KT**xGvuFVPWsotvE<#P8?8;-?Xn7ESD8)Uz2Vsr-^+*g
zx5=-xpX07LCE-)p#3@`cnv+#8yf1pXq5I90s*Sf*I*$IRSfsR%EC21giC6Z;@;L7L
zkipWmWA0twgOvsLN$+!57dXA0YT~jq(Oh0|$IWj+)%|&wKhKdZ(8^R>7b6ni-LPcq
zX8Sq3o()Eo3+u(&H3WAW@aR5s|Jv2`Vyf*uQ4{e!>pdz~f3^MXvpAjYf#OlUo<n@o
zUdaXh*8fs}`a+7{N{j0LWe22qM0#t2xF6_DdYIHD5Ux>iLt?)3%l5P)$%Uta4;?!l
zwTkudq(i%2`bqcsKP;9!$5L$Y(xf)*e?|G$3zI$9ZqsG$*596^ko=yv)gdI}Q9+b;
z^1`<FPhS|AZnRChG_8`~W6kd!o|WCt8qc>aGplj3dA5URHJ7aDQq3I)R@W|^USQU7
z<(=En`TUG+=JK3t>gUglDZg>Q(R$Op343pep17X+x98!E#J_6X6KA<z<Mq_O%_k;k
z!mRXHWbt~<mve0ACT^6H2(}4~k(pg@KhNo~t=P9Yf0kDB1b7BY<;q?R7rM9dZcK#<
zzsV-06;**Bf+k+=6IE?-Py7Gq1^awYqh^U2A%6FnzwK$-{Qk|OT|6^uZf7snO8TSL
z_U3EgVNS=V)rQ;_ZyZ)>r*XuW{(5oLA<J~?!tjP^wV~bDDwO0hTR;8Weq-|aE5&jV
zvrEJ}?}`26NPClg_JE~agG}kJhDiZTTubd&D}9amGtb`Z(b_3duY8`ppY}y%(Qi|$
z@})1=$$WV)(EBaqOUB9j63l50HVZtt`ttTDDIZDLyz`mX!ib%F9TbBq``13LQ@{DX
zwdaFflhRb}7s5Sf9!M<u-COrT?#(gdi#Gg|O6qL=^@>Z@U+Fx_ZM*tNh(*X_ExD8T
zp1e=k_up5ACw1+)Ep3d4z6T3LM4b4@^>1b4S^?HKMW^OxFBQ+c=WJl^z3TOkWqC)^
zf9$u<<hpJ&%kHRB(a+7(9>$$XZ|l%pX~U?hlbIiW>b)ZOqtY*u2hJJW?iGvt?7Y;u
z<jD3p1{0DBXZGEGp57V1gQ-~atLN^!?Hgx4zW8PJz3sEVeQ%n;s=V(-{u5SNccF`i
z;_R%ZPd4AVdf~Hl6{)<={GZqMv|KZqrm;JTYemTC8x!ZLOnP%MTV(C|X3iawS0(2!
z<u)_sQ;v`>U+b=y;hG*=T>5lku+!Vh&b8hi5su};7uZ+m%&VM|GL5;rI7j$n+=o>=
zd3D}rm0nN#%2hU1J9Vzfel{1zml`UiYwi2<=O#s;OJB7rx7KsM=$+lEo0seg6H^S%
zIz6rP`O26}TQU~pv>I|0JlHSdU;N7R=I6CXyi<gGf;g6^@f^Iyx2=5BiY}3xF=s<h
z8NQmDKjp)^)whMuOnB$I`&-{)F<(#h`{(U-nSbnEwePdm<w6&)8w~-s^nUKr&gZxg
zG*$7|!+HMtX=@HTTYS%MF_hWdExL0K_p;sJnlH-rY`yyM`u;OMiapgu=Xc+l)Z}}L
zeQP%3y=l(Tr#~ruZ=SIG%LzlXImh4m{#L)y+$Hl~x&Fo0ll{^v_k&|Rrma8UDf*dH
z&F0nPH?7k*+OM;4WasSdk6PTcxmwkFT57VL=Ix+W>jE48Z~by;i&tV)=Hm8Q`@Mb{
z8!p&-Z@cEVh>4#<gJ(qD>H65rlAqydRKCAoU`5#NBa5X}m+qf(Y_4;5cc5%T(auMY
z<9Sx7vlf0@ki7FcLuv1oW=DfbC%V>pK6;<map8~S$B2Vh^L+QVzmwoA`ri@2B;=dZ
zv**=wBYDrV4KJU}FRlyO{?W2}`U!@Z5YJ6Ai%nNfe8Jb+DZhNe?=3dB_14bp5I=Xc
zN6XGwZkcy#n^1j2r6>3AhN;O+;){=k&-R<=y~cM%K}C3h)MG`#)$K;-XWnT`v%ki(
zw_%;vnve1sGFLZ<l{I%UC`B}TOkXcH%U1sDEq31ejA!wJ?z+ls-ftdlzPUI+`2iP8
zs@l5l{M(ny?y_w7#&u$<TG1@NDLzN$s^lchz4+-u7wcr*8k0#|eM<C=q&9dzDc<(P
zL*`gU<M#`Z?G-N3_g|a;mP>u{sd0HD@ACutQE@X)Zd$f@$rXk>@4nwy$n4r}wz0#_
zF)z(>m*>0%*QA_2%yKom{!srJXVV9Pw|u_&rm}_hDh`V{l+{JjMVVLWtvYD?asRz|
zz2DOBr`@SL=NGtmb7fa}gZj=jneoL-B;3|sYb>ARlAzIPy876HhIdyb8>E-MHfC|T
z5xY*R_qdI*%QycmficSt+E_eFP<pr^ahKcbwaYJNww7@TOCRg`@|U$j<ni^T({BHq
z@n~gWs^E-&^Lk6Bh|Q8cHgR`S{(?64+y`@}c)XQxT{5B2b<2jit1sqw3!IWo<&eAj
zLx)H2t9aY`i5VsjGn?+MYpwt1F=t!A)VI6Bx=vYMTP1T&KHv{?{h7U8hHVUY^%fSU
zs9y3uE8xq}R=D_RqodinTc_7dVoa$!JB>*^LBM^&nSvGCZ1X;HUTLy5uUuK1k#OQg
z<o(spd@fx2C>9+wUE=Cj9h;|qIr6$k8*j`vkCeXfjQz(7hgIh)kGxQkyC7s5nxFb{
zJ9~V?L}3?e?wwLr^Y)c!T?=lSm2oHS<jwn2{c08_{rgektdPJ_A-qa4{@|<GpXAb3
zI~{qmeD4HDK8}#Xi?`GT1lD;>kP2(P)or^~=lX7@dx48ztln;2ztm3DV!qOx!(UG(
z_2<4Rd3N;i^xzj4%Wwbq&u5w<aHDwd?g`sJ8z@=rd2_$^w)T;-j1}*aogPL%z0Gd)
zCgb7eM{c<WOlu<6=|6m}e{-E{!7C$um%B~z9f!Ld<6hQro~i0{k~unYfAE__yqDRU
zob<Q66A}D7S)}*TWI=Ccfjz5w%=w)7M3w)(tJogKv5+r*$E%J_F0Ah^Z%DG^=2;!1
zw>Y82vsEIpWEY!6we-?U8+X|^E4ng0Wxw-ek<oRz)&E<c=zS^uyufDThL%nDoxlDP
z(%f$Cvgb_)=dFuR<E0NRXFa`|_2-=f?{;tDDqR1jCVAFmPp4DmOQy4OPoH;ukI2d6
zQ&#;v5TT>ed9U<M{^uK^2ctA+>6FLKs{Qlw;M`pwe>WVNe?sJ%4a2#6UXIuEzBjJm
zKJY*<wa3uo9Ban?WA5qI>D!immv5V>T)`i}J-eR!Qt*78mOq)l*VLYUz~6M@;nBYQ
z1TSmRR`w6x8<bnxIMyxDQ4dyMAa+;y%5&j-+oSbY+k$+Y?H;qOWXS&Yo%w25^rygU
zC-rYByGE77RLI<qp3?b#a^>Xp4%SOwTO2<!qk`k)O$N2+({J8rHC*cdFQdq>KKrgr
z$NV&vErw6^4>!!*v*@hABDd}ji+?Idx`$p?uMe18SfQ@T>Y2Cf-iAPn+lL*m1>TX}
zQFG`*o4)G~s}SGXWnVU!1*a+R+mKSzG3#NDElb)b&Q8G}VLAa$Ds@8Z(<D7rik^fg
zW%+Q4PS<#D?XNTYYSD&c3|l^(k2ZCY`Qx~Hf9HX-GV(%JmK`_dZd=hGSbg>V&A@3t
zYo+RbH4AWP-MMvYqrz#PSsaPFX8h$Qy+IRw8Qvb7bB|l|@)KDF{fMI<4^H9CId5<0
zeKVFxg1O>=mH2JZrRlSB@7-?b)c4|B6jtbc-t4-WAKU%SZdUVJS4>a}o-0^&Q>g8^
z&&t!YwoSNsT9m!~>Vzp0Nlu+}+P*zFzKdyRc}J6lWJNpEEVak2OJ?m_xlZ$qmIU`_
z$9mat7O&n<0uSO&m%UK@|FYM7(KQaHpq(?duJ&qvmPtD%<?p=o>h3j@jlJ%Cv`l(F
zExX@K-uLdoMIX!AU+qhNlfAyN!;b6ROSgk@A8xMFzWy<ue@ngNsrMcYT$U463l7}e
zqNzUl%HxLhmRHIbuRL+AZ+D)epR&pfhOB+hqrcYr+&$G+dnRm?@2@>SME<zU@w-}O
zlPm1yU%ou@_4oMS)`6B+ZZ_%$w0M19`e3Ktr?o4RyZ<GNTypp7uyQ}b!F+3<`n&d{
z(q}%|uIG+1uDY{2vQyo~=J9?1m;-{FFPQ&JojRNO*Y}6Z-*v8?v++`HaG&KrMsuzJ
zH@TfE7IFONrt=?Ev<pgJHoxlYnl}YH$#*}7#Y+5X2*}&JFjY}hcWLl}W%4CUJrYlH
z6-!C>&+drZ{Hmarz2n;-<;UHk%Nf`6vQ6W6UC`8jfGx6!zv98VS#NFsFL?0qx8qGE
zTPe#&KWir?cni1A?Uj{Se0htX{oc=S0`8uk6ZmYkr8tid&->6q?Gsm0wf^(y8D&~O
zJZ$12=I2#qX>IswXRM^#nmi>Fn>%-w94!j(&*{{c+<e?=viy#(y#_N^lrCbLI5BSJ
zf|yROV`Zn0EnL~J?!Wr;59MC9n_Vl#pDIjY+flqCZ_eKr=PMsJu07&)Z_Cm1?_Q@)
zR!{Znv1woY{nD+V=li<_vbopoZqmB(r)>Yz#mju(Oja$MXxeC2^t`W^Tk~OW&JJDm
zibd<+?7f}*?9~S5jfQ5tJ2LM&&ehtq@BNc#zUoyux32tqz;XT0I{&Pv(+xb7QXTiP
zn|K*4H&f(nuD1x<{`9@N|Lh-~F+U$9G%9cWAYe0ZX@GWOgXE4U`nQ-vc#j;)WB+{I
zD(u|B=v|eEI1DmX7)(p-q?SqCd2u@O#gkgOl`biM+HHak@$5Sc7D?K~tP_%8Xguzi
zr(yi^!F|Vu$hq5>?D6R_c6j>U=D*K`sm#fl_bfX-r+&Ip_Lf05Y-*y#rur{g0jnSK
zx?JV0SrK(X^MbqN%tH-}cvSXy$g&+@Hsxh@OL>QMl=2I|<^_>r;!5tqN1x=bjJD@A
zzy5vs()cg$AE_B`c{WROV)?Y?7AG717M{H&w%ek0)-JJ#PpA9@t9(9BKWZTI_>4sK
zuIB-5Sy{IlW|apm={Voixv1Eozn?GiZ*IcP1GZje?ftRk=gqq!1Zs{-t4UvrH5C2)
zT(tWsSDW*c)+*Jb782ca&sZ3<=IraWI;Jt7H)^-!6TYzPwg&C|FYoMKswUYmGpy17
zTkL+R?*gl;B^Um-I<dO{i#GGClbR{lo*mH=+hM))@V)EZG3*x}UguOld6UcdZDi|_
zUwc%mnCe!9-v9V-r@GvVy0B|}-vW9Sd4y-ilx=x*JmP|CNbc$yQ^h55`Hs6^%-q+O
zm9e}_I)pV@*DU-*Lh#eVhD9rluI^z=nz};q!o2A`6>U`-hhJQKwZLAn<+1t1&F%}5
zW~`sa%e>>-4_~Ixo)tp7zNqoHGTlo1f2r^36tBg(ul_2|+Hd=`>}c}bl+vg!9S>X8
z*|Qfrum=2QpSUk5DJ#!<O2PtT^W%@Bf9$=tGV!(3)ZD|rc=!2E`{A@!_t=*!n|13f
zy_|2l)c)A7zAy8?W>bP2>%4Po4mh;jFgBaIwDF0C$-cCMJ6?+<7qXtX&?zS$9l;xT
z_5O>(hpm~jO3hWG|A@VCUcu<Dq;sco;cqWddEFkzQ)R_x1CrjxESGt6!XYB3_Cix8
zuf5tfsk2dcwY(KSe|(=7(!|>GZ<2oc+l?2DoJ81lMPHWAU)Q$n@X_j=TLob==BeEI
ze9&fsm|W(%Ti12eH$0zq{(b2hsc%!B70haA<!*}7Ts`ICheaM6*w5BaIXNNm<F1+O
z4sE}BQ^2_HLs<XAGIsSdqBBfv_PkjvzUIIzUM<cLt~0A_L~9)H88J`m{rxE4lV9ho
z!4wPW{yG1|vaOYt6ut{w_v0W#$&cB)Yd@X5nZkX|=J(4@=hS{q*7R26H{Ut+Xt~?`
z9}`s77I5;dxa*@f(@V8~s@`7t4{IKMKH{<5V)pf!x3w4wkLjNd3);HX$Y&R0_UE-%
z??q<2mwNL`T7Kcs*YJDmsk``y>DnIeK0)S&K!?C1Q(f~Oy?39sud+tu_YT3wm(N|9
zmvOAecm0N~t=m6D7^M|S_~+aFVln==X2IdCn2!h5y61C=Oe>kYuKwMOr;$c$I*exe
zaMb-)4Q*Et{yXo>tW)cQB434F`ssfCUc|0n2i~s!W}~<7@9n3{H7mo7r=EK?{qgoi
zzostOxAF0n<r^0}&+wnUP4)Qg^*@BY1Gm^OeX%DdbC<{`=Lu!1>)U*9%>8)6Baq!?
z_w&n%aUV2yM+?6Y=KN>z)`Igno0l2m%L(~sr<{IsyvSb6c}||FrKWE4fxqoaEOITX
zR#%pOUvTvun-ACBTNjQyKYvv>S!laUuj{j^UBU}feiVF|!crq-Dty=`t5Hoel4YOT
zlYgRW%613NUSeo9nx8iRbwc@uf8y@(Z}eWbh4JS6Irr*Z{LakwCo|R^w|#SWkIPq~
zAFZqAd@=Np*nFk@V%fjsBl7bviU0SWvUUphSLL4HY>TckY&Q>`peFtPWBHxs%Znap
ze*dT#dnQ?GoyCkvtNDelhbNSlxHIH>@XP*)dz;qeGtEX)=Z;|I(rZx@mQU$A+j`|I
zzXq@0%R?L8E(mGQb9S24e&D3?%lHzT1IF6UXPvjZKmA|6T9Y}q{qdq-W$PkzOzvgM
zD_g6(%zONS=iauUTmAaQ#<M?eOqH;7(OsHrXRhjV(#ItDe&DNw{Cm<ef9IcD_IUMy
zZ$by=wJ#D+W$36_vf#g@XY<KUt@}DDtM}R8yJ0Do{9^gkSw~#;yH3rPIdd(!@0`#k
zzB{w;T=YC%{py_>hi<=26sN_X2Vx5Hx}6DSLHYtVQL-Y7CY7BQOz0LW-ll3c(dB2X
zi_6<vwm19#RL1X5aNTj%(Y4P-z28s1*hQi|N?KiPO{&eoWkzq;y|=2o;AnM!PR4BG
z^{aju8=9P6e`x!T+~Q+X-pw@lHsf^Z<^30y`ptZs#ZvicX+Q}(v(uz-k6p9AearbL
znRKW=Nc59c{q=um_RO@Jcl-OM^1l~v-Io8sF+ncE`)splqorU>!@cSGFMP|7E1n9t
z%$mP+p<dkd8x0RG#9h8ze)tVjI78v%N8e-k%~cvxl}=Cixc}(1?XyB3UY{E;xzV9z
z&zD;_^7S>kZIthpFs6q)e}234&<)pF3;KNS#+n+aR~P@TQ7X1A*~Z~27^SD7xQktS
zXVugLpIW7~oQgdHe)ap`X5FKiALQo9@VBFY)thT=i^_TV)3Vt|mk9_?D9`z#(0uCr
z#tA8N8%|!dxRJf>^u0vpF4p?XM+IknWRc_YdGqCna=6hv_0N(o4I00)sqS!A+!c7h
zTW!Sw(f?~6zMLH?+r=}vdZl4YB-b)Ii)Y*Xq<S}3+&&i1<g0k2$=)PI|E2Sm?#1jo
z#5UeGzYubJ!PP1AZQPD-WAck#cxjVs4%>U#?`6$m1&KXPYecnA{?`7wQ0B%A`4fkZ
zUt;{auTb>4;yb2r-dojL3;As4SiiN1IiYqnG<$VW-fYEEPT|t9p2M4pHkB?AJkjKL
zC-aysH?u&A{JPesci!&kU&0rpzxnIc-!Ho#ny1ur{8XN)_iWZ&P1k3&P7zNQaE9)Q
z`Yy7At>1EU@@eMPt(6x(#P+&xo+C3QpY;^0M)-?IQ~Lhx;Y-;f=)1K!TOy_XM{?WB
z8_M|_tbsT3K0Ce1aCY;2usY<xY}Vv?F7L`D{%)A3EcQim?!)+k*smv-aIUP_qPYKj
ztk%K1$%`F6zGs~IR-&QSZxPe1uiM*KXZ_wHasP^^SI*62TlOaJ-+RVbn62--*e{mo
zM!~miD=O|xYTr8LRqOBG<T^p8H;J~ML31JlYL6{zD~Vz7?=8EmlJx48$jMJNF}o~s
zCd^Bm{N<cRS=!oDwxTa?dB$@ICClkCD1|CD@7rZI@2bgpy`Hv*mAitD$UalJrheFM
zi^T$$$6M}Ryl~>4$m<u!R2I!^iP-FS(^w|>-o4fOOXtX~s9N<*rl3+amFq`wb_UZ`
zsm8@@3%+D2rypuyxm$FyJ@U_y2dsbgIxM~LdheC)1Cl}}ZL!iHPIleBb@BMrq#rT?
z_gFuk6T5Hr-RtyLzByYC1u9&sujN^Jpf6c&?Gc43O)6K*jq-O_6rQv3pYPxB=-URC
zkRO-DKi@vO_QSq8efyWKxGM6#q;!t7$KTVAT*tnaxw!8;Q|RW}ZR4<n)2GmQqom<&
z(Mt_absunEIWBa!_Y~Vn=G=&xfgRS@_0~QSOnB1%;lZLqtyxogABTjp*xdcIyH!ZY
zwKYWdk(u0y6QTzU*=KJo+Vp2@Y}ZuwcMtSK82)Iy;C=aYs$P}+OM_WLma6|Yga;-h
zZp=5?efC36zQf%YbH%QOTRhv9;c~1$<R3TNJBwfTD`srcsA5bjZaA+f_Kod8$-*DI
zH?sfX%b2_R-Ue;UBYxAbuq=r5RMBRdy7R&mqd(ifK2O{0vtkG9@wtj}6-ry~2h?YO
zSljFU-#*V_oxq;3r&Bh(5-vVk{<?bokC}4WKUN%Xk)QK(|DIDzbhb7bPnJ=cZWeCY
zxo8551D9&s&8225SD*aXDWJ=t@S4wEZpx~sK7Z;xrT(TFJ@Gk^`ZS2!MeIVAw}!K~
zLy6?P6u!9Xa<;cECj)0XCT@S99~rK|x!&f%PARv-&EnQVI!vjCuUTF887!(_SGB9y
z>RGGZhMP-*WKJ3=X*f+>XS2TYdc?O@`S}6TiTVEKH^1je%gY=MnCl#;YW(yQ)6>Gc
z?O$daDcsn;arxXg&*O~u-(*%^E+TbsvFO)(*Fulqv^i9x6Z3EO-tb!1U$&O-HyUv+
z`XROYSU@G$n}#bolix-hxY2!ElyO<y!Gs4pR9<wH3f!;QdFO8r)B2!@XX`#XNlP$(
zP5Sm=x!w(@dkj69!9`0~e6G$~sD4&{5v$jN4Y#&M2b;(-FI4Z)W!XGsx~6cSa*y%W
zTkf?IY1-N`6ShP?wFxNyEPv_z5y`a+AN+UtbY|ZD_jUG}hb-io*{4LWY4Or&syyX=
z;@k2C|6JD<JY?4O^@u#&K0Rlm$lVpn3A0Um#X8^oyXC@VcF+4u`123DpB`KsIEzne
zXLTZn`U#uh1J_QnZ{=c)m-a2?estK=_`G7ZoleDGkzk%IWtZbpFXwGxw~S=5GrX)L
zyea<rhtPSCnPbn3+DmzHy*hk&;mS}$sqO&%=1Cu0T4rc{xOYV-WG1`fx>CU(y!vI;
zSsc5)0^RwJxrg_J_9TC@EZ5j|?6cXG>FzhbN1aZx&P`(Ya8I9IFXFYo&SR<4+58E{
zfid??4YzExz5Yry;E(bho|$!_hfZjR$z5B`keYDtB!8LQ(ya9y+NI9-&KReb?&{Ky
z-OF(A+rMTLox?#h3s(p&m$A}*nR(=#S=tNj?!sT9am$rw@doU_Drf5-!S^ts$yI*l
z<MiyBX*aL?)QFv#zH?j1N#O(;W-iB&IIg7^%@{YV-16pH%;vzYQ7-z)b6Pe_z6<le
zw(P`%!wn{rlZzNs*Z!aGyJM*yTUbYw!O!cG1-DJl`<Nfu`2W~W{qxb>GRqk4PiE}U
z_}!w;IcH8&S+_(8*N-h%(wBrj@VWkB+x{u5g0xSHa<2No*s-j<?EL-3-`VTtpAcH^
zSIsLw)3`dy&0*KUT1oy^!R2uw-i+4fW}Fr+d7;|JzMAha$$ogZWS?cxdodHsYrL~J
ze?FOVb&pJeX}sRXBU7qo+!E}~>J*Azay9hE<T-909=D&m7cE@c{Ll37q8(d9Ev~V4
zXBZv4e7|JDG&`oN-6Ew<SC#tfofZcyoO{VZo$o8#;nd>(cl_U^9Nu1@xn;lh*-Jb(
z-FK@Wdz3UI<qf04B1<{`O-7D$x|UtLX?bG1;^q4h0Tw}aS=;V?x8N+8o4LFriP>_`
z!P6Ha9fI$l%w?!szpcS$zTT||pPMHw(@<HVb8^YqgB_w)_M09&krp|Y)XlTwVU)}V
z@jI`6?wzGI%j8$@=J18}`%2rHboA`MT-KYkcR7E9`L-yHN)543+!wY@$<uGCkJS9p
zKZ7?&-}KTfzTT2cNj}eCzx|Lcf9TNE+fvPY`6g%hr+%O6`E0_4@&L^PHVqaA%{-cE
zOLrO^KJ{Xv#=g^wRwX7)e6}+=O-Ld0ZS3iZdu~mbGp*RA#qfx7<*khzujd|}bXHSl
zM~~n#qmB1$ge;?DwwmtMk(l4=q-?YQ)v+U=UsstMoO=FB(korhZSuBPG8%^#Z{zr7
zc0|pq=hPAbr+r<LEB6T;&VOLWB`UnjL22fRJmya#XJ#4Q+x}|eQ|?3W7t9VR)Bk#T
zxBE`%jk`N{9AwX$S>497s_D3o6^Elh#fyICEzE`ddgU&GHLlsA5#1|)rA)fmH7nv?
z^XuDM-21p|CVF&pwKeEj3t2pSv(>iw-m52RK{5QYO<u255_t1DjGru>;gNagvg(Un
zJkz&X#~Lj8a_{!<|GTbtOgt#=QR*AwE_dvGpiS@Q!h+%l8$)NiuAlEyzv@)Xst*f-
zOnw(C3mw=R9(82q+7;Z{t0PwDGVzOA$!}>nyFpwmPSLX|>udG%%k58QaVo5tmYI3#
zo_j-JoaRcAU(zhe2X<PY%8YGd(eRo6^TV=~*Q$43GjY6}GE;s>nTp}6{0irb8=rrR
zP%E=qC!IUvc651~>;}1)OG-tJYhS;dw_!)oMfbva8iF5gt32zhn{;XGf%&H<yzWU3
zTCwQ-@20jq-*tUbGEc86h;}}To$UMK!cvolBCqAW<&D<NEEA2oKj%4YR{gl`zKz)_
zrwKKuGyWv(30(f9s`JI2vnfhzd#74BN}o-8cyf6;V_)U&xq&k482K{RuT&E*<nER*
zw0I_Cz*hXAKlyZKjdI?7fyj%Oc9g%JE7BXie~bA$jU`7vHD!EcI4u%y<o;EEVe)x5
zzZs58pKah@e|rMYjKrN={?4j-$e<f|iu1Rf)a*60{J1B-U6`{b+xWQVCa&lo&67-4
z^Xz2M+osAf$IEcP(}^ixKCcSq?OmGCKVzrToGH}~ay6HXet)ifcQs64dAy47(`Cs<
zZ@SE66r<iJPo3VT(EGr_?^jjVujh(wb&IQCEO6TE5Lf4u+;YxvDxb=A>&w=vjGyHu
zUpgo*Vbk?EM|9nh(AmuYd^Vpw{-lfLW%-H54_2iL;q&&11$b=V&yzWQb%p}xOV&LH
zq68G$zb*gx@9X!%UzghLuhwqK5NgqWa`p1McXEevGS9Se6*;{-AAIB1;$vY-(owFx
zKaHZlSY4@6`hRTo-V*1CN4K5!>HL`aBz&^i@(wPc<&vqB*Rm$?O%U3@zx&3c?;mtU
zw>?=Svx@8d){gscti9C=Ju9U|5*3#8&EHwaIM<Q?TimqF+e`0z7#7a`-x{r<o+_1B
zI_>(**TGwNEbi?6kh?I2?bj9akCon6u4b<KFn!IByj!oW9QOwn@`d_^=$ulp??3vr
z>*B?2+m4+2a`R7BeO>GO5>Hu)dGl*Fnm4-K;@f##bLHGkeUs*V|FiH>#hxRZmPPLl
zh-W>qHS%Y?Qqmdi`U1H{Azy!YBv<X5YLV9^lIW$kX2yqdzE15m;cj21O7(5jVVOB+
zrgKnm<u>~nEk$$fiuXL-bKCuqigo68i}}uXUPQ`!Fd4q^3;zE1e14DQqUHCb&E}Lf
z7;x02JdQc_T+G8g*y;VI2<6Yel2qTOr7XxUJk1$>#o;l#0VmTz(Y+HcMheMh#;-Cr
zy~kIyDwbtR){(~K(;PcD@Eh*sn|Xizv~}y9uGor{FW9;I-eHdqi>^=GwS;Mfa%1|T
z2d;NE#DsP43w*I~#wU+*gAM%q)=K$qRR8-*%Ipirshe9)&dc&yUhcT=M3vu1w#QO2
zKN|8ky)3;v-<tVToy=1PrX@n0(^MT<RKD)cd33^H<+GJDRV`QTeP@<dKcj8lLH4`X
zZvKp8dv6pc{~-Cvx$uvtuWxR?bp3G>`*lM`XH$ot?X`xT5q9SeKDxm@!A9lCm9NX2
zl~>z!=AGx_Zk2G~W0%}ruG<mF(JW_LQ~U8dL;i8T8*HZ@sV{iXc75HwunY3Lrxo5=
zvaCJRA})4%Q2M$^`=e2{QYo{=*nH=PZ75irHT(NTrf&P`UcW@o|6bd%b9zX$*wb4-
z=D%$Z_{SboWWQ&ju<0h#Ze|;uFpI*)Rhy?wJLh=#v~|kl^%|G<fB7%=S2`v5OzyQQ
z$2M|s1bmMD`{c6O!F_+eJk8TA{8wVw@g%}M#Prd6)5$9@bKC5n(p&5HiL>mGzMzWx
zi}hiwTo-wkt*ho{e9${9NQYw@clGlhXXIl)ynE2dzEA8)L(AgkRxK}=U+Ep^-~4#J
z?(WpKrh|fZkNz5PygcY>Tdi;PdX~bKt-8F4ansk$`L)D$ncA^iCy!Y4U5{&as?$i@
zfA(wPlYQ;sdChYs>c&bd$ViKNI4PJpE>%mFT&!EO;^e#MZ}dVc-zxhUtU0mjXV~rA
zf}#s{O)##F-7V&C^;tCk?(h3c{-?hYuDx87{b>JpzsUDz4@FNDzTL`~pYy$8Cii_w
z+xqDtTYmSxeXh~vbM)K;)vI;BZn0(>T-()F9=AW-B>pmEnY8`e3j1p@3~!ueudHw5
zvv}8cU%W`>S=q@g%=<P7T;c7#P<eXp2lqGO%#8w$?d5L1YN3~0T%vn>dVas@<rY|a
z!tu`34;hD<E{Q~*md=uj^SOOwZ-OO9m~^Pav6V+Z-eR)lO07N|CL7J)>U*Ysg^bri
z&%N!8>B$zU$y1gkPTR<Su+sfSXylULFZ6oT79N<ea4YvGRUI{X!wI|>BB!*-zTaUl
zVqtr8QbY69&B2n7a(R9=e`5Ob<IOy+L&~+k?}wGR=gEld{3EFuVb^sq!hfCYgou07
zW-VV()}?*xjjP5bao$ghe%6Y|*<HKRKY7E+(n~JWd#)H?nRIiJfwJkOh%3*6w#&3$
z63qX3Bt1h>{Oh-uUnW2A_}T5jAgOv~^ZqFv2V=ML|LYQ19Q}+jcEYihi_S_vh~9Wk
z^r?Bt70JaiU-n6!xoXd+{P82(yt*xyN;bs46AVa+C|<<&tNGz>p}h+mSLJ=bdhF7(
zb7s$P`|bWFBzdgt=kz6VOJ1hk*4Ws0N}(j^(&j9QvoqBVeSO3)n=IRR)Zp063sVnQ
z{9n|v&CblH-O*FOLsi@Au3_TIBcbc$yPYrmpEo=C9lQ8h-IE!c%v8Q!*0_1A^z?nM
zl(ZCm%kZ)al?HWtQHO}%iM+2)u01jRQojA)50%`1q}gKc$p*e=W05=GYGCz!k?lDX
zUaKWrUz;aHz7kv)QXSE@Z^_HsGEACQE|cD|{)!6he75hoGTS!kc-c+6PQ8@zo~g5b
zPSFJYvO@~H4VLRxoauYQJAbwY-yt8DP7y832UmHXs2-fZJ?Z)9dCOKX_-I`@Ubo}!
z=VsmmPsO(_{WCi;{gAx&+)Z<mU!9)Pn{)o!+=#T_OiNmr`p${uC4W1%VfW+7pXV49
zDOJqp|M+|1Rc{-^7ZQJX&v}@|UKI+z^ospe%sR#u8ZtLmM{E|e)ahW4(wgPGJF)Wn
ztC-~J`QjHBNgK$oJb6aJ{q5WilRp#Z$C!7mW9rNN{O;M@wKg(mXPnctD!<;iY!a_y
zS?5|dvo4mm-h1EJMsv?++OclRxBSTK_qM$Zvr2OOdT`r{T+X(qI+sqLoyBj|es0}q
zp$zl(*I({EePQ`wF2f$dln*!a?0ZgZ_v7t9bBQhBs}m3Ry2p(Z9tZ1e7Oz<5^~}bt
z_<Z2pw;R|rwl@2n^4U}x;xhH8{+^u=_gE{b>qu*t8C*B-T3p_<*S+D?>$YnvC$=?J
z{x8W{EmO*EIeTv7M)_ln$Bs|7{p?+B6?5;Z&C>s=b0*&UaE1BVvb}02_sx%=sriXj
zqmC=#t(fN2JC-7D=6%W=UPm$&H|Bc^hDnRIGl=Y8dTOcP&2>AwUr%l8O<k#fWYhcG
z%T*WdWIDqi>=ArG{qV24K#e}bTQ2OO^KE9DJm-%J_;WEzoZ-U0`x$9Y=Bdk>roPXg
zXg*=<*C09WO})Xfwf0y2r1#BJ^so2$*ccMn?ESsz{i?4!g4`LCj!PU|o)?q#V@209
z8=KRLVm$tz6`Qpl6kR^FOytX*t!)|`r&xMEJvi;8{3_R_uVT+faVOgPm=yf`+w|b|
zre70|I7<cbDW|T`tNZgY{|ig`+{1isLYfZApNi(ZuoCz$7R=Ja+4lZ%%nR40A5OOh
za5O8flIUIYzBw{G?D3>6hZ$E(9k{QcUbBb!Y@hPEU(Y4mQdU3z6B?5c6}E0>)#8;O
zYcCvM`D2o7&6`Y<yV+Ljg}d#ZnFw7Jx#&_DAADx-#O>P*j~JKTWGOlwoc8Enyt=fM
zVZzNdLC=liUd2DodAr^FUES8V4b|Kxnad_@&Nd1SYl+^vyQh5iGsSBu=@Gn&3qw7g
ze7svO-?#DG8_A@m*V_E)+jct6o^NvH)sLS?_pJ}Svi4EOyS4r{hBLQ3w(8sQg!^AC
zM^o{%t6p}(#fRe+68O)v3wnkwsO8V7Id*!((Yft!-S3#ko-Js9*m!kPEYqsTsnJsv
zpJjdbxOv0pVBGlwLYK7k9cQn!)#!+G5J>v-sq=MzuG*CB&!2cdF{TGIw|$Auir#Ym
zV;+Cg>bUx7{nxEwEVX8`Tze<)7r2&PtoY9H!L(YjCV@+5>km17;bGjacb9R^ahZo)
z`x|R4tSqj~npQu(u_bEVypO8U$4fgW{PQf8aG$h3(KK=HQMTVKF4J^o9OLkG{%q`^
zDzy05{Fxh-7|N7ab=2&0`Ss)Q!s$glGfT93JA?hhw*M46`?`|xO!!F$vneLsX)LFk
zxH%6OU6Y&5AftG1XGaO=S3xT`o249oCX{x?a|it~O}+GbrCi$?!6zLjeqPckn6Xn&
zDR|Z8Q;Bmew#6}iUF)>y;tdn_*<X)D-SB<;sd%dGthc5$t0ehWZBEp`eSZ4$B;6P$
z=LVt0|3623t`t8u`(!D5&)?5#HGK)ZW#+-3CuqA=bDLKlN`HOuX4K8EtG{kEzia67
zzc9VNO#eXqH5=u*n~eO=ZON+H$Pn>(hw|&sXV>XuuX1@S!oE{hG&W~fr0~+8>*K92
znW?SRbr6~~>-CSvd5f0ns9n_->sZRXeA|<CeAA;he!TO}V$a?q$17`-YfiStTL*0L
ze0}p#NceV{`KcWfISx)dx%H5?=otxy**lLI@ulp2JH5Fy^J>+NgssmyGIM|5{~;Wc
z|H^v9)j!Mm6atR&|M~n~EH3b*fNafcor(JekBK+lkz2bs``Cf~u8Duk`{p_avD>a!
zH;7H1{5QP*Y2xvnZ??|-@NUlw;cERw+c?A*t9<F08)(8Ud$FnC%8jZ1_Vb5IEU6E6
z{r|D)Rls498yC*cIW%MD#&i3%Z=b*9ze{NDk3F*f>vl34`_|SSU*8tI`G97q23N<-
zr>u{*vdk+@JDY!F?>p(%i<5+>UcG#F?%I3ldVQN8C@$G}Zi>~pg}z@@4(rP(orpU%
z`2bVz@7s@r8O~|Vn*QqhH7m0>8fX0XJt}`8F4&i(lwUeEE~<9krhO&XM4mC7uj=2z
znse<>n*X{FBHBAWgC1ntm(GjqHPMdo-x$_>DLit0(eJYLTT4a1t>d}(>zt~s{|Bb|
zw|83c&fNLt?vr|+nu&&98WW4+To!K;xb##}Z^eiHzp=XfzPEh8^l<Th*uju{KlIgk
z<(Pf!uRn7vyt;O}@`P?Vzv~h^eAYc!^UeI|w?*>DuNu|wxHN;+?4l3Pn^g=uFLL(;
zybIrNEOe=Q{-dYieEMZd&Wqp49C~)_h$7p<&9YXJ!alQdC$#HkIXwQW`cJsvz2cvP
z>#sg9XWw{!#sw7<D~-?hV*1u?;Mmf#&T=8o;aOXIFZ$dRE=ZNQZ(SgD@H9ur`6$uW
z`x6`;uJ<k4l)6+%{&g+)(I>0&I<n0hmw)y+!I@_ntFyV*@!6|2H<V{?+LPW9z0pbH
zxviZD!%q?ZHD6b#2XiYdI6GUY%5l@iO(*$lTU-yT=OtWET`v`&@#=EzA^RD}Gg2IU
zwF)mY^L9M_QnWloq0gXE!DzYD$JXrN(14d(Q?5jubdX!K?(6}5`_=t+d2`Rd6miWJ
zKlbfckl8-R*_&T4w3{Tli|g713!z_APPcKKlb;~P(R<lqqt3p0&Ml(-UX#Af?|pV%
zn4>L;D>Ssw=Gm+tKSPR2?re1w`NMwsw%6h}oYR;#>N5STloTkQdguPEDIe?OuUc0$
z&6slO$TFs>#w^yGqn*yra0&Py^e^Wxv+bN4*WOL%_u+qQyjt4!@jSK0t0l2v)64R9
zY;KfEKV)ns`8nf&(y^VgPU#g=o<}avxW~Pe&q1k>=lZEAMw1hXdQ49YLQIcr(5+iq
zYWQu^``$NyPqA-L)39G4|L5f;w`+G<E@fIvY&zLe>K0cd^R1!T;P~l%Q=ICbn47Hn
z?dGv+srtml(L5H+9h$p?0^&>dHi?v$o)DP9|6)sNol%N;+F5QPc{8(|g22_kd3~xT
zU419ENK(*pGP76WwK)fQCvL6x$(&cUE7Wd%+5M^Zd!8&dxe=ApzF_L4t3I3d>3-jC
zaC$~!<l+RIbLWbVzAjrX`S9tyiJ7v_M>F%SiVw|;U=WeIu%o$lK5u>h-|GwBTIVIz
ztPISP6+ZV(vs13=Z*c8eEqC9?VoPt8$)DNQve{Yb?2q!#m60YIytnVIS9r#}gLSF(
zoVSjz|K;q7Z!Ebv@&5T2Tk^VjxIL5SMu@CFY+Eq<+Us2X(}8~(jXRZ&>aBNsl-|p?
zsN<K$ZHN6$7C~EruU^^C`8W0Ia-r3Sw>tLZmWcjsjCPqm`7JkZ!|JaS3ng3+$3{8K
zTu?jV&kcc)eFu9sUe&PnQEa_>JzFE``s6c{mMT?fRoL}AMTA){m#Oc6@U2g-l3hai
zanDrV+k4x0XD7V~m%8uWXvo34>$(5Ty~(#1b{-I!=Nwm*Z1h()%X!AMq|b}=tFDOD
z3NqZx&Td^SGJ{p*q5iI2r{B-v`DVGSN8+8g@+(Hcip?MHzBWJly~SYfL8tjktyv={
z?KfYyPET0sSo<GdiKrsAI@ZklUInsyOs#6~+58Jk{QbRBTTyV2QJ7JCTpefoGf9zy
zZre9|+0~Z6UGcT#^5K<!Vvo9vmt^x?nQ^n4@um6Yx1UV!#`9c>vumEV(r<^h#8x$5
zfqGMR;nLedl2>ORdAw?y!_9riT~zOz#QlAIzD{uMN4afLn^M>&JX92tuRGge+qSk&
zaK?uvI<?%tJuROGdA|;dTz>uK<O8WymsA2g7v0l!v$1mjeO5irN@V%Bk|W34xz2A2
zzHawXqv7!pCi57HS=trqOWr=$de%0NCuY*07Zarps9aId4LCKA?XX2_!f7{glh+G9
zcWiHLw{?+<7vm4ztFYkFiI87j!kaB4F6KS0W6oXpcuKe2H{Jbw<&uI=Rc6hWpPlUf
zaNEY}Dw!|qLO&fpCwu<LjE`EM&i!>>oAE0C>Dnxt&D!nVH!`M8*~Yqf=Rw2c%4<x7
z&p-BDVPYI)@pX0K7w1;1z(h9V#qE4o7lfE{mg@Z7awTMKyCKIu$CZyh$Ta#~6Uutk
za{sWu7qjZj1Mg%FPB^olow|0n8uw&5Ib(&+G`GXQ#LEtRt>$eEZM*X~RZv^WsBNFu
zy601NZfsxiYVNnolmD&a(My{1`k7hYZoLI7t&1;8iCxU`@$pL6ZsnK|l2Z5mmp=34
zUl9qPyI&uYK9~Q6^=P@U*vb{tckKT)DPi`#u-j9lzcQ>idLZJ(`NUF%<7<0YZF+2}
z!2i4F#qstF4Pj4;jbBSLJ(?1ql{>df@@VHpkvUQuwPG~F{i@z>U-nqJ;dJ4{Qyvy)
zOO4+$7DVkiVaWSf*PL&4+54{&3(U=CA6&9e<L76oi8~8()>_?8$q(rZ$yyl_^z}f`
zgJhN~`D<jJo_P9&+3F$J0m02oZ%q|X&DpR1xp05XN6o0<txSwYVO)+SHB-O3H+=GT
zi{$LCRWaFhaJI#4u5A})f9zQwnqH}R_~ZATAHK2A&X>w^$*3~ebk<kP+tGPa-&*M#
zm5p<hHeN5!nxVivG3!-&cNX6S-&YM!UtQCU7r*u5zxA}a4;9avn->W;HcMPjX4Z9*
z$~(KK;_39fN}m))`>kq9&u8WpX1oip@+o^{xiOd}xw%Zd-?COHHQdJ1AxPC@rQ=_{
zO)vTW$+y}pxczYN{v~YkheI40%XciWT$r$Ws=`x)z$K00*5clNX_6(p9aqELS7@i~
z(w`C`aDUmP#i=irwLPkx+Odxzvhl&56`Ab|E<``K<<hwo(mTVUuB7IW>y;0zUd%nM
zUtexzU6e3Ip?>YtIa4S7R#$bdIKEa;aa+Ec-h#z00@unLSx<iB<m&q#l*A}<f?;{W
z^=k~DEAO~zzxa9f;>(lO=?5<gzqyzc%B4_s`s%)^IpGp*Je9Nm^ISfnty>`ff5x)g
z3uEj`S=QF>5{#c$W5e3v-nI04Wc#WWdUoEnDN0h$esHL5+^i6+D6qMA{}BU!|A4bE
zR>rMavMY4<n~SyuQOo4HnI`C0e{yPAD_-wE)#akN!SbXv79tJudz!-899%1Butsd@
zRXsn^^|HXD-(2dv-nsI(k4mcjocdSl(4o^ay2L$}sl+fv?YG?dqnO#fY**$ojgW#B
zyFLD#h!5p?xzyfV^JxFyYbL8%PG6pr>(Jr!(A@5TZ%gKHwVKNH-P4>W|4*x~WPDd`
zFRrjzf%CeO;?DomYj>=_Du0u6U6y|F<=(%47O!3VX@1g_orNd<q}wJKJcwMQV#@rH
zC;y^&hr$Xq|GthbkM|_8&S_H$WGq~;|3cjKNm=gJm*#v7oBHYKuJw8X?0nhr(<c>8
zX3Adte#4_5-0_^-H_22P*#2b^{NsDK%C4jKsPI-EvBnHezTyWb@*ST?-qh#ZuNEI|
zk^ZWt+i`-M^s8<)=5Oo7!+Lh)A2G>j`V!A+mGV0!K>m!Z#U@t^R%xd-$6J=^EX;bs
z{A#I)XW0(7)>4NVtQ({)!`8K$EzX<dDyCwb-}?Gz)tsKg?GLZI-xa>6VaStSJc~v2
zzz;W9zC9+cTlB7lESArm%)u+m^5xvLx1X%Cl(X(_di+c$R9B9z<mXan9`WvXh6lq>
z_651Www$ooNtiLW!hM_W_I*-UvzlLR{p+iL>W*H<1_Aa1#_^g?nG*B7X1?3(nya%Z
zC*{W7pZeZ)9JfDTwtAWPZrZy9tKCN})_&eEArdWA%P-Dk7RG<Obz9uEZ)TRI%N%y;
z&F0fz$a!Q(PV%u&C!^MehViVNA2Z=;`}QAs+hy{~)Az=oY>(^k=6zcvQm4XKA98j|
z#6R;7@vN$DDw^7-!`F(uuk3oV*kp@&@l0*UZK^FH(vjK|eEehDW6H`VP2RZLQF)E4
zob$)zS?l-YD=<eb3ANHSofDHL^YO@h78UXD+Z^85p0t0R-Eg%;y6W@l4PxvaN4*pd
z+@Ih4RR74LNS<3K7)8$9D*XFt>%@ILJ8EXG<7hjQ`R28Ok-4XP&1&wH{aYQcWjJtY
z8g)N>{bfdV=I1NP;r5M}TR3uZ9_i@msb^o_7HGYCX>MuzRFkHp91*)+OWRK><jAy{
z?)@pa*L2pkKdY>GlSB&iEIuC*GnHJGsD0zn%5YWB?-TQU4s1Kvy)mY{s7EumCtbSh
zbfo>9kEt2X^Bns2?E1v}pmegEk$%+iWlN)L4rp9;o4;S}g-ml`o&Qdz8{*S_*`)ig
z=UNo&wOK{(U$K>A&DTU*Mb(Izr}oZ?e&@QEP5iZiHJ9Q-fdy+!&!m0R@w97jl$>h+
zW8q>MfkplS9flh-4usAsFZq<Q?!})ujr%mJUv9Wx*jAYI?R}r@gUNraU-j&J#W(#K
zAH(-qA5Jy5?X3RMc4kG)+Mtzee7@!WRXa+59Oinf?)P{*SNQa=|EDeMYFWAS%$AHl
z3qrXqU+_<U%4NRp%Zl`}%CDT!(id8;vfVSj;QG8rZkN#Gb18~H-Yso?SpF<#lj)7s
z6PGQy@!F)y^xD3cm!4luXS+7LdxF~zvD5Xzo>JMxmaRq~FD#P3Gbgq<Hg?~cviicW
z(m783cYZD2d_ek-`GvTJzRatysKk}_&RA@(s(D&MxpwbrZrh0d`Aj0EzBi)yj{J5J
z68<M;cxE5JGsg`(%ezx<&pP&QZQp_DJDl@s!-9gX8?JkH?hR-bEpoYaZ_DwIk7U;M
zPWAZm`oLQDcj6ThvT>$z)8qVge;=}bRy_O0)(WL~C#9*HU1zTH7ji|a7w&z2X>q%`
zwp^j~$y)ZCF$d1tRU2OxTQN_Y%~d;U-%7C>uL2$Cy{pvc)URG59wKhDHGlQr@BJ#*
zA2Rc~uur-!xmG~*Y-f&Kvg6V<_huhk+~e`8M`3a4QN`T{=eJyK<yzsy%kz6my0und
z;(`6D6<1qJmrW=;DRt=frX{7~3s>&9Z{MN)=2_^xi*d3%M#&nBjdtB(UFYa~GU<F(
zzFpSTEov1tpLYoHt2~OWeOzJMfBrY`p5vWUc1~OMCH)G&`0J;96Ot^qe|Y$~YO#;B
zQ6;Bd!Q$^GtmlO~9=y=_Iknqny=1`YgI?impO-!?xUuk~u7NW9;z`Pzk1g1j-t|bc
za&!5rbWYnvEPEd}h3_s>d|>!6I_LF4xA|x0nY(Om`QLWe<Li$4O7*Iv$1=ARO?~z7
zNSDqnjhWlNwC4Y8X?;0&!;;CiKUK=kGs)cOm$mCo<Ga5}<K)L>KVDbn<gCu(ob$Fm
z_l9$^8qamz2RF9ms+Z?LPY!Tfp1e5u_O_q4rEcjT`o8f;gsxt<wV!K#V!ddMLB2>%
zP1{*J***J;``*rcxx93-+rA64V&}%3UgWsVR&0*R@t!6BBxCk1*ioufbUyT$W7*^b
z<vH2QHa%T<+~#DZb7rvVnWGQ10%kD2o4F!x#>+jC%p5N)kKC3MpL57~;##)n(@Pwy
zF1qUR&)PYUfB&-=W;Hi6*PYpr8mHcCzJ5t_@As`0XT$Vg?wRdgyLyt-+fCm>F86P+
z(c9x%eLFdHl7>r%^wAG*7y|5t@11-0N0+zgd98UyN6)eeF6-w?|84xR!{MaSOMMR2
zdlm<$1~6w_QdLl4bl7B5*5|1e>(l-{{y<>xtc{7G{yF-r6KC;T?m7Q0HEYd#u~RQM
zvoc<G4bWM+Oj-E%y$}4F-HORK!e<MvaWShbIH)7Dq<yFUJ>FF!W;);hF4|K1OxWGh
zDXz_HrnZ&YLq4&4<}<ct{#dc1!K7~4^b?QIct(D&{4Rd3-@57VHZFaMFMBgKUlL)u
zwuI*qr}v}Tn@-Kw_$$H7Rb%Vac8q13T=zPgxJ5<!f7bi0%6u>JMCxn(rxjw%e`b4L
z`*K6DIj8f@8g2D&6*YTn!~)LgUtPI=LhOe7LhawZrJu}O!{5~JQLU;LP<3^F{-qba
zuJ-Ibx74?O->^RAF<Zl>4~oxbq}-k~`K2B2OO-P@509&s#|pd5`=e=awq5XklGCzX
z7i|+b{&g%#4Y}v#u|`LCp@HVaiAUZqW}dXPPwPU(hX5OcC9DrOzm1r@>vU|utvsgM
zckk}S_*eU}%11e@Us?O!ezMc9-C5e7*;h~7eqw<pM{ob)HpvUO1Fx!I>dHHNWAg5%
ztxtJMFL<e%@U|rC)yZG@o78n?ZOZO;AKu@~S!<7N_^`9t-!k`MP{!r<P_4k9rtCk<
z6*fLMzIQ%eGJ)IA?){uUXV&CQkxCb>a-F<Wwqus_t#@|k*+cwX1ka_H+84gJ)F?82
z{q@epM58Z3JX1bZ{F=8$k9Y0=U147Tx9BbkR-Cu|_v?DAD@R&Z%Kt5F-01me-jg?h
z_qx2xFN&?wa|>6ReQe=Etp(2>gneFbxwfv5yELlD{=swRg~1osO%XL)Agg5l{m2`U
zg=|X|^I!4g1YLf$EzP>7&*5y?h8MaQkJ+EFPnAlrjro7Lk!kT-;j^k*S^Qy3^229+
zs@9IWwn0<9(X#T}tEJob-M`|-{FqharlrFzWBzhpV*!z6B4yRa6U3NTF529g<)6T~
z^xV!jw;OnFf7smQ*OJ@MW0+&Cys0o|bK&FfnNqKcYW>z*8;JBLo-KOKnYQAhpt7%>
z#_CI#xO*n~^VUq&eRiD7arNn3t%-{6;x+QROP*H9y4WZRi<Z{zT_>*|`RsyB^|h%J
zU-IV|mpZ&Ueop;QxV8HZ%jjC&w7UzeRx!KrfBp1p?~k~W<WD}EKS$4-KKpXSNfD#T
zI{NG4jlN4=+8uq?HlR-@KF?QbLs3V-v2P3#)1pIv{M_*W+&9y%pb!7j*V?Xpt{gPw
z(o;`OBe9kgHm8!H73Iozo4-tTm^&+7Ghg80_ZE{AHjQr6?2j~@nJe8f+j_&LXHU{X
z*;wcB<u_aAl=cPXEqu2k%=KE|1jU>$bMDTOKec>2pRGC9Jf#Mo#U{2|GUx8!-Esbz
z?~JVpye#J=8d;mCCqzn~ZC3g>Co%Zfv41l*dGp@0({t<it>nIGO8TPrkHQ@uo$#8f
zp`ol-su;5<?Mc}wx4HY4Ij+kW*xxE6^>I;0!j`#@-v57<(e}>ba-ieJxz<baCKfZu
zq@6t9w3{XC(YjyOKUWIhzp`ytp2USrQv-kZ-Gv|XdUoV(JbnFJxAE7HXHq(zrEE3K
zEt6f5`%n7G={K)p1!m3&{#feZr}|$lEyhUu$sdUWFWtq2!<Wr+nfbJEQwR5AG2NMy
zio%NbyfI&GZDjD2->Z7VJ68MOVMcLp6V*2MD6We1oqDFY?rQZJ%WV~Q+dlpLnw!P+
z?b5bb)zeW&Wu|ppxGU!OZ~L|6ERXeO`yTP%a(dKpw}a2_v~6M9E)hwu&+gsV9=(}-
ziA`-qPOj41pK1$y>e5%P6H%R$U=%DS^>C#&U-`G3y^%NWY6Mk3af+H0d*q_;(^joh
zk1yq1^lv#Vqvx>OOgQ(P*Gu>Fm;UaZx;1n`%KIjzeYz98E_BXb?V1xK<W-t6pYd-A
z@5Wx<SJJ1J$WBmb=$(J$)Gi0Z$n;S2HwU+EZjqR@@dKB7bREY#hw}!9-G#!F|Ci5v
zx<Y7v`p%kl^R@|}doOo+K5w5<#|j~)q(j?cwjMsQ?9kyK2g8$-3|J%`88-=U|9ktA
zO4Y@X%RQb`*X8Lr#ke+Kirf5W21l!-&HNd!<%O<(;ynF(<6j0gRf+jBXCH68f0V0x
zqloACwOI`3G7R06xTGd|vHy%#Oh5T!>jtR}EwcLaIlmXK-~ZU&&MRx>dD(>xcP@v`
zaf<77(k!;G=juG&W2N?M!iV3b3D5bs&Rl<Kpmpz<*^Dkv(U8-N1m)uE7Ki7u9K7Ym
zCvZM_N9w&hM@w#a29~^V-f;e|XGHx1nd%FLdz9y>i#M0(wewpjeqJ5J`fKO6LheOE
zb%(5``G@Gt4QOTUmRhR)bIZ)72YdZQR;^x8A^M~~WSYfZOO~Pq*X~??>=N^L{)Ed5
zRGwaoU65~hcV2MGI@|o9U53)#5)-?YJ6+LouihsU7(VN_+FIW3`%9(oh^Yw)8!X>8
zOJ?$?BeNxvEyN@Hz0$)oF6|SuIp1-uU5V|&gD<rO0cn2Dr&bl@v$#0za#WS#f1$<d
z;8~Nd5+^17Hu&xoo-G|-`vcTB1@k}dh;-PIc1WvC=~i;Bsz$DOtl7@Fj8;eA&6>GC
zTvlqTkZGh*NB!DU2@*TsUUL_?cjnS=lPI0<C*n6G&b0Epw{!9_@z+<3DtVkDqE72g
z@Z~(D)7N9cE>}{SB2qnJ>4{z6YC^W2S$lq!*s&*dQPXDCGu)Yf-RjgSCNKGWwcJnZ
zHbwPyANXdt<&)P19+S43I>pPG;pb*tZkX(-Ytek$rg+JdUr)8ZEjiZx;Khs=!EH;f
zEX)hqF`;$sg8Op6d6+$%rzGEqTJF^&dA!MeM&n!WfD4C`T&3j~S%z&t&lz4-a-|`!
z!C&xS;*y9HTE32N?(5Ct%9B2R^?mqRzxDTye&|X3u<{b)uP(m}9Y=LetX`ZV?K|7=
z){T>Yb3=JWEsDQy)^AwxtK#*yT@`khr5*1H=4#6;S966O$_?HUKjp-l*)^{lCV8xj
z;7+>0$@p)@{68+As{M@Yn=2z*FP?C?Ub&BJ@@#`!Q?-@t|D{};vV8ZQeQt%`?u7|^
zH#)D47Q8)Oe!6SZno`+-Ap7Uu5>J{-gvw^Gt4?-WWc2rrQtX!M-?I~=UM>04BU2!-
z^xe#u*`MBWnTI|-JNx3npqrn)my~r?YrRxto0+rr-#hhxY?pb%n2(;Qk&J0S-sVvG
zbz0aYE`xZ6C4JdWRr|Iz-4fpWGjY0R%Cz2OsUIQlg`Q1JUp_&6v$=nJ;5Js%Ctv5+
za~l4US(J0<2gf6mqwAK`UtM$GFlIN8&#QZDS$bQUZMK}a%o**;eao^XKWM*9p|<UZ
zoQ~*#5-C2j$6|rS#>MV(ub*sNp%B`1wLNHVmYpNNY03SRrAF<A=Qrk`ICEpVsD$Wt
zw(}F0E1XJTl;Uw~iWAPZYQFs7^Jibja;4Mn6uR!nCV%t&!=qdBbDDn9(kUP1mKPYD
zo>292ru>q|HWsS|H5#+Zl@1lpD6z1eem!LEmYeNb(@Z7%mQ6pLU3Ob4+Ra$|<7>&v
zM+cklJ-U){Ji23(his_gftNx$N9BA)t8$(OaH%c~2tTmo4ri6c<k@rPFny@VTp`K$
z&uAf!o6JtfY{_8jg+~<b7*+Ofc#)`BT6bAKv-prs$(#+EF>|*cn{q!@V8xvW#}_&7
zF3XI_?8*8(ug0xNA=Tj*N9TV2lQAnLb{T%%8`}H)>+Fy@+e4Q)@9il|->+N#AmDia
z?vf5~L0@a8sV%-)RyVc2uR8yt^253(lb@^YTRitv7DJ?euX2KGuh_Z5AWuiX^p&|x
zOLDXpFxD5ZOU%@DI_5F4UUIMTwd6(KrZ+zb7M8yF627DRg2S|#(fiK)-}6dIa`Tkk
z!3yS2jvqc2epBuH>Xk2kWr{M#DOD_aI+JJp9A2Zg?8fIFOFu4KVcnQ+9l+wUT4P%7
z=kt*{AH$6Hi_BU+PnhY^{i07dD*1M7FUZnaeQM(cu@gK2{s+U3${M{`Eb_$o|AbyS
zUW1hnF6nRHU0pb%v*6Zo)(^fHvc67@6pS=$&iP!!U8I}3U;XD!k<5EWOXi>4bz%CE
zerMh1(WN^)oYPd7G*+**cZxT^9vh_{Ht*sTAGUfc?u%-7f8H#d|Kqx%^YUX?C;i~f
z*tzNBXVJYf_Ak$_@iY8hH!HT;yydQvua|LUKu%SUMT?Tn;jm5T)-JUA)b5~VZq}*a
ze(hNa*ZZjRujXy=aC#!-TcVfq_ukn!wJRP5mmbQ`n{Yf{iM3``tk=)_$1meWvgh~+
z=htn!`^WY5!q=u+Hx7Ben;rS@*^2!iYc(zhUFNu7_*eKf)6MEh@4j<%-FbaLrfl`@
z(CrJV?6#=YHCSd#r7|Z;wNIJy>$=}9>!#9;J2;nC_&%GmNb1Ey>#Pse_B#S36vVpY
ztnZ~%$$a1RV5`)FC0g&cUD$qeLcFrxt~Cd<LKZ}4&s!wHH<Rs(ak-5Zo8g|x*ZA4B
zFNX`8s_vY)#xeEi$Nu>Ht>3<MHQla_Dwy(b-LC09MLxCV{Jgf0FCITy)p6GOx>Een
z{M#ZsbHrz}wq9)TkdUcd`)sc0@s)3F__^fy|H$07J}WK6|Fy65=D}s>FV?M}`uHIK
z@_pZKpFL!@x3l5#ZbL1>o1gkmJKYoI{c0cEZtLsez9oL|mTUIR&iBM6v{%>IlsYr|
z?>HI%yLk5Z{*OT?cATpU{JwBa#N6BQU3_^rx$@ad|4!Q*YiQy4AY4P`_3E8@wI35J
z1>ej#;r-RyW8>to+fV*V6nk*RrEM~}A+Wyg$yLEqKaX#>K6o$k!j8*#x*|V6>!^QX
z+&{S~MsuZEP~Z3BH>YJSmej4x`FpPAZI|w3PLZeQjZSUyyTY9%&Goon&b}jR{~0A|
z>FMcV2MrYcS1hc_@4WTV!E$?@>3%C;i9LbrGj`3t%PGI$Mn$;e#P%{%sd;O1V;-L6
zu={nsnpvdsx9XNZ90C^_mxZSbH{F}W+qnO%>B@_ruU1#7p3Cr0<k~0{$oni>>er`8
z+2F@EX$29xjdm<+$gfeEY{+}z_n!Yw);E=<b*#6Ub*y3j^6F3YQ?*M>mU<Sl_Gde^
zjVfLk?aj4+dF_P8qFluUr{8aSA{Faae*5rb(WUa~*L7^=S_76mxy+EfM`jl@OIxmF
z&m#Sr=-$A`+dXp{XR|Gfuz0*bi0^jkqD!k*YyVA6-T!mplMI0!aZ}99QglwlyZq0-
zZonKdGijGGBgc_S&ESyunpX)YPT#n>Yi;}a>#yXCW=@_WS<vs}z<KB8`)|E<JzQ^Y
z+Zc;2JF0E-IIlC5QN~1hHs?A+sr?c9n-f<zXZKu*E|_#`VXTm5r%h6Px9GKOYlA~o
zvCW_MPYL08{7~KFPsNUGujW<VcQ(bdPIS$Pa;Qvj=C3<4(=>1HR&FIr&p9UScMo~Z
zsB|+c{By)VdiRDOt9|cI-k$l^o1cj#EO1s$bdUGZ8tJ0~uTKdT{N7d>|IArFx$^7P
z%cYyjjz4I!Igy#k#L$$(JMVC|7^hhp^P1eRvX##nSoZl<`520I&N6@V;*wnOFZG^B
zW|JLHJlLif_%L+;+Ntk-1y?feu`VjQ8Z>`?=j+h7HlF^OWhcv;uP#6Ju2eL$+^p}e
zLRaRd{N9Amen$?3ZxeG&e`6k~z$2C5e(dp7ol4iOejb*eau11!oR4=kHnV@3CR4U;
zecYY{#;nV4S+@w5sdN0x7m*U!J-sq#kF#4OuWFBO#f862|Gzx)a^5>H?EdTD1y5od
z)83rrE#AwtG3mcw#|x{bqJkrAKSFe;$Fc<7@$a~BF!!XIW7UQpn`Z`7<N8lmG~Fm-
zz031YGs5v@!j4^Gt(oUTdA#nX{>r(?=CtTp#P_W>+LyhLNx#1}t!)4Ex}+|Fx6g{b
zOT-exK4n%uW$%4(ccHepq<Z1G<Cpl(9rV50SsE|%nMXCR{#tUd=>E+qSLU&QiIQ?x
z{QBw1MU#7}KLy#+HPj?-hh5m(uiIKPvEc+)sd-!Tl($<grd+e0Xk7Sd!*{{E*$+3~
zTK#MP;=VPXue<TR<lOC}AkY>cseJ9AL|=w~@rsZGvzi~;1opc!X7T5k-~PYC<>y-V
zBDIopAC_pXFO0fmbijA&)~AyWy}oyHZ_&Y7wb8MwEx6^Th?nxsF|s#&zkA9;i)9b4
z3Rwp1pKU(lS9kTnC3bs1*oVBTcePE?t)B4MY}%_w1|_G$M8saWSBixcZ@dw2S)LVi
zgM;&$tFhqn*YeM|?BG}_B`lg8TFc6MZ3T;g;ijxPOSRTNFulyY<-@<9zR3rLxy6(I
z2jos)p>svVE4ytsv#_9wM5_Jli#MNq7MaoE<8ex;<hRbVD#ony{mUlJ)fd%#AGh&Y
zPVYDSYkxkibvhjB`|npTXZDjttczY~b#xp#e&g&SUfEUN(GByTTz&Oev1tDTd!B7u
zmbau9P8Q5bop<o&-?!CWf40<p=Ge3A>g{l&r)DRQC|q?hzP{w)u2c5MIlK(@J9tm_
zD#*v>Zt_@r?t=UUo%2BxGu+dRJ(r((ykq6F+7(fAlU(g(dv}Ih+LD&j6O#6g)j9dP
zrERh8n*Y}dKS?i`)BVeH2jAhBbLA#Z-ab`tjkLsB*3)Wzk52nf<ySj<x3t>sn*5X-
z7fWJ3ZV76uw=K=KO;%mEGTx=R`TCuJ42`DOS=v*i0?gYRb4B`JO^T_!)cW^B=KTrt
z)2CEcS_?h?Yy9G8;v_Z4y=E+515X~vaIcI$D9(0mW^c@v;9q|~GW_IGX`lMKYk|*x
zqdA&>k0V-Zw)-~kEi<rXJN{ela{SFa3w0i&2{ERMk$;~r{Z<>UG`FK<-`@ObN9uRD
zN$JK)K8+Gz@R`3R=ZpQF&j+~fU&*my*v`oBbSO(|HD{gwil6&<mRmlYdEwKOJ=Q-`
z<S(}cUn)*`%(q93HSs}}yKap@!uDVPYre=XICe3{{(9_}XTk<1F9PQ39cR(`^i*+%
z%g6t`tQHRIr2aoWXO^V&xJvA|fV<>prKKL44>WnsE?)Su^x{@Gj?jB)D^8wYE9`Hw
z)!_X;vtzQaT@B<;99NpOM<&9#>5KZ)rG}kXS6z&K)3WvEkw5-rYG-2pEsADXwt4!*
zAGxo?l$QLeIr@R4b=R7TiH{}fWab=QFRXJX@b$JkA2;vKJLq>TTA8Kx@2ll+YQAdS
zwzIO|W+Zq$YZJ%krwfuQQckstZvDr+%GIrWX~61c_XBB-<vQt)?B4xY{?f?MWp?*Y
z=_Qg9^-Pbx?L7D3SHr)<g@xH`C)ups6(;zyeT%<SD2pBMj8jkU-$)kv=6Ef`^6r_b
z$4>Fvd+_Y@diaWa;+~_gq!n83P0mxyb=vJz@pa0vWe1f5!i%<)G`47Gug_B#wXc|T
z<-PWesotXZ_PsLZ;D4li_kHQoNjq*i-Q-Jtz2f^xm&J)+LSqk~`sRM+{<UZPg3<dH
zTo(NA;(faPUtE}R?%kNzM_gw{-`l<B)*Xw=)p=~2r=)RCVyikdOZax=x%V5zcBf1@
zWbYtVoYXUM%ApkF)5k);%Q>CBvg3W;na4u*-@aI~{&~9lW?%Fo%W7|*Dc4pc&aO^t
zcX-8dczN2T@Ka~gTIFgA@2xm=a@y$!i`iWxFDt#?e%3a5TQOVl2gdDz;x|^-HrM!n
zE$UnMCs|$p41bIMmBjnZCgJRrag$WvB-dTC40Urn(st=y(Ve9Q%CEnij|q3$CpiDX
zNjBR@i6s|9nsqPA_HMRWU99-{P^npApOW^h^LGn0P2YDLNSqFS-SSgxO`g}0rA6oN
z{nrVMeYbJNcEeof^oE!3+fD`C%gdUwx<7B;k_=O^?xQyy(;v6WRaq^q*6C1^GVR}T
zjq|I(FRtM3pPOa3dB_I4a{gbiJ;{}e>DOt+xXFv1dg?;2cL^luUpnjcBU${mfZwqh
zJ=!ZiW!>46q3^+d{J-h~uEvR(Rvzi9W*@x51#DzY#aZ*U#U`%!Au2P0$NC7{w2eD<
zt}E4Bzv3w0rzGW7{zAvU<}D3)@>n&>IPKcb5V^kU1zMZR?{vw{W;oW%x0*%e-NM_-
zrTJlt`%MoVX<b)*%6Q_N|CcvDinp2gx5R7bgg<o*TTVGxuJL=m=|eb6j*!6W?4?%C
zGrmcj^2qbw%M-kOp1}@nj>7KWHk0o*t(?!$AAIM4aMSI>KI^~qd^GUBzk9U}FZU~b
zl?B_by-qc7sgNk2S66J4`0SB!{{+pyf(>Qw|En7}OYhryrShAdWY@}fVQuUabv@=@
z(eW^e*5M1fUhzgGlKHQN>h4_Lbyn|p{58|RbkOa~!CzNhWh)+b-unER?RF>U4q4we
z+YMRD4w0*#uUjo>seU>31bgJYYi(a|=Zciq3EQ=umv|sx)$#sDkNsSpz8!JK>5V17
zvVV5O&hRi?X?P;GfMNQF8@X{$KE3xYKcw(gc6~?v&T5x=!ZWYUT%3DObpHLL;RzQ`
z-&$d9_|~=ma>f19Z)V-Q*l+t;$bKxy+bb@jfA-?WUWMIX?_c_G$!YTB<F5V(ER3Hn
zx)$)N(xfIX=!NdSg!=#fU+;?^N$S$b>O8$RulgDnZ$i(x-<{(9_bwmO`Rb;5iTe=W
zA9JJQOM(ylV0?Ro_nFf~(RlXu-mi}T7Ebt*DE{d6_E*R6XeuOR$2^Okqj~$8nNR%Q
z(xn^UMV`@We{f>U4bewS9rCW0HXn+c$JTIIw{Kp$>tc7;W&6JAD%cvY6|Bf&T4^86
zU@AL>`(U^H-swLR&UU!A>i?Y5xTdpJ^>~`tTJBH3&AJ74R8Q(Udvgn`bMUN;c|Rlc
zHoe`a(qUrWAAhoF{;jW~MaE(qq@~!7{M5P>eRB2$A6CsHQ|-<iRefBp>+wjzOmFh4
zIPHHGyBmI7`FSN;IpWDVHTSmMr!Ssg-5JUt|0w6Wl&qj;MR?_80l`SO*hp{pvuWvU
zYjo#3xSyGS@b|vP?pt+M9fz(MA6=pH<<|f28wwp+kN=srt^7m(fjzcjN4l5pVG^9r
zB&)$*9_zoxfJa7a;!>W|-nR{78@JRoFM7_hbghE*!oBku4Fh*}J^jR$w=}7#)$n=N
z-1VAyT-JF4D_5B|+wPW;`@DW#)3#Nnrv)edH)t!fRB}sYjm%rL_{&rVH^rYDWdHuU
zEG=<1pL_43v#;OP-bk+1j@kGm?e)+2HQZYgl$|)X)KqB8Z?Kx>V#}-bFW+FFhg+$K
zmzVC^iCp?dAKq1e>baN^b(nW)A<rqfoJ~ij?2cy;%t@EYJSkyutb1MEn;&y5du`I5
zr#}rc__I%?(!8XTQDargw<Q@H|CUVsym-%%Psg{2g+|OM);_gM;zqgm!_>ETyYf9O
z=M{YZ)SV-quyW7Yr6vizJK}zbPP3OgdcLUl+6P@>>FJ>lYlS*eBQ%mXdWCGg(o_+v
zmz>CG(7(EuY4Q61a_T3GIF?BMK5QuR>--0&t9!ERPR>|g62*Mt;ffHpL#%o>C)Q4V
z{YmYHn~c>Nv9mvaR<p}6r`yPtp4wLNr1DIJe$?z2jo;V2*!yw@pWK24D<rBHZlCi}
z+C%F9OD{pUo<FB2)J?R?{cDi&Ju_Z=ZkwIz4m}px<9j@&-u$T_SMY!Nii3I<&ljW$
za&Fyez_HN(!P9`N_E+rHj4hsNrl;pktCc^b@A0*?(Rh*b^;4?yQ~KHWhfHgcv0Uq^
zq;^O<_q_bGPd=_Or!99bU75sTQ(?39_=LA{5^=W=-u2({@#5Ls+l?yDf=BPP*TwE<
zPVj#|*KeCZpCR{=kZAq+5<AjFmp%(?UlcX@-P`)o#J|gzc{t@W>~?)_Gyg?uQ0Ae-
zDIR&bYqAgM|D5gg!pwqq#+`Ybzm0ENNuN3XEa1vdk-Si&$A7-2mg_9>PW`r!Nlxtk
zjD&Za1FvrVdGs;2v+G5cZ!X$zYL?21CB;AP>9&;IcyNMSSz3YQI&a6jv(HCW*tQrl
zvoCIMJGA%h%G!Qii$ezDJ7qf$zIO1vko;uH1h4t*l3uUAd{aEKb}d7~|A~(eJrav<
zy?J<f=Lx0WM{fTn9Zm}E{>Ig`uR-3TK(l_a`=YaF{#M!)+>7%FFPZ2)BecN(PuYJR
z+m)>=N?FdlD{5Z(N1Jh0_1C>IZIa>FxU}Z`ZRp;3eYV@rZx=$m^Oo(tctw{pH)Xl>
z5`}HqyQF#V>TX!lw!7xg2mhCcx!0aCx%#r*{g`v%$-`GCh^~<PR#?$@LT!HXFLs4H
zTQ-?pcVTtA{(RTlo57_IC4UNU{mE<@Vk~$i*3vJwLCki_q?66R#iDO7=#+>J-n-)P
zB5lRAlx;U;bgJidx8z55I(Ty5+m$o_6uZ|cwfhyeLRGScO9c`Vn`iHo*jBe)U2bmw
zv5SE$?y4)K^|nN-o%*%#v%%J4UgJ%#zdklv6%yF>^U1P|E9`|O-ESGD24_y4QL-bl
zAoPxX`k!L9i?s&J7Oh`q-tB$&kV&202}d(M4%4J3_ZA)NYg{}r*P^QD@1y3sy`~z4
z_21@S@O3}1$EkD?i~4`RkCRe%)Yw_B+?*3?CQ<stZ0Ve;O?y9|Y!SOBIbHO3LR!zh
zor~hbf3uueQFs3})BNq9mQ-7>d}=YhQ1ad@$12eZwZ0{r(sbJ_KLj3>dzC)VH(`;l
z%KjB$iqn$T`{gS&@jMede$&}#mTHyc)}6wVod<eK*xbDu#7q@K<vvf7w%*ReHaFGG
zCitvkT%D5mk5XT`UlUG5TI5K!|M;>~K>qu+S03_hdSUxoWB!I+i(SaCajB+x1<Rhi
zKg<P71C3HFD=X&PJ-v74>-Hj+#VMzpL-l&C?@p{S5s%Vi*LBU&<1**-iSb@0@^iv9
z&!s1yoODR#imAMHXWPVrpBF+c1D^B0S(IJ;zH3Tdk+-be;wCMhj@#So&3>;eDRMYJ
zlT-1>#c2VZg=dyDc>K#SdRnz$Pwmw+6ZLf2%%2~$Kl0V>^yYt?{3oY9x-vWE$*-S}
z|MKjgquY9Y>!MeGQ<KihxZa5D)h?MlVc(g8l&2r=#oJj*v0q-2=OUPX=iX`Gjh){z
ze_cIPJ!j=MbEhY#V)obyU-tZZ+%P-KWlomB>4r-|NsUSCjd~w;)xEs?ySd_lia5KL
z@YhovUMc7E|Gj&3Ebu0GqkK)i)`wD_^|M9eALJPn?NRr9*LUESRsQeId!?4WbpCY7
zv-RnXoFsqQm$#dK9Y5~OGKpd7<hyG8f&W_0Y?JVK+W9aq_}Y;V&vQL~t|+y?qpqtH
zAe+B=y{Q1t)KzZ<mQFI-vu(3A@4st)x9Zrv@)l}rvP^Dux@VTF-Sh58V&#Kb$KCZ?
zxb_F0S$ygV+l%!fE8XTyjSHLH)6029q5N>Tt9Vh_&1DmBUt-jFn7VvR^Rp}LOE|LH
z_6uCT^1MRtTCbIU^d8$6d9q>GY8xH4d;D$Ln&EIVVddG!9>1S<)huQ4`ST(2Zd~T(
zhbnH?OldOWdW&jQ&L5~2Y-3K^YbnRD*hzmGWBDxp{vW2DA@5`#o_0Dn<4BTaVZ^>2
za=WI@-_RYr>;L<G2h!^Pw?Alm*Ep%MX?b0EW9qh_A3na|iC$X9dAdT_Dlaa}d`jNe
z(#U;MCo79G-9O~3m)33b?^N!wGWT*hvglDx1pkR&v+bTRRnGb}_xe(ymbq`V&b|n3
z`@~!SJ8<jgc^CCPHY-2;y*KdJ?_&$*ZeH5&!EVAWx8>}L*DE(B$=`Y~ufH?>(bvb1
zCQmxEt9oW<-Tfy&5_R{n^aTnm7yjaS$zkzp){cAM=2u@a74bH>eskuvmmjlTBOlv#
zKD1}t65#)03d{GjiHGK@#-)aH*8W*>nZrq5?c%ao(^f4#%Q*j6{dZ>RK%t-+_pa=l
zy7RO7`!jM$f5R__Ui^B{GtuXU_D9v#r@5|Ax$=9?#tG6VSRZ^|cUbdtRi)Qet#;LU
z-^0B&ulrTJDXPN5{J|F8xMV$NrIM%1XI+p8j}^$4k(aq>_UNdUa_hXL$eo-i8uM>@
zR>v;+e?7kU#F>tlZGA6}mTy(7srt^HdA08Qmrxs1xknRkSbpi)oLZ_NoYtvVw`svs
zCQgm%*Y0{QxwhMAv3wkZS;?wBD|1(0XnX9jQg5!|>G(s%!c|?qxqsQE`S<PLvMy(4
zi0}z%NuItbzxo0uXdM6jitR+b?S!8*9?xJ{RQ_)Dr|EB5AMZc&;$?2Bd;Ogq4{}*P
za5{xVNq_hA30Sex?(M_{BApp#tU+;;dG86by_-1yUGMpuXB})GzV_>xtoTDq%4oy+
ziK_DyHcZkx<vQzBtVG;O=bP;Qk(+)lTwHJHz;)?m`l2HDrz)}qvmG`c;;Emf?-^j8
z!I-$s;z_!kYiDx)8TG6)&Hkwj0iVvA^6Zg55^Ccc67<9<e>?x*6{qIUVezi<D5!s!
zx%}1ZOb*5+MT=~w)G(cFV}0f7Rny=;xt(W4(FcWkr?$Hvge&9ZFD|?L;cAn{v$eMJ
z4b@+oZ!j4Y#Lu4{ZRnyE9elCj?3T#(q-nLX`&+-w+r4DN!yAW~)<0Um%4EkO=aYy3
zt()Y0#N2V+&w0=K?>buYx^qr$&f>0FyObwML*=u=j1cqwHV^+tTr(|P7fGbbKKeSf
zZhB?V>y+r7$}gUFi~Bs(O-Z$OS+UgOeDKddoN^!K!q@*{`<uL@NxxitO4*;Jw)uM8
zPd0D5I{EM?tzG6Z)4t#7*!FdS?H=oTYxAYbA^&v?uI1dGB_gWzG=58>Z(|n^vwX$c
z!}q2gc&#vDUBIdDEv!d6j%}1W&@Rk0i|=pB!fgxHCT1MDWLIx1|CH-&>itsTr$0Z7
z+<2k0_21;Pf}6J(**)I<J2&Fa{LTMkkL@|PIP=8Z>pt&ePiftGd$QAR`Zms&drsRq
z>%6=*=d!0?=hHvjN2_l{dT-zLGVe;C;Wgh&nJ41et-dGEwR3oPTuI{04n>yQ%A?KS
z1YRHf_-*5vK4)j6(>Bv%kD9rBn!HL*KcN14pLWJ1@1;Lgmp7@(&0E8H=J4jN=M6hq
z14YVGo?c81*k)XPLGEtY{VUV0(?UArPVMn|+-USYzczSp#nq*s&nN{gy|wJ0Lz!ug
z!rAkh%kqmpDj&Vqzhgtr8BXDkw=(8PR;@3~w8_|{x#P|XHKmS^1sR`qJHHR!%<s*}
z7MOM=Rqx_9mbNPgRu~FSFe}qtEwOEq)xk9p3$^BiES^zdo5yoUWX}3R(~Y|~oYmex
z)nv*%o{p~X4@Juuwlx|kmS|4@vaalY*~c$8TTh8FX$61lSrpNx8<~8kWa<U!W#Vs^
zUtchF*;k1lzqc<FSe5b6Ebcr{N#y%!O6-2`{UlFqne~G^L;OvCX7%;i#}Ax)a#gM`
zV4IlFt{HD-r@q;7k0)W_Kh?i=TTj)UdCBpIVYZgMrssb1Z7Dl_ueel4^>r+nY5Y}c
z_os|YH72{a>l|j?6rMEs^OVROHifp{m-E*yb(%jXVcOyM#)XCK`cdKhYhM0se6O(V
ztwZvp)wfO-WuH_zdc*LgRo3bpr^4*BR-c2VlzdW6q-6Hq-kP{bBW-2E>IY}EAJ-df
z5-^>(uEks2?O|%@?}zITsXIJlv5lVJzpm3Uva8hd^&<7(SN1>NUNzU}F^f0HQa{HN
zVOxdI-SbPF8OO1%H$Rx$^VR0SH6Pt-4y-eEkNwK>I?40N&o;XiE~P2IL>PBjUH+}$
zUj6y3<-=({Z(gmn(p=)sD$P**^O&0$cTVmDriS?!`o2#Mzo_b1@Fq}tP44o?(`MNC
z?kVG6c&0Q?gKLey_&W8SPd#~G&wJXza(}OU_{zU8cW>Tv`}aQ{`^1L}e?=NkKQq_m
z`hqmGglE^^_*{%xd*Eu0X~Oko1#{lotdZnfoe^j6{4;FLO3gf%XyZAFXEt8cWj$b)
zdL#J4lOlzu3dfxC)<2tl;%<PD&`j<VrzFe892Cr+mAIs}?2Ni+wJKJ7!RzMA>b&rY
zooA2cOK1M<bJ94S<Yw0_;JLNhcCupd!*i#<h26^#E?;v}Z2i?^n__ftoVIJzEa|Qg
zN^o9&Sa)G(;H}Q@OA`6`&sB-fx8Yh6c%<>rk^joR@3*~B44d#M#9S{=^wS>Y2Gbpa
zB8!`!aIgH8!Dbr0*XYUfbg}oF#R`ADJRWam+p4tFeS*eh=K6M-%ZCyKuZAAuJyIht
zcCAF$;GI^r!o*yw?X$V%-<}VWiJ12_c}<e2`(B<YZ(YlnU#U+>JriqeRmy*B<GPH-
zUjg4vJ=*m-d|Io#!F^Mg_zh1NPu%ClpuDR@y^{0tkIgfc7B0#$YpIhEF8sXV^c$6}
z&MZHte?Q1<CUs=4Vw>Qaf^Oa~oZQcY5~glFdTjB8Eeo2%dE(DVsO1^iWyWcHOf^3_
zYp>bDsNB%3yx)HohA9e^-MDz;q3>7giOPRZDk#od=)PV-wKe$A`sG1-6|>dMmi&D5
zpndOU{Wh!Phd%#0Ty;Hg<%-S6mvE#Uf4Wfe*ET(7b9Q-`1EHEW>(;W)$(^8BSN$_}
zmFD6tI!rxz$KzVF8F$9(tokCeYRmhR2GN&!QZgrQ?RDtw5maUO$o0L&C;vQDu}xED
zs-Wuqts(~nI$3`e7vE}U6FPCY<#7GF1(EK@*DEYqcOa2bN`ParLYh$Bj9W%J3IWUk
z626x{9$OW=TP|&5KI_35jk#Mj4=oFlSG~I6_Z!Wx3d?yy&m5fM_+|H-*TPaeJ|F6_
zD33_8s#7!jarj}P?dyMc9N*M)@OkZ%|MUGW@9mtK%j%bjconctI@+Aa$Q*S0ea?#Z
z4O<H4Db~zgydvMcuF(Cl<<}GbFXPWxPOEJ_w=bmSw{-csWaU&D+wJPN92>ZJG>+DW
zepcXjymRK^_t}|WZ@R7(_~!q`JhgwG=0s7|EXlS@hi$Cq{XI9y@WhQrHaE^pb^f%+
zKICebf^BN^<n?CpkG~bSo|(|i!n37tGf&*nKyMXeq1ijOF&H;|Uv-FQ@k9Q|BYg^W
z3%kR!rXRaF+m!QckW}um9fv<<3+z7Gz|T^%kMkAJBgShAU#6_P8`Y8aD~NgOM332r
zSNXl~wVBf)d*r3JuBhPB`RCt?_=kUcyFaQuP5RqXjt3znySTeUt@5m-)*syQe)>`#
z!$8{>)1A#>*^K$ViYr?hHqLzUA@za6p2^D#+8>xK;LZqq?|qY_;AUt3D$`fXmRr~J
ze_%c`b++K#(=Ib|8b55k!>;s=)9-%NwZg^#@w&*}AJS5vT0i#ByYl0$#Xh@Td#1hE
z(Es-0e$HOu^*diYxy)>QTRAt3Z=tD*{^{NCjW6pSw@YIBD0AnA-Q1#kWy?4{=GQ$s
z;2StA`oMgZeJ7vqRy^bU+I5EB-wlU5i-KLxzrKD^mRHFC_geMvO)H#^CTD-?^tk<+
zz4&<H$vv)54%T;f{jwB`z0F+Dx9*kJqObdnB(+SMEO<?43ncpRyE%JDd(XMBoQd=F
zk=6PW)ZLDV^gr~@Hi>M?*6HM48F7hE=t<Wt*0`rzx|(7v&s1A3xU|zs?(mBF%@TDD
z+Ix>o_IB_~Ut_xP@}%bP0Y&Ux>z8fW(0iLZQHZJI*4f=Ws(ZKY-(=y=-I`T+Ez5Qh
zv;Xh13AHB@k1Fm=dvI`>(X_SODulv0b2eT$rBbx>fbIVdpZO1uKdE+Ple1=E{p#{A
z<Qn_46W97={qC+hb)&S$wQ%-By}A1oTwBi^w3cExXjvXMN90**+s&u<@+MksSBx^;
z{Z7?TZH19r>{prP^OhLOeo4wb8GrHTZ}HFR)86gL&Dgz1yGwWNf?2_Z`VIlX?&<M7
z7qe8(_y#cNwK*0RA8+cq=+idiftZZ#Uv9~-2lQU@B_5wKk?(Be^NtdQ8`kl<*XtIT
zom+XY#A*Nie2cwx`;X;$&3ec4$B<FVBj(3>{rYLAUe9u56xi4N^YX2D5vg?cx8L^c
z(KF1BD$e^Xsm!m&YS6Ni_si)w@#a<EWPGOvSw8$c*Y{wygp%FqJ$!!Q@w&!)4({@6
z`gl*^u(qcCx|+S^^-m^EGnlmgr(4&%^|_xjecX3mWM1vdv%%$0fSQ<j`Z~V%uOfej
z^nCGop}T3J_tMEK`(h4WTHML+e{k<YBeAr`7dknQZdC4_bkN;E>ijo{EVKO03Hv^L
znj?5k=Fl0xuzQzYDYXA{k^1xZ&4iUl#ab40ebzPS3DfN1;o!)Ac4Fh*=SPkiUgS+b
z=)ZXPBHK^N8g;CV=eK|FaNT_EbLG@GRhOntGnjEUz1q)eb_2s1X;JgZ3I}g&dUO83
zo4^BRT5>kelTNN{YCc<~SQjenb)##`=3R<wP0vhHa=D*SA)L;-+f}ajim*bq-5rYt
zS;p(PRoj{3S%v3(kK`=8{rG?4xd+kZ1{1&Tyd%GwCo_vlB0Mea*s<liZqNKT%lG5l
zm#>N%|9>|Bx6)3=;(kdgOVM`Aq$l^=QVpHe)(VGrc}|tnQ1)op75RDV(bOeU)*HSv
zMzQ7nsS@>I7j7tudwVtE|8kClZx6q^s`c!3Aw%Gv*r_$;OUiEY6}D#2*5kc=;C(?B
zU(NNp1n-_Oq38XY2X;wLP<d_06ZK>2qn2G;{0*nL7A0Lh-}o*$a81zRMbj6Zwpo&E
zw{1exJ?5!K9~Ey;lCnFN=&fH7vq)>k(<Ra2+Q!LDbzMHSrkB;9FEsRFXn9=V;@{@H
z^5_qXX$K3Z?la$0CEjnf*+6#QB)JzGSUZ1ada>19Oel1}#m(_6$H)DU=WLGB;Ok)(
zVj*=}+gRrI_oWm#seARDOcINYzpTx2NaLx1mF{mZj(z^GKUMuPa_+r0@r=cCO}oXv
z-~6pJ(YyUC^5T(55sC7}p?984cTBvts$t9L!nP_EC9Zb@K~Jm=N;W=EY`XJ9Vxqm!
zdU>nzPabRb^N8~=^f}8Bbyntuyl;E{{x9lblQ^Oe>m=Bo%m4dfy7@Bo{F6CJIqu~?
z@u%Ijl(+?_PE9=gCsogL*6ORi-ODe$TjA9<m2q`j^i=WxezgnU3eTMH>*S@n(=w(=
zMYz}XD4VB2$0EB;_7@83gW^iQN~|fAjhg3}R`^S$Yv#1<FYWB7#Qz^Z+bCJysbN*j
zTN}V2C!Danz47Sv7knoojvnT7P^<kZ{VMI`OYifHYhQ|59WKjDp3Lw{mG}0KLRFzJ
zdjIq927WX!QTYD<{#qA?;LrcdJAG#4{4xG^e(|h)nd$3#bz`h=r@S^$HSgF^UOb64
z<V5~vSM%db6%_a{o9sv|vofpy)ca*!HEZm-l$)u|pH9X7jpjM}Zi3JLPivNTuH*_n
zy6x76*qGxD+4i;<eeCz7N^BI>l;GuSu8UOMtM4{7ApO?7cTdk(R6buZyKL^9SBDM4
z-JX=TZ2Wq^_hZb5$7ReL;}4(PQMIR}^rfcsNsC*?3B|XTUUbbiR%{7hUAOUlf1As~
zbl>hqbLODynQ|E!KQxy92>Qn#;LXe;!oa}5!Jt#y<@@ixhX)%Y1H%GF1_ogU28Q&+
z+|>B|wD_FNwAA?GlF}r-vQYoiS%(b-_Wag<cB3i#xb2sTbG-D=>b3}REuGQ3Wt(ft
zNsl7w|Fx>>0pFDjHydx>oZ1;~?c=vK*5T`)F9qfMSIK=`xW(wXOtdoBo{ZjFp^7&*
zH~&tHOo@zqv^QAp4u7Ik{?iqb_F5$pYq%%qm{@Y1k}}ciW9gk|`zOWexSdq<R;#@M
z%M#fS1x~hjm~lR1&Ec}}C9>9MpGY$QJkW8j{@^JW>xT#YGH)NceUWqDnr=>&r*CE$
zR!;Do;^lWKyl`Ud?Cn>Ib7x1bZp&}6d-~y{#Ko(H=Pd6f<xhNE@KZ|s>h{?@8xI<=
zx%`!n_#u>ee8LUOgvRGfSpThA_4QRY-@TNaCriV=aia#?6u)gDVvGz7?->~w#2By!
zTSiJwX}@FkAqRoh_di98*IZx;?#i7!`Njn<MsKZ4w>Ry+dE{WJ`5V7gKflKo3v7Lx
zJLCP?+20>Yh;5UW*%saO<!Pmuz0YO!A5Oi`D)_yXg=b{*UiEyiFeq+keyI9Hw}(5V
z6Cy=i<-W%C27lUFm-_To?#_fvt7@NTsWaUt8nu`>oc`W*vn?}6WI;cJ-5TW`%?6Af
zdz*PK9Jr|@|NUQs%J!W6?62ze-<u~k-k6tn@mc+q9Rkn9Hu`RiSifq5hVQ~{?9O7#
z3^>^*Z*;8<Ra~=Ua<q!-%5pB7uS_|gSF5QPX;g7_9W+?D^NwL+|HGXd!nE@~ai5gj
z+;@Eqcj@MYHy5@{UzNT1L-C)v2Seq)IIQD0Y|Xkj=h5?vXbG@2So~-(0|P@B0|SE~
zT1e_;<|P+#pFDH+jCX&zr;cY&`Dy*G?`ORAyw3Wb)%HA7c>1KizPGN?6=OrAAPqm=
zQ$A--uJO`2dFK4)O<p=)=T7K&hZ>lK8Uz?HCMg_Z>kX`sxa2W`n*lX0zg05o&S79+
zIM2wyAb{?IqLjp9n9ck}g(XlMFBu!*wUNO|S%GDuf*F%!lWW5iPJzW<5)K<VBe*xo
z@U`$6vTShXSi~dc^oO<aM2_<W`2;2hz9ahs_*6U`>Rf`@o*kIdXlan@cH-0lnHfd~
z?90wbL`u$J4rY7Ca8*jfg4OaD+Z6Gq;!_G3(R{+dz`(!+A{ZDLWEjv=WoAG|WwC!j
ziC$4^K~7>NGn(E|wY{Fcj0_A(!dOC~JSFYuw9|fv4Fp`j*Yv)vRQ)&i$g~>)oGSa~
zzZTh;;M(4}^0r2y>|E*eM{~Y^{PnG-`sG*8t1j%bUR+#%_pV2|ZK_oC<yFkbR|)@K
z9+v#ay!1pOt8G)Dz1{Nc!wC%5TjRL){r6UvG1)SA$K({1fYc=u{BEdyT&q)e>W_X^
z^cSzz4<}C~NSyM#zW@Gf`Rnr??R|P0-99eAczf6P&YDBT{fDA|EMeJ`cHq;G>$fHc
z{Vlg!wO?@Z(>43mr5>tZNlB83zIM4H$;>Qt%Ar-qm)!huX2aT9OXjSa5ucrOYF9!_
zpY!$GFRF}F&on+1(`emr(ft_zMd!I^4)<>}@^6dKFIM9)HrBVlcGgDo(VH{Q{a=jv
z?Jr&U^GCz|vwFv7llnFd|Ig|jMyB;m9^s#t{LqdrPUZZ*_5P9$V>AD*i1cEW4Hem+
zm;88^``D~HSxj-_u3ClwZ$>5&W<)7`%}%iHBqIZZE-M2AJ2V0r85kIrG=exO$pv~9
zxjD$1v}?P3r_L@q%*?^SV5<fxgFs3ln%*&@#eHgGQBI{^MM^Td85*<pTY51uFw_b{
zVjW?IDi^95*mU#gwKj$_GB8YIMt8wn9#q}&N%@IIDcH>TR=d?qIg^3mToogO5XgEc
zUec(>hiZmiaYkZ6DzXoBYP)=IE@M_Op2*1HVZj8>y-@88OByT7(e$ULq#^4Dh2hfk
z1tuY!%nXivVho_t2ci2G2NOzVs8^AailQB4`T8#}A7z#>Gjx<NGw{Rog5xb#h!stH
z9<tpa&FEDxNIxY0KDTk8XognA=mwxyLI?x2I<Oj$k%E$lK#o8!ei3GrbfTI8E{xHg
zfL?YYw0}d_UX+rEt{bEpxx51LL3l~yfi6_b<Izelbd%6aFOVsau>6B&l3qC|6|k~_
zRPZtIG1N0NFqHRjFz7M38W$TDml<h?TNHXZXX;l(WF;mWnOFK|mRE+E=D6p3hF7>m
O`uddkSr`=r+5!N(n-rk{

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_placed.dcp b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_placed.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..b05ef6ee22bd41fa0f2b20df9ab4079d8e8b3d7a
GIT binary patch
literal 925123
zcmWIWW@Zs#U|`^2Fs$wJ{jIL-d7P1fL6?<*ft`VYAtkv$uOc^R>Xn0evke&79(?{J
zBDVZ(0GG%u5!ICplUX}iTolgwonX8i!Q69T;SzuQO#xdcuj!4Q@ZtaGMCtCE!QoF9
z94&RuuoO=T6q+YCd1mR6ljpl8O=`Sb{Y|66R5R07TqV))r;)Mp@z#%OQM1b!%vKyI
zcAU8EdzTXT_USc}JM;eRHd%5zJz<{5yrzY08JyEh83oiGuKfy(4gPA)xn_G}y|R6b
zr2U74l-A~r%DP^f8Tva{G_C*s>TKhNd}q<CIWJ029-h6#!}H%FzpJwo-PBx5f30lc
z7Tl_umgL0!mv?#9)$~bv-R0NoJXSCKc_}`5)=|roA55E<IJV13tGmgbw@HoM@9P}7
z%WRMEXQS?EJmv58WhRM!DL!HpC0^gB@7d>AvF_JI+bv1AIqt|arL!5jmDY*vHhF2!
z>FZpid1BV8RkMt0uT)6%|I}A7vsG@eQL~HLR%){?R&w9j<|EHKv~2h`F5LV?%Hr%X
zPHXFenD^Ctc$FusALX@{JyNE!t?AwVCCRh;4}ZJxZ2emAk0*}4HLqD9^L@wWjZAtD
zr<TaMvs`$<RodmowC%mrpU3`x9`F39rFLz{sf(3!zVrN?{p;6sX5-y|e+cTSO^!#6
z>#4Jg4l{EwFxaXwFo-cQFr+8urpD)|#ph(ErN*Zw7UfjxRiq>@jk=xp*iEQ*{(23c
zPYLYX^!A-eo2nkQNGYk5+4KAj4yHw}+m_5zyy;`E87=wb{`OUxC%?T>;?z1;p%dtP
z?cvLH!ONqzZ1U4uteyGF*5#prBzv$u=d;JGHNMxirZ>L}6W<j5WYwg*KJRwD&Qg9c
z<-F0_-O_<4T-HyHS)00P_pfiY$7fwBTbt*jm3!&^s#UX}#ZOZIT79Xy@@nO|AOpRK
z<(H4v|5?2v=K_1KQ6}3yaX<Nk%PR6C7kNeVXS{HmcJk`moKMx$`5G(N`E*`nyRZN8
znY-hU?T7v_?qAYtxM|H~pQx>x^|k5opF1iHA4MI$UKM}xpb<lPas2;=n5jhtH`#y2
zWCrs;>)y#9_U4Jg4E^`X20<y!7rwjIiz>$HEIWCEzq$XPhNR#B$!GS?ly|JZApKx>
z!oB78k9Qxf^(pt=|9WcPt0yJW%?EF9wAfTGGwZ>Lf2RX}q&6=Mp7~tt-}FOyflFMK
zHqCwf<9ugFTes??S_$50r(e4A>Q+%g|J3B?c!aO<xWDy!fq8ut^PCUY_k5TWV7@1y
zVA{OH0pZn)&2CGrtJ*97Q0V`c^$#5%w$9gA(74Q3QOTFrarAX$W|`6Jf`ogY5{jH^
zAG9`AtX{KQV9BmEtm}%ZHik*^1uL-!ra1)kOxkKtt)Q|@VCyAS_GkNN7<~QpmPb&B
zrSD?4NY+fp;6+-8YSoM87cTgFqGj2ss70rQluv|LMa8lNUXONhRsJMXXlC)Cql@|D
zeV>k<6`R(u-g*B~X;ae2mQU6atQQMju^QW_v_4(6?DQO;n<nC`7DR3f$eL5OCr8U$
z`!3UoEjj%8JsO$58=mbwKjqruj;m4yicHJan#{PuSC)Ha)xt9eMYZOK?0wttf6gf`
z$0#wkb!xk1DuX;E-0m#=kdQXr<FVfpE$fLZ*W`W>-ZOb`Uc%AE6IUKrf4NZ7w(#eg
zu4M<fuUUu*xGl_DRJ@fvFq9*DP0>lGlT(Y%d}5sQ^}OP|9~1eF_1)N?`YL~Lsxtp{
z@b?SW;P-j24p-|pDOB;_t2uJ$#MO`fjy8<>R;?S$?Ryt<GcoWVG?`(4;0XWU-N%Ei
z-1F|J>+|fbl=&gZtsZ`b^ICzSJZs^G8OlEY6D5BM?&C;1o%x_&FG0{rr21p+9uE1B
zA8IW2%Cml+AE~i(S6#dEvu=rH2X#9B3jTT)UUM*sXGP~>&V66sO?V_xxJY&X6Nf!X
zVoWM&GD^Dt&dfjFx1~_v{6tTQ$JzP+IK1_HnjikX!kt(tuO~k7zwq{^_(JUyH?RIw
zJbo~bb(Ve5o2f@u2MHa%c{xmuYiF^i+Y-}li5jfS_9ZYry(Sa7ta9?oBIc!co^Vx9
z4T%$&a_wJ2rDX))AOFSCm$Sue@^&ijn{ceEp6`W_?3|crZwBMQj$RdcclZ4oM(%7E
z%uL&sP71$Ml$@gHu{^uCDsPIaQS`Sjg-zaDvR*uESfyl`S;?{U>a&n#`f+E!Pdx7<
z>GJ&9oO??BZyCj$@|JF#>La+|@N+BHs@{$X*4p*iDYvcm%&ii0$eU?dt+>v0qnpUq
z9SYqW!%U5KU$m+Ys_dRULq+CJ&BL&n`I8Qty*8b-kw;eIm;4)>*?a8LdNQUzIrV}~
zSC(6K`>6?w51It9Svw|b?0o7sZNW7Ti@WoTZg1KC`N-z}>vx!%=SRKS6ZUgn5leBX
z)mb+ScGvq{CaFS<-8t=g#>#GnD<c(lvMzH<3(@Xv|4@;q7-@UcbaTaqq(be31}@J{
zzASs7dr_a)Hhpmf#}2Fd8MQpqO*Owc9P$Xic3W@@&#hy7mb5YZ+D`kuHNf(;Y;5t;
zdtIf``#tYTXBD3^yM3GQ(9%7QuA#@SG8}SWzQLgAs9f_7UHNp=m}84=F5dgPG*@ev
z!b9~C4%NxlZ?1b>ju6;cl>dF(G}cwW48%1Y9R(Z|ja3Znm3B33@+{VzkU23(PRr)l
zp%Yhsg$H}>?s6A?v_)ZZ=(_K$^Bz2jn>qEyjpWp%g-^0-U(4N3Si61Eu~YY++~|3{
zv&C}qqI+={PAR-RYsrznqiX%B^Sw8%i*&9jKHkQA>qLdeH0#t7&yY(`HqSlI8~*bA
ziHUDRt|drsESF|mSyo-2rC!|fw=SdL<5HhXGcNJpTg_Qpf3GnyIoo%3*bF^i#mq??
zl`_}Ne$lbN;P-mzysZ{rdEK`=2UXnqRC}=?<aoV{{Pt;kmi3zyxZdY$(kpB;l#bh0
zeaB6Ewr!rEVbSF$?J0LNd^#`vj0;`yt3YJ+iN?d?t0M0`Zi{q@*tp}W!aNqi2d46e
zA3X28t-#r~@Ak#Yo0@GmYef#v<zFaYeejNa<MB=E=68x5Zp&?Peth84_Dc_r<{j2{
zs}RgDnz877XX)w5`C%ICMA%cWCOkb=`m5Dz>ZO@)9em!}r1;LhaEbH2-xJ=f1xxgc
zHfT&1Pd4M&9@7}6A0hZ;ah`(c(#ek7|3Bzy(o)H-o85f(rNf4{YcgKb9^5H<YIT)W
zG{ST1+AUiJUo2kB+@rQ@p%{1Ttd6y%t@9YU<qh@+?Mv1A_SF7QV&c<R-#6SXTx6Ly
zoiUbW-nJW?wso6}PF<}hdTEu;ExAv^?X9oQB^-R}S=l9j%_y@mc3URv-?-)1t!+FV
zr<eE#ZIGL`<7uazYmtx2{Fl)Z#T6?KFBOh?Rk|ql<hJ`xe?+V1)~!G8bSk(mOk>`L
z{nl~|+{0JY{<c5Az2HI3gUtW4Jx+Iu|GcOoar|VC;ird_zh9KsKXmi;@<P4sUQ&sd
z)@DDc6S(<~{o1Tw2ecL5|Kmn&HfYS+Z|TLvz)&m5z#xFuYydSF)?R;~Kg&Ua{loXa
z+InyA`Pr;kyD3~_*F;N|AIjN1I|D3qRx|BP4om)XpM9?Wfu`HVJCC?rm~;NQ+`D}o
z%OyP{Ck0KDy>LZ#!bDR!q0@4{7cTNI=Dj*~dW!edw`yK1g)Yn$T9&<D;#2ppnVY_C
zo1&t4(?wC$`s=4XM`xZFwePGvW^vHV=l;3$IFa-{Tls5_+*`dR%IlwygdD4tTh9DV
z7V@j>i#}P-m{whTfm8SI{ho^!%8XAhTE*S{P$d6plS<cN7TL|tUSH=wW7w-~c*~{m
zquck)Lq>Nu&Hc$!nD2hRy{D@29Ut%Kcu|?;4|ji9sr02TUM?54u3P`}{3j>w?>S_$
zY64q`ld#rBn?%v+=`}o&buXvAy~=6!vHIq!vR*FdP}!YYi{noREnLHXQzs^JYx*>{
zRNGT&E3<fC%bj}Vl_t4$4d0Zjlh3@mo_B;@gL}K`?w+XY$~ROkSMV<0^<w`==Qqrr
zNtt&&qOL4+7Qdr;lGA>D>emB0Ck6Mf;ML{X_c`ID;{F47t;B!aYgS$UCwOZ-cjH$*
zu@4)hk}_+rTjZ+0PySi-CH>ojEx(#B$nMhZ%TKh~cqQ-IPWj5T&h$eSt0F4QKfkFd
zkNI#+^`68D;nN?|=2dUJUNkBC(N2eX5%bJHr^#1-7Ru*)()rYCP1}<Ra-sjVKW^9f
z9Dl-$Q$wdJWaEUks}lrvTuZSs&beIRYZAv(=d)~9*u4MG{}ftO>d9^UdB1=0lbhY~
zmY24OZct3Jtdxz8U3c~dpNRIfS=TrIzZuE%c8%J!h354PsOgSJueC9hk%3_vGnVFR
zQhs7l3Mln04Gi=@<iJz+Jv?U7`huokMfoS(VwyJa_?R3qd3>$+^2X?O<|zXIcbl68
za_XP+o}4}V+wXnbTEx5-dz)-a+nm9%IN^=6fu!%vxMf=I2g9p+Yv!&Ns%(;;H!bqc
zmOTr$*mPg#uxgE2$}#(2;T=`w&dKX~T$<#<Rj2>d-m_9RrmlL!m5rXeZo3#2FL+b7
zbMybCl`daqm~l!y<X`krcr}M`z|r*16%!>q%_}D>YfE+TaKE^J=l<J@$w_CE4y^NG
zJ+0*{>vuVM+fvOrCWV5#YAYSTu=~ExQPfV}uwjD2$~6^si|4Z!C|en>OskHbu90>)
z^F{TC7rZwU^=+rEUi7mdIxE@LfK&ci&m-QtootU?T>c%fb}YGP`SDPwuh%h4=`8aN
zouP>@cPzW?mb`!JF*Bd=wKM7j@A|P%WPhkI%UQxl;wsC#ikyk2u6(m*a7WL5wQK5*
z&HShl!K^N9?0JKM!QPFTK?uD7D9%VMNS(8neUHr3(tqpsDr^*Dnx-wSS9WTd^;yFm
z>OWN%N+mh)9o;zj2Fq=gvPTx3Z$*~hSbcA4;QLD}$}_L*HhHzeNa$5*h=Wsu$*T^f
zf6r%@pWA)jy8C-uy&a#``Evj2<#$(C$DjRq{dUwAi~XxU`tSR;_kI0)_WzM}pIZNG
z*#Euz{QuL|PtNE6|M;12`+u=`-TFTd?Ejzkuluog|NnRWr@z;}x_5rc`~UyGpI-SV
z@MpXJpBJt2|9*4d|M^7!-kn9R-S;Q_&;R(_{AB&Rxxc+xJH+Du+^9;v^M85&gJv;Z
zIo&N<an{>E-CDappeFwR`U@4uoxj@`|1ajZ{dyx@zV6dbcDa9-a*vAjuART@f9Lak
zfA2ou|GhbA<AodFZid+XUz~6Mf3A3*wwcMcx)(3k&**=zaqmjS>*Tlc74J5F<G20u
z<8S=_y%ojDvqP4D>PtHP=J9g*x>pO^=kHs5W7WrN-;Pb2z<x_KKXcFFtk3DYlQw?r
zJF{-nwb1=<&X*lEdz5}^RebWtYsYT;zSPRn_P8sT|9|OmxjmaJq95nJnmVcM=<U@z
zH~r~7YAuyt9=JQH^Oos+Q?d6V=DE7gy-k;nyeduK82dO^(&$O@(SDPAUmyJSx3Bo`
z%x^zMR`2&k_m|4KwtpALe$>nSqW#_b2=jTnPZuu7S3SG9eyi@iyAeJ&r_U3-cqL+r
z{rqhG1tEKuZ_NF6a&i4fodd_EZl{&KDop?QxJYBuJJrX@Z}YYPIVES$*%Wd&@{j9J
zn`}kZrH}MI{n-B$B}aeTu{R<wCwcn+1F}cs51LL`yXY_TcJca9JGGzLH$^AAnTXZP
zE`Qzl{NJmK-}>#RRGEs_FaD_f?DBEB$`-qf@IPl?O;uVtzv9)w_V*h9rtN%WbT{Hp
z%U&g;E9-vTzG?X9l5zdl54CUQYo7f(ep|GD`NzIhKK*BNkKR8zvEgy}ynlb(yY^p?
zSoQ7ah1$3BKYoS3)B9JKZ2jEJ=+C-G>eAA(&)ww&Yy3a*OWDsV_qX4<`^U;-Wml)#
zF8{OmXtnhFlFC{C>^$GARQU1vCV#xV>6&`#%$HX`J}~yTtqcEX+}q24GF@-q;vYA^
zXxM(7^YcyLqvt_?OO|;bJ%8Hs+WFh|zkOEzXcduQXI$GIpOd5c;HLXKy?=?x))MD!
zz90GPZ~ys2aAe=^uKFuCGJag{zyJSgyKznW$Nr~Xr}XamKRmQ&^N;_lp2Ru-Tk_HD
z=V!~7<#pv^|F5Wi-=_Uf^4yKZ=WTzy`0H=?^TXrwCo8kU_w28#PwO=kliy&zIQC5Q
z%cObL4>qRHtNXK&U0zRAJ}YN6llW8jE`IZAIwub0>DwuZzf1P7GtGT8)4DspNL<PM
z=i+xxEjDf2_MhYaSv>uO)4rb8nz`ad{vSUc>o?kbZ|Ni9-dy?G6;;)D<7zf-JbO95
z{#WNWf2B{~l71gE>$j`@@BArIYd_P^3xBo#JE^XFz3u4oCnp<Ehg{mOYnRKCbvLla
zO|N6kiZxIA9&PU3-nF#$PSl^Q$GZJn>)&O^SBB&r-e0o6!{*Nu=e6DO**QOdY(8)E
z$>a5->%p&2s(T&XpOrH^>b&jWGr|3~8lL}meZ0p0zW&w9lDYl1H+TIo@73LZ?cYlF
z{r7hL=sh;g-{$vL_k9<3{qRk$-B<e2GP(BSv`6>N?tS?Z+<!l+X5YtY?DF+u;;}1#
ztTnOR7i9aP;`i_QJD2`=du;ZT*CDqbl}mLyz5jdX`n%d)xgS}zQ@++Oe`NRb$@|b6
zw<G1DHI7HV2Yr0``x49lgjSUw?xG$aYDGOh_-pO^P%`@wzf|<nN1fY_)}Pz)Brp2U
z^pKi&#+i@mw>k;^4>(#Y^l!1rpW^wIkFGuHm(DkQCZ4DLFZx&NuY14uDLmXR`hV}L
zCnvwkuiW*1bHtb2qyN`v{d}Xo^4FWaX}14Wr{0>qW82aHey*=7+&|V!<(pprFZ|v9
zN67DONB<vvn&`6ok^fUS@Aa+E<*LHwO;6gU`ESj}sXGOvyQeyR^{Wus{^fX=EZ3(u
zr`O$H{-mtx$If)QsrLK7WCU+acATg1OQwF$-{$yZ;YYsl-wAyalCkG<h~gjbqvu+W
zRUi3ls2L-Db=Qrh7b-pnGriL(o0=adH{qz=^jOv0uDe{ly7Cj&ukqieU8ZkxukQcl
z`1(%=m&^T|^fPZ+>Bjb!x~B(^%kA5HWAg+#HA~j`ieLKgHNR<@)GhfNKCklKM)jqS
zjDtMaZLOYP?ecEcz1CyV^J+hx_TTpZw7T>xE_2b{TQWo6*w-E18ZF(G`(8J!ZSSY6
zmu#1wYrXV^>ECa^g(fQke!aic<do^P@Jshn(bh}zr{rn#>P!(6^{T&fWl`wH>i?k~
zxf){8Q=&|^YHT-fTX^TuGc#UYyASrfN5#I29(Mg4r!}Q+X^G#bDRJ6U_QlQ$)36Qs
zr99=AUuNvWC(Hj^hd7+=Wz{O3JWXqb;!$azkJECWR3CTx6@R0(X```H>wDd{<@tXq
zUwSV!=UV#Q`#ASf?bb^NonHET|Bu<VzGPc~)kM7=!3(eKGT9Rl^{ugVwYQ1e={4`p
z|6PCOZ^*_XXTG<Smo9A$n(wqS_NDq#aqb`YUp39}pX#^t+ApV<i<i!RHGS*ryak(D
z53x_n&CtGYCGPd<|D(4ZV)J#vmU%eG>(}lx*&6W6BeQ(rmklMKCI`KDdim|rC#RR|
zz2|Gj>QDJ5^28y)di$R}WtT1|^sITx_BC_GwmTPgoz;C={#`e!L-jfP{V&#Qj%8_x
z?f87?z1ZQYMO^;_*B!Y(QTV(1(sXb0>H9igFDrc+7c=Xv*X@F$_=nr_*A;*2xwz`i
zqEP8SPnW!tFVeD)<T-z8;^gd<(1j-Z1Ac9svw2xi&*8H2m(fe(HBOe+N{WA6-Fk_C
zMQil`_wMT!$cwHzZe+?O#i#sL^F>fWPTsDyXV*Sku=Kw=mwQB?;lKUQHqT$3GI73M
znAjt>sk0OE7jD@faI5clgG|iM_@`AnyPh9-|CnJ<q{dv$DgV}dS!>1LJnf5t#uXc$
zymj+pI};qA?`4hOS2X9zr?n?MCoI3Rr0I^KuJ`=|vbty2=uWBI`Q>g<6<6KrFYA{w
zw_cjQa_hGL`~950U2M0N-o8E4+kI=RN3qqJpDX@u=`*>0w`R_ywXK(wmn!Z!vp=#b
zBrm8kLq~IpU90hzkd>lxi|fO);=eO0oz|4N^yc#?{;r3!i#DH3-Wa5@R%c3@SU{E8
zygQG%mfCCl^?%uVX;0Ig^7|Tho2L9<o~`hI{+FK;d=o!ct_+Zha(I?M-A&YM{*=(#
z%~#e2SjB3vzEu4&@sj*BwbQ>=UU+MI`uEQCE$1>%&$*oK?VsYdY4f~!ffBq@_ZWh*
zimm4_7t>{Vq3QRZVa3M`wiApK_0O&Cs$RB!PuXkVqvG7U-BUk&m>w<Ketx>?c0IMz
zZGU;LC%;bOSj}*{`6`2UoYpnp4O+^}|E(!8)~P>vX8zQuLtSnh*;hj{ez-X9$<IvQ
zSD33I`cCViwv({U>HB|N9tOAS-}#fy+rMqXrR)_Y4No#(W^_*bmbi53<fBoy+-Ghn
z*%aZee)#qDw)CHt%fCcv$tqPw-D;jXtxr7jB|})0mCMX6B^ln;XQ#BK|ID9z>Gg^d
z){|#fiQfu6?B(6gy`{v)$Gdu42}9U&6PwfLt(RW^yfe<&DV+E88Y`KFtJ_k~eU;FS
zwX};n|MkVMr4J+jO$)AKUN3d>@}+}Wv8AkGQC3l@=S@#7S-LpFTYd2>kSlKcz3dIS
z<^E|)$)+Ih_6=u5_Z^y-J;gA5hwfX`V5xae-&-s-|0vq`B=aTLnk^+;V!VHEIJ0w`
zd3Z|xI)*iVnHAIG3%vH9SX~#$U$M#ad?|;v?%RW2-t3Rxh}JoU$1<)4nX$jvD}ULg
zk8aiL5@#0ko?cVcJgu*$y7l%YyNJ1$KIr`48(hU5|M2zJ5{}b0XA@=?@AdOmPu^OR
zv;Kns*oytHr`$XBT<YZ6ogg1Z?aqqG%sfAhFXttLc?`&a`tzE5d%fKMel_}>IJ5Ze
z%hYEFjlP!}#qs~h`K?e}TXPp=Npo<Owfu*NQC2Ofd=@&z2ik95+WU4D^Y5pN!;M#q
zo&RKSwY2;LqkGY31=&?gYa=pWrgTjE7I@6&Y|hIi>3=VM(5b(#`B$j^r$f<ah1OKL
zCCyt)7*5*+1suC`z4*=a{xq+{oB#Oxz3h-*;*l3-dt#kbSd^8_ilvX`qHb+p%Dlk}
z6djGhRqF9+`=<FF%X%p=ZReSUnZ<X{Pn(y1zVv>YS9ki)`ExJr{xQ+W&6|D08D&s(
zN#%m0Yx2%-G2d6lOU-*)U+A^}41bV{`D(e;aNg4~50&5Ubd&t7uyyHPunX4vy}Vn~
zs=Tvu$pNGODS}bA+EdSM-McfXx|Kbl6y!wb<zM9XomrOgGGo)UZ;3N!XHT-d&Ybh|
z=eL;e`OCIcOsjt~<=$y?@kz61b5Gm3Q!VQymrn7zz+*N+IWLc_2Dw1qdg=F%qIQnn
zzc*aEbh1KM_K?wYsnj#dN2h(8rOA8#(|*gP--9#xPhP(C(Lz`DfDtHFZr!_6sk)dI
z;)3^nFPUqK&tAI3s8d{g&}jNipXzn&w|`eHw7uR8PLlR>F0ubSeVhkmbi7@{%-Pwy
zY_Dr1zkAL4Erx#$$PNEXyyPFv=017$EbHkzF_+)0N}O5TYxHd2HfSgaFaMGl_ccWK
z?Lnh(i@Y6MN*GSh$*wN0gyo$7Pp8-&TDrUU>ghF?Ble!DI`89ct!bYhbnk$#^8D%l
zZ+liwS$~K5vFX!)A7=?o+gX%2^QzDMmF$~ths5$m%)NSG^B;S^m&rBz-(9|Br&GND
z-PKEqI>k?KU7DvW>kAH~|D|5X_hhM<ufCTI%A8Wub`}L5vr*Wc^)e+3l$QQq(X5>l
zzW4SzscDg4PnTWYaAs<^(KE(OXwFafdnvr<RdU%?_qVGOXNDd&dR{ec+PVkTe8uYy
z+O7C<_a<|Y&FQI4!M|1Xe|N;1>1=*;xa{VJGbgugUHtA_%K0_%((|73<-HBwlb2%t
z+Aiff@98zt(=2bVNS2$pt;}d~U2erR>s?#pAC}5*dEJ(Jt+)CdNdE4M<aranDSe-{
zlJ|U(`u1<D|4gWf{~z>t|Kj?UA9tVs^WgZ=yUz+6&!3)qch2&k5qaB>7tOYvw&Bdi
zmeh3%J9o|7uz7FWfmdtT=RMWSduv>yv;9^w=V_VKy5AxW8C{>S_U+AE7h8U9eHi)f
z)wR0b>%DK%?#_O@D{*G&VWaB;uV$#bsaNy%t_L|iFYoQ<J@3T9lKGonx23LAykgkT
z6MZ{-nVHS$yLWG`|Gev?gVF1IsZgKY58hIC)NUQq1}l*953kiVU*CIqo%FQGa**HW
z<VRb-N;?1j3E1+N*XkyNE!V$Udf4c7Tk1LUS2NPv*3R`=1+qIX?``p(cd})7H=Nm3
zcpv1r=hCHTj1R{>k23}t{`Q*P`Pci>>II5U{^MEp`OL2KJT-Ce9zXNZNSAq4#T1cw
zj%!Vc?ZH<Yt9W}aer=I`W6BbiZu>#!duew3f?J{~{@L!UzeKCahecUOuH34<ZCiS_
z`{LKv+tPQ=kN&N6m2aZ$+P4S2vX5(TDPuSt<Gdnyo}_3sZ!gGgspr?$c7mOL8XPqG
zmv1S8o&MG|SbE;me|c|D*YG~<OnEoA_uIY3)OWHG&uaQF-xB@3hwH$rD{bkO4bi{3
z?psU0*~@(Tj`i!U+t^QogC^tr_W1o~Hm8~I-U|O|6`#JoIO3UA`_yZF*RpcuL4LpH
zckJ4F-t(Unwtq8S^;Wq$$~toKR_BPcyBp5@bd&vjX2bQJ*L`v;rm^qddVkTala{q<
zN7CQ+_h=ixwln@vS=llBv(<fTZiuy?-|l5T9pilX&Bi^3aqAT~SiNpcuUrxRJ9l6C
zgu8dFKSNX%zcoI5&F|jjTNf{YB2DhuwYqk&&o`Xe#k@N&;+d3_?B_LGwoX?3ntgb)
z&7HM>_0~(@JiF`p4N#oU%bhQ|B6;4_ZQH=ka^JD_{^QceohjFNPp_$78EdXnyw5%B
zZC7m^YeH$(;mtOe*48$IP4|8SN{{;6p5MO4cW?XiYL~yaKIrrpW$!=#+O2GJUPRj6
zZjg%4y;f;=x0M;~0c9lj-CMQYGwz<qySDcND9M?>vo78jc-%(&t?e^#ycp)a<qNT{
zy83V3^A<bfrp4dH_1M3P>s|b`a@V`yDC-!Tt$Dc>(~j@jY8~{pV2bUt4QCAHGy5%d
ziq}4L*M26qd)ZcPuruH6-fI2P(7mkqjQfpbzSA<FA4XZfN_}q_eVctXDE<rc-kt+z
z__ow*wrAE!hecVxT)Fk}>Tffeudd`h|EXeonRuM}rrD+ZTS1xL)+M`oTUkQ(aobnd
z+tMqWqIYYruig`DuCw`FAt?3#e3zabzdhdjz^kib=Rdh@FEa;g{tc4bbv)~B*V@>P
zTNm#GhyTg7cjtlwaKo8h$3anWUhInD_wUi)ZeCgr3M2L1TdP0pns|3j^%jr?d3Va6
z-E6XYmc3%{xxHzi7&yB2?&RyXS3%+TT?7=rHT)a4-rx3IdQDvJM;-st?C+0Cm3K#e
zPuUHMgvoDyZrkb{ZT$+IY%kxrwYL%!{-D@h1diRn<2KPbZ;z}7W$2fAZ|%UrGA{vK
zT6~{td4EOnJl$_r;DYWG&-SwTIP-nRhTuRsy-s?X<^AT|x8-ktvaJq}wmEHl_txJE
zkny(Q66HHj^}gJ<U2A1|L0R%?WL|DR%;(E*mmW3(l@@>h_SxQN24(8XZPB~!!6|b&
zxU@M|n|{vx)sn5X(6X%f&MoE@+qPf-*ON58?)`+E>+fYBo&B}f*&u#@xyOge`JYWo
z{Qka|eGtia=N9wMvh>*UgnHKC#;Mo(tZseY8<kxx{^n;~C@Aw5-@VnmVq5>4%(qLL
zukj@=-D(^0tY&fF&T|{nkIZ>L6&y|H*V@j=IxY$h!wr{iiT+t~b58yh!+7W1-1ReW
zeb6~yp3T4T)<xdm#W@krYW6RGZrzgl?!9P~wN?K6d~n$s_u!h`sqYc~vD?{C-$}MD
zxy!g_OWBo+_maimZe<HwzpY}L_^z$(A8p&-Jd=C9Zfk8s+T9<i2~pNB6W$v}TeE=E
zscYWbhc$D<>#yDj&1T<l=4ac~Yu|F-9*KKy`0ri(ax<IL-FI&(|EMXyc*{<wcpsz;
z{%-zi#`=ZZ${K#J^UJN6Hhsrd_fJ2+z4_Uedam5QdSBwq-@8|@t-X2ge(bCD((|4!
z&3hYIvv1<vIq&y<t2ykItzNrjTffz_fBRD2=l|*11~y{nR`<`KBnhe|@<B=NpPOuP
z{q0+Y%Rwbh+`DUXhe4VCT^u;U7v7)wxfhgT*T!aoDk1GVw~{OG{+Qh!3Cb`B%kFOQ
z$^9dH<jS^s{go!4zYA4N3*WW17Mx@FK{ePl+kkBMA9LqcZj0IYe0MacTv=a~-TxSr
zszD|&f@}d5ra2q8g0sY)TWf9Sfm7CBaAE&=pYi$P>VDO4a@^p;+_EIwf5EMjZv^en
zW}O$&UMqW6c(vm6$#r3!=TB$fnIl|jds+N-)iPbb>&Zr2bDHblX;#kidTGBXvnjc9
z?d%L8`;z5Ziz>YCx)kWFFBbNF=u&$r)a*o|uUg_%^Q~H9DV^rCYs$C(d{BQ$L)0$H
z>%U`MaQCNQht%^XebL?YC0Mi8z~ORu&aXE9nRU&x>!ju{PJUe|p;B8Dclo}`%jkdc
zmmbeQ=JBhy)!!uG*UKq(?<VFwICya9ikI(yO}HyxwtN1;<NKB`TOV`bi~QdSFZp-A
zO#jq-+xPPEZ#VA$RJ{DY`11KhU+VO$3v#~q${YQyGyj|O?w;J73(NkWX!ohV;A`LR
z|90KaD)o0W=G#Ud(*68(<+j3ob*!=0@%y`U=dSe^yHm9GQpfivm!pHqV%H=|6}qmu
zwn0nz==$D!v$k)2SkI+bTeqa8UQ{FZ-NCmv6Y|gRtZBTxSm5^J3-6reTAAMk&N%-3
zLf+S}Z<bx>`WC(1U2)^?9_By3S89A;oBQl<e`&2_&1JXdi-t;VTdMoP=BaY6JHBuD
zqVb?p%l}FA<(d<h%)TC0yLJ1O>|({2hPQf?OD5a8ef}wN^R>;E>y<B^<reRKXBc&&
z-{7~viW}_*?#dhe74*8lDxxzyMkC}i|K;_@CpR8`d0q1NRn^+*4JujThxTteX0No`
z?zrk%PIcb}nSXZdH2Lz>;nJL<OC_7)zs~Z#{9wt>Bbkd@e|^<F6259zrqo=M>6X4W
z4wqRroQbt6E3B%$)$+^K;`XtQ^QYxYi{c*65uI)PYQ5#&w4z6!KgIf;TYau*_igVB
zamwFoPGmosQQaF;-gh{mS|(xU?~<6m^FCJolw1CM``pI~#cs_e=})eo?>v86zPM=L
zVM}NK;;Ido<{X^y%kpF8&XXs9>OKEbIPJ{e#NuOTpB#Dqbobmj?=3AiCQUA0@a)U%
zNNYR&`a35p4@X)X&D?r>j;HZ;Q9J$rO_M*@KF@oz)>3=JnXmS%>ilZr{<*5Br>d^s
zJ3Y4A|LjZC$-?ue*PlFjv$44CM9Q_i;$uHvg{!OE>Hps}d9(8Kp6ZNq4AXW_n{~4D
z{OMHnboFzRUp5~Xp0=}p*3M}kDu0I0nKRci{YrT8uMKBvO?H9J4^>YWKR5Hs#W{*P
z#kFQ&^Ut2VIkmXTEInua(j_xL_nkkz|J{=}&*pp&-28RVVWaEMm;AE)Soza@&YZh*
zKidTrvu!x@^`;NVt-k8%{O2M!S}qoxWjrs!9^|fRlQ%CfmRFp-v%f9-+=7{Ne(d?f
zsh+O?yrOR_$YFLL@21TK3EuWQckkRsndE+To#I-*b@M^~Jbv=#am#sT=_XOdzrcZb
zzqIIEX`i~$%*UB<r#*l2=6TF#XOncB8*%d=zkYt?`P2L5MOEjFS!SLwKJx4fI4tIu
z6n!hdW*74QY)Z$y*@edO_dizt<exK#c}_Ox%rje4irK*C`&(MFTUiz^ojvE<to0_v
zXCHt5wAb&P<GGJAX%Kg<0J-aZaS`9MITr)YzC3JnJ>3`-7MK0bDb6w8cs+>Ybj<v-
zbINPt{`E{QUU6Cd-^48^GygB$XP%sO7v#-I_2&l9E8@29|J;<?_gr*$JlnLNkq?D_
z@1Ae|_Pyc(yBFzc<*D}4V&^|SpL6T*+~ks*>)5A7@~_!a)>C`v*>`~()06*&<z`pg
z=dbq*lb-kVy!BRhi`Q;tpM7?%*jgKr`SwZE-@E6PK&=vHa9#DUDY$w`alFFro%#tg
zf16*t#i;XnH$${_)!~1735lQ*xc=fw+f$Xt9&V3%Ci#8cHNJ$UTeUa%<o>qSzyI&t
z>ErWOg1Qs`oR@#gc>e3?elf%IYqxJL<IrCF_Mp-8>Y3O4&Skz8SOcm|{-0QB+m?O&
z`qnar(>C1+OSdlGzGYi_cKf!nJzGHSpZ_;j+Fq-SQ{EkEUUqOcsKaCV&iZq)@D)!`
z8*C}4?)`7SeB1ju`Fk!Ox^ur`V^`$&DJx6oOa9pNN8RsS;kl}~&95z!uQQ*X;~w$M
z=G@lrZzHSa4!#2Qwd_l?`peHf&a9S8ocZ;DSN884f78z`1_i_81z@|+th7B?8Mk-Q
zR_BOkm8_@d91s3xv#4)pe*EU=8$mYTe}84(3!C*5?t;v=ti7~OdRnDlvV7Cd{M@%G
zWuS1fe{f~qk*(SlN;A`+R<3<}*ekpJ#?sunU!CcbSLV(SKiQO)^|<oug5c^+#ec)T
zH|$@zl{q5wE!UbYWgS+}_8r}=edc-xsG0X?LU480=RH-<*}pejx^?n3sLs32v0MJg
zW@r=l&xYXYRh#ot%&%9LzPDbprL4vJ!kqV&QP$h8@8k~ywGH|$wl254ZW(8u(pS0Q
zd{4@sE8q^;ze$secWs_`_?wN~rssmwEc-W{sXX%C_}R?DTlcnKe!ZT3-c$Fvw~Xg}
z?ugz0tg_T0yM4o@TXH(Z_ZRh5rro$T73{hh!PUz?@41zKURkGDE^+4P9p~pA&y2FJ
zx;oDtlAwy0f75wx3F^4sxS4W|S9`7O`-`_uhE(6bbxSc~?yV0x^*2}A-rm`^;kVV=
zw>N8Vd@Nimd%)<q^m(<<TmSX!&7WNvcX0Ec9l_OopZ7SGecscxbsN9-+PN~9Z++BR
zD|_n}BiIo8hgbM^ly$rTb+2~4{r4FZjz>XFq>MChWW2mG?{&;`_buD_Pv6<T<Nc*u
ziaMX?K)PX-O_27{ZR@SiEw_Gj;`qFE<F9W_dk(C4_}t$3L*-9xzjHs&Ro0xGcU<<H
z%^{=L2hZ6Q%c;MrV%k03o?-Q7xr%B353SsLpfXNzcV%4e<y(x9kjTh(SASbMCjivY
z^taq9Zgsq9wJj)&?<8I4Jw3<$isARQYh@o<#)6{A-+HUKB`9O=IaKHVc~8^SYkeR7
zZP>D{-RjxCt?OTQ&8~EousMC+Vyk%ZTL%9nTgq6&qO5PN-C7&5Y+G%d>iQeEe$3kj
zYWCZ|z7qGyW_{eXTZ(J8Y-876E1PV;;-^%j)w7w2+3u4;?dzYb{oXD;w`=0vnCI4z
zz;yXmvltwwCzpWQasRxRe=~Ys+4=^Q^dZ5;2n(+7Gr--${m-t%y^G2I(YtZyakdx6
z$_=US3_<PI>&(w)+%}(5d1GfV$XoH{S?de3!uKDanV)^wXlvrk-?#S_7{)Exx_IH)
zHPJSw>z`hUdwJ*nXK=jSFWy?lae9q(>6zlQ*ZkV9fO^mI)?33t;Zj_G`~6$34QKw^
zx@Whqn0#&Bja%TMg@4k^zh$ihcduuz-Rc~Xnae+|a^CH5F4u2Uoghi0{_x7$CpTPI
z<<>uc6@Tge&bl>U9@agVdS0i0{-^EkwF{Cy*Z*;TX8-P4eedV+H~X4y{5!YfrSmiU
zSI_M4{ui=s&N5>;5&3G)?fujK8QuHy^Mo?*%ewB%=Ko@h_CI<jXz@5@-OY^+tN;F=
z^>fPXpOYr<O#9CG?&1IJXW@6xR4<duUvY1tskG3N=MQ(zzxVIXnd{Nv#@zX#GW+XT
z<2LX9Ja5{|iy0bb4?cO;m(G^cF3g%KH<$k(%kyJ3N#6>Vr0e}<dH$-|{@_N#`7?N>
zUrzM4Ke+MN1AifY!;Hu`Q@iVzm0ehW>ThVMobLS0-1%?3`fpz2+a3CLMe@~eH{S(q
zZC-lS=AqZ8mvL*OBVBCQ#OA+E@x98Y#<%)Y;}!m&6`NPT{$ydc=GMono3AEsS`%d+
z=Vj|-@n`?FcOI70FGig>b~W`}!%f!7an;ANU!DKWQoUZPi*>W|=@j{?>NmQd3cWd5
z#Q81l>7rjxYd3_SR=u%#>ghY`{u|m)tKK+0wR=|Y;s;-XgJrAt6jhd;J%2*xZGro|
z1oL^`8??`>-k5uQ$-;_u+o#@zofZ#+3$*44y?-j4Bptu*$W)ty+w7(%%$_tMEbaRw
z&yem7hMMgg#7`>Z1RAj~s^>lQZn4avWfILB!qr@F$WC^?!8Y0X#=>L)vv)JTY~`pf
zD&mNqz;o!F%Hz=P4KFqN*NAVEpJ~ds%C(O7keY1shVtWU3KMt_ZJQ%=$WNwugX8fv
z8^sde`|>63?sdG;n!Ibh>y3oT&NqUS1-9Lq@x?Y<pzPuk0kd-wEq_gptrkmM?IZU!
zpvBjC*>8RavkhIpG!LDV*nEuN;TzKij^8mnhrWq#eiPL)SJ$%TtPWdZe|Kb=?xAl}
zWe)X;HgA}CROj|QzU~d~s_h$ek1D(gGu)XaXtwByz_)o4ExMY|Dy}NMF*~W4V_?Yf
z+waUoiNNL!OI6x8bRSX3(KF<hifi7GuhPCD^oYV61;g5_N;!Nd72mKMa@=<D`4!b7
zt6<sUE6<jw-|<l@wt2%@<^DC|W;HWRZ(R;_{k9^i<*hVZVtD(@x&q!qZM`yw<anDm
zlpZdL@7|!T+`d8Zu)>@32DQ8^9dA@kbiR?BC}5VDa`(EzoAoCYb5<H~SnK*E=pIt*
zk~y@Gvw6eZLm-z<Ik9-*t5#d#o13yH@^0a<R^T~wtRv^9DMz(j5yx#Ao<r~0g?B7t
zOH6j=OVn?6yzwaET15AT-HPoS&MLm&>T)@{<t@+815x@pSq2={vOeFpa@>|F;`l9F
z#33zT#PM6|(@whsr=J~K#=dz$w<%oQTA_$TTBeAjS_x*!<t*Jp$J%8M@pZ@?3hR(L
z<kxN!74f+BFCSZ?bj!*gdAv{=o2Z2^bq`Hzw~2~-+}g{}mT2$NwSifweS@e{`v!F-
z`5iu&!!KIqE}FIa8aLQ89H5xA6|`(QD`?pgD`eT?D`44DD{R@aR)8(Bz2)V<DBeTQ
zI%E#%b;=wn>y$Z^*CBI=t!vNqQ(xZ8tUVLfd1kek??s314Id9GylFSMJAb9)4X%mK
zH-snh&sqIa_t3rensrh7ZyF3Zq?JLTJFi0qYFy2N+(SxlW}i^ZX*J-mR`FTAm1DMY
z5yx(oB97atMI5^oi#Vh;J~f(&Z}XB^9|d;WTcOJJmb3P~N}aud!&>u`=-b0w)oMQL
zKAVDd{8sxE_w8q>>o?7G!EGT=1j;-mTFwe<f?d6@6Xa@{Lw;R0Rk5=-a8zr9Y~eYi
z*R|%iR12?&Wy@R<%NAWx%NAK-%NAcT)3{mOw>8gfI)6>!%@R=RII*~L);*=1xhE9g
zY&)Ttv%rAkx0X*rK=X!FrS=WphZJ(=88o(M34HT?B48HqM4&9_3A2Cp_p4tnXYbh%
zdr0BUB7?PZD;#e)Omx2CInnt>&_w4Oh7;Yl#@#Sa6xbF#BW5dywPq1VwAQDLS<BWh
z3g61vz2UY}e~#Xp)ducK`Migwb;%sM*H!Z%#5Z5?Tfmdzt5u2Wt&TSmCMxBuJfZkz
z?Fq%4O;EQjJF$HI*F)2|nm1fla=j5f(fLLSD78;?zEL*O`9|nO=Nlapzi-;P;pw3*
zQ6O8|H@sEq&-s<5ce};)#>9#4?k3{j;%1~?SIXIaLh;T16YRIXh$YT<wVS?oqVAzQ
z?&b}ghnLKcYTmG4seQxJLke%U80;*&q5!uZq-oWO#Zmfi)*5g`>-nt9HRaf?Tf}i&
z@6(B8+c|D)f68!Ldp+!I>t6A+n_D?<>lJbQ*8kLKRx5f_ZB^s9%6!4HXo(hIiL{%u
zvjx7zKM^oXdLmGk@<hNa=83?!j3?T++C|HfXLN1lkTxvhur?~<h&C?bu+}f)m~Hwg
zPCr{O=d{7`)nbY6ZhVQ^ZhVRTZg$f_Decw?_FFMG<|pncyQYwH_Jrb_izljYei2L5
zce5)9>J=|bk!b0aQrjSw*zd-dxY>;_@w%Jcg?o#xe%Ts#V^*R-S<Z|DjHVp3O^Y~o
zn-y`~HZS7XZCu15ZT0CyopkG3>1CZiO`$TMGG?sXI4gUiS)yQB*^`SlXIpJ$(j2#f
z_58N@)M&QX^~U;%=S`O!<KAt?b102ZIAj09EgZisK*kkuSX+N;+{W3x;kt7BhT6jl
zZ(bR!J-5#B#)XN_H*QXJzVT?H^NoWOoo{@ZxPFJu*{eo#!?U@r3HYok<y5=2i)C_l
zY}3vydIwdarzcpfRm{GU?l~_ZVy(Bq7PIHc#^JSFcBvlzY&XL{`$SZ1Chx&LQPUIh
z)_NQ4H*?P^oxSrIyK)w9<nrwJ8R`;|uZ4Qnm2$e>*u}z}727m%o8G}GQO?)0LwFBf
ziJG2Jwbt9<sF{1;a(+3b8CkPGZ>%)Scy@J{o~lI5YayNurJQUxpVcI-UB}X#TO4S1
z-tDWQ{XwOsn_Kk`^4y-&e|8Pa<gD1Ho7?mb?uc?Wd+C+UaqRjomgJn+rowGIHL8zm
z9;~<>Blo%2?{rDu+Q{F_Y!0$SPfwV%*4yBsnRx0xOQj~x?Rp0<L^+#f&y#9W+@^QX
zAbNVjinZPbo6N*RA2;vZ+Lm*1UN*<G8@pIO=fpPc+-50XefIVlQ#*;+>=R)iziUKK
zPgt_n+u+tURf(9{%HP&)nfd$m*Ui4h*9CkwmvSz<wTtC)Zn0e%f0vNY?z0mg&Pv~X
zZIz-##%m#-ZKa%ScXqKn&W>$r+-@m9JH7oE&spI!TS__8Za=HZNmHGXFuVKJ%<l&!
zqMhR|O}!@2v$d4-*zH{`$$7C&iraRcF}0hKlI^i1u=x|q<lI=WUzXgCnX+~r%jEpx
zt#9;J&#kIC_KdUb_AVCZyx6A1?Rp16&i%30+u;1Q39+{lc@Ne^Pfs|v*4yCyHPsnu
zvz2Q<*-2z(pE&(0xqOD^!H($Z30HE@9Z%bKBrLp1aEIQ(kZ9+!*IwBi%kJ!Axttf<
zv~s&;()vo(8BMcSo4S5}b1`c2XO`wXkbm?JK8T*4uqIcp`2QaMwA)gf!u$Ps53Y%x
zp73m~x54jgsxxw43!OOx@{rUf|Ib$hdUls`9=rFf<Lnxi!@03dg*z;hKEJs>wePgB
z&z@3FwfoN&y^&qc@;SG-GONbm$+Zd0uY#QozFbq4sGI%Sus$$R{_}Q8C5f)rLT7fG
zK1px``>6W0(3$<EoX;NYVhPSGPD!}OzBrH9a>?oyiW0T2g?bK@a=JZy*0FXS%i_Y=
zrp)baGGX(jnqF?#J1BBzPXFG=Idai=-LC#fc(7K{-Nb*n&B2D~=?Tl$D!Q9y)z3>f
zw$|I=wVAkStg-e%m)kM(q~v?Hnm&neGq`t6RigN{5X3{fSe$cXn+$hY%FkB67W(F-
zu+OeiPPKcxKv7h=E2~s>M$2m<p6#Yjc060DC{gxWh-dFv!P$|VZnt-_94;t+GUJ)$
zLR<N>!e@4uaz4BFY*AWf+Uw-~%o{<W@bKD%$-BNuHFa*+JNP7edIHlrZ-e`0?rG0z
z4IW>c5WK6)xw2{IcD;jdqNgXY<@Mco<z*mzU3ErL_6cpNP-la$*HmZJ%~m$s+`H-e
z9wDEjrJT?1NPSurW-eVhqvX1V>Wq}^6EAxb7OnL*xOq)=M#1dvSu18vP3X(j^Y7(P
zyD3%VX1zvnM(%5&GdoH-)9&tKnVb{bbQ6@4pPR-WeP(m8Bzk(nskPn)Z_TDJpBxqr
z4q2OM=W|)}q?&$i(>pllw#>$vQxj&b^)~o#=KkjLv(mSf;qwv>t@So|X(n#!Y8);9
zyJr)~r<boyFfVy)%3_=s+Z4H7@8Fr}=?RN+`+Uy*PFS^8G5?iT+$mw7ou!=1?(Sj<
z&MyWfSIr%I2V<h0&t-=29-I?BJ>gz%-;Fb7r{X?)8L*qXpGn&~?d6{6&3c=EPvTI!
zxr=3UHm~QGA6Ep<Y&!dM&9(PIQxdkV^)}cEE(Tt1ZHqa<vFye!mdiP@O)IzQfqhn%
ztM~cE9{y+7rHTR<XK_5cxr?PZH@4~Lww)`w!or&>x9c5biFVe@Y(M>(ML0LM>Et%O
zgDuh16YAD_8+-(Nchk2$hW-YZuc^++o!u?9eCFEzTDO}vrgk%uvQLPD3YU=R=?S~m
zdK)~wraB{WwsPG)_Tn7govUQ837pwl%K7Z}E|%gvuxEFILw(k-H7A9Awv}?K-2s&!
zvyaDpHd}S=_?rvaGyQg$x*vYys0=PUw?sLw%YG)+^m41-L6O^Y&KsOv!?HQ6IMCE?
zMtJs#+mZ+GL_wT+{F>^F^x2;){a4>U<NVofMnv`rYfysY+y<!|7OnMmijL(}yR(bM
zIGeXJ+<MMr^%;q;h0bg$<xIP^i$yrQc*!yL;B4MX|Jp2Q02bRRn{F05v*xVp)n7Mk
z%uC*Se_|=liEXOf28yrg30b*%pF8&Ot6i7+v~S(sHb`j=EiTrUa;n{ZR+BTY>tFQc
z*2<>HZF&cNqNgWp%hl`O`*=#iwcK;Zb1vr1Jh#QP@=Tb$(u}m%LOxqdIhWlAMR4)V
zv-Z-7Mqc3;13?Kl{k71Ut!IDUm_JA3;Fl;!0<oD}BC7le8eKbA#LbH2e0KfWrz<}a
z+SV%0HC@$~uxzcj!R>3RGYV&S?^<<rD!4Q|dToN<uC9fZ@T37s^oOsh&Zv4VbY`RJ
zlGV>vD$dA$Ep%r4*`Hr7pSkvYSJ?I?$Ji(5@V;D@{rxji;VrZAYVNarmGWmcnJ(E4
z@;xXKKE9?pqx7|q4=7OYgVG@H%Y}i9KeJrUjcwB0u6J<D?K%B>pG{5pm8+Mv>e<Vc
zcBU+!b7Pw<w_DC<oE6Er?B=sYSv3Y1uT6;C_3dOOq?(AHp701%4VX>eS>M}#_Hy*~
zIA;T>MQ8S$eY)}L)C9J5-Ue5%O|ZN5u*K%!l<4URx7K=t3ysXzLOuu2y54*r^lOzr
zEO(tt-;lQL$iCP^pb~S_TE)L5Z;d~*OwNmi6i>IoUURIJKeNsB$mOlq1<vd$<xIP`
zi)C{@Bx~rzfYQ3+U(>9pd2pNRW_NG8IyFHmuWv^EiVH?_tFnI?O2>63+*|8y@co+V
zjQZK#o9q?Cn<{sJ@|H7OmfUY^an%_WuZ4W}f%5SgFN6DL;#;-eH%o4B<GD1?`U%`l
zNsyM?G0&!&+KHYujsW@ptC{<o^Yf=BaOLUsuU*FyTnGxpHlB;2R|L-NE#-W6e-}$}
z0VK?0?!?TSw`<)(P#p>@dO6MA^*{xB&ugJGyG&DNxRst4KC`csGws1Hmdyplf!lWV
zuKIXzOSE&_Yp>nUIG5eu#S&Z)+myLO@8Fu-F=@XqGpo)h&pyHNc4u3{zqQ^5?AKLi
zw9o#$@#@<Cz1nO0msh&I(#qmcd$5aTazSiU=MKGtd!nZ&IOXY`dM3HluJ$vFaY1ZT
zB&eo|<W#%&Y|$J4@~H{0)+#P8eQOH0Ddf(a{<V3ZOHTbxc(+zDx#aEMPhd}hLNF#~
zdcv#RzAHZxKCM+u2I+!WWSR7T-XfOb{Ng3ojz9ZY`nLHK%jSaErkOi-YW%LY^<I}z
zDt%S=ee=uhZ9Slv^*LP1x$My{mdk~p>HyqKsn0%9@pfnZyac&*-Ui~=RcG|i{=Bh#
zj?kIYXMZlq4`!aZ;A;A{36+&i7q_<ggv`&ZY+AXc&F2!|+tWLzBp9t#3@%xEQl-7)
ztyRLUHHyJoC8d)*oHrgUJ-`y_yew1wx#^J!ZI67{F~{-TobXSYZIAw?DLbbe3kh`o
znD%>~#p8QMvgfk2ZY<-;)Y!H~f63-^pN-ScY)QEkkhAn$1@p3%UE;}7DbgncoIi^F
zo@Dj9?`EsoPuJ4(0nQs&ysNNZ`jcn<T9e-B?>wd=(YL>^mHa;K<p-b12P&d31>_{(
z-<m#m`Rkoq&$XF|<Zso#`+DU$W0TLUrDjVO-nb^0``RX&e*uV7G28amwaRt#q+aKE
zIDagw-cr5tv(DR_R??RObR<`%zw%T5s$;(E+}k@&@`bbitk`{E_nqeA?GvjKW=q}K
zT-1N@+mgC78P&cucQUH~s=eGhO?HZ83jdm07Xuy@UzT1gy8q3l&5K@1Yd_cCq`%~g
z*oAI&^N_l<_48aqI({B`)h(edz534k=%<Vyz4mMM->m1{d!$hKr~cYc6DoXc6y@|5
z|BGMp=k&_@M^i;Z<8J!=>|{T3cxtGe@b~b*|Ir%%vtG@wT>AKX&i^CHkN$<Ny1np}
z@}s)rTI+Kb|CzhWMnO)vzq5Vr>N~gne(nyb^w@K0>MwOU;qT=E|MITb7w)(I`d{ej
zd&j@R{hh~;9G-Wj-sNX?;Qu4qdso&!GAyiDfBN3}ukiQZLH~Da)Z4$BU*Th;CRcUk
z|LH0F?Z5i(F=~Jq7W}XAihW_dz}NllpZJ&k>pXrW`O!mxSM~Z&<-Pt2ujg3|68c*^
zrQYJJ{~dY9zwej-Q3hG?aYltj*DrgApYFl`k7y^asDE@X;?@6zsp?0RA5HZCE5GVf
zg3MR{JJSAth5b9K+cp2QyqaHOF!7iAJKshB`UC1734Y8l`dUBblmEhhoz=lB>mP9z
zzL$79-|eq3|FYo!NA^lysrUKW>3(GK%q#y3PuX|>Qh#@L*}qQpBYTCX)boAyx8VTU
z8T0DD%2RbY!Tx7~|Cek0uX#0p$M%L_>hlD?$1VKBy|Vt%K_!sTj9>LrKMD7DxO=bo
ze|bv1=2!na(QaUurEB~zdNsddL(?zycWamYi(mZbi1MS0TCe`=JdOALYrMW><v0I3
zuLGXmdmS>p!o%iO#8b|X3xcQq&JXx^E>up?za#wKl0UJa*eY52ue18@ivPt^=7SXa
z{T1e~3i$tAv)=#J{0gT%lLG$5U9tbT!2GNKo!8EP;}`rn;`}Hu{?+`73;n<Ld;GKo
zt19aJ^nLliPIhLH=Nw-BH+rfrC)Cdt`2R@r!7KkoPuaJCJhwh(=^tm1=L}xW-(f!I
z*M7I3o$W^!3tqARn4t3Yzt~fGSCHqz*9HH(2omD~#f10Y{k|Y&%JYAz%k?b&cYooZ
z$Dm;Ucxv~QdZw@bHZwf_3V&A%{Qo|%?vWxWcKmH-IQ_l9;14)<KA!qLrCti8%<tdS
zcUS)ypO|0aWTPf`cFEVW6`vBs)=uAHKKq)tjpDoVC4Y)D_8w_&`1Ri9=kuWdM}!|4
ze$0^jdVl7p^UMErR&%caMfQH~r|}+th55w-|Ho?nPXhVgMomt4;lJI1|CU~{FU*(x
z>JKt(IXD9y<a)KA{b{@>$XmycEDpU=?|*9ltY7JJZdd1r3w*y8vh#lTE1~`l_w3bs
zF3tY5e)Xq@9ozSvN`AyyxSs#%{eY*69}|?n`ro<j^7s2va1?i6skcA1f95ZBxx9t{
zI)6v6tY3dh`}T_ZM}{9;Dt65M_1^zydBFc8+WLY2nKkS`HdIIi{y*|}?v?)zr}lS)
z@{WH;yMFNhW{v-OujW^z@_)6T@kyA!qrG}%{iA<&uj)CT-uDOPP4y#(nXmj0I8{I4
zmwKF~%U}M*e~vIedYJfX{*L;VU-EuG`-4FFpY!7fiLdrepN?O#|9GnKRXr$G3-J2~
z{6F${@|FJ@r|P?)cCHWjzgYACYLK$bSM@wk)#U{E&x4d*U-5tAl>a(k{cY6d3Gl}+
z|5tnJKigOTJ)hLR+V_E-_IySCqkomJ>TRC-L;YFN_-nqyPyL|(N3^G}_}@8Yeuab0
z9-qI$-`5BHpRDme6kKd5%Ec}Iryl%o`-=KUQ(s^CFLKJ?MnP_$?_c5X_ZR+K9`vsq
zTp+Ym{E+)<-}~wQf`6Ui_m=-#F1-HDx^MnAYI4H-)*(C1n_rc!`81(oY4B8aP<iKb
z>i$kpc{g2a{h397V!=ktsJPMlYrgN#-JmkX7UagldcLRcJ^u=SuMYgr`1HLmC`6AR
zIc#_3f6S@-(|@VQy>tf&{r;@6-yKxi1^@r7`JVyoY&AK)CI7?&{yBnzDgh*PC*I>{
zJ1D3YUiq(d%Dx8_qWzuihgbeTI;EZkR47gY34Na)@GlTlD4KlTKjl;ZLQn~q{ODoC
zt9r?&-$8|W;T8LjGj{Bs^QnLNzs}#nEB=d4saFDpyPPn;df<O;&HpK&B*6s|dbfTN
zIRE@Jc~x)vbiN<Rwc%Q}tAD0XurI7vTRXiXRc!5c=c&h!I6r!r6Qy5R&$o8F@>Bkd
zy+@KCP4$~nulLpe&V2X3!t2j0|7RTx%9#a>U;S;OJpPui{FERFD#?8QmaqNPQsH0|
z<@8sWe_GK0yBhzEz!^$WPIvjg-NFAvK^aQ*>-}a>I&fdTqW;mrtXKQhKc3o`_5a9T
zzR-CB{vE&fuE;yC)!)HBcNHiLm9P8M0M6j0D~s0iKYj1@SNQv`Ku~6`i$8UL?k{z@
zFAM(t4*WOoiv7oy3Ll$Su79hi?1z?a!u}n<|7zAlOE-B3Na<#yCiivuKlY%1j3DPI
zfb%#1qCd?b=OnzUH+U-V1kK+IulyGPN6<Uo<)AYE??P|{*+_VT^3P&W0rGK1g~=>X
z{y84-|H$5rAXn_LZ~F8bRNl#awVwoz98gNT$nvUQ<mr59;dVs$(Z7sS_Mm+4v+&>X
zfPX7NIxBo^X1V?CU;5|r%KAt5ctBxy=ey>AA5fju{Y&2cXL>Nm=Y}6M_`cfD`@{}%
zzaH5A{tNzm2D!iHRlU;Fcn7HaTd(|AIkmszm-;(haGmw{<dk~Oul_a>UVr@;{y7bG
z|CTww=6n4N2f2SL$Q3*6dq1sT^skeB^~(P*k2v>yIhXV3-Yl(tP^BjsQl2}t{YZ0Z
z=sbb%*TQ$&PX^a#(yR6ub$`lV@~^XcHptY%e6y$Z6Mw18<t+QxSslINzvYzq6)rZn
zy#BIJsh0*7fPNq+Ru^mj=X*83;)Bpv|2y3){#Qa&dHy}W{LgMs)pHu`p6v~v-Y@&t
z$zBZ-ihEVB2(D_s1^(~W_+R&G{*H1;RVx<!ulb7o#|Hvm{qI=&{MBCwE?@;;)oVVr
z2PK=n!2f5ahrXTgrsd74!dJPLN9QfL*P(tyTYJUx$ycO5J`nlpcBi_v@2%G<e;XCK
zy<W$sRTRwn74P~JRIUj>ntFB0f74g<D+;Fl(XL(nGhV&^5$DGl6<^pu`G!6JQdMX5
z^NhVmgdf$ppQ@jC&D+Lf#ecsk|3OunqMUHQUeN!!n*UWn&YcOWJiqS__@@nWuGZK4
zQ$NKo2Boj$M;D{7)SI8GpZiPw-Ck(PwmZD?X)LJR*mG&|sl(qvg(vT;`4vxVK{@9`
z%2sGqvFy~}I8fxcAJKjd&N-l3;Pq-7wRia|Kso2W2Pn_0A35xMr9S@Df2FVfdp6Dd
z_1+!a8q@`qGumI@2mZ?i6)F`@Hh28~eqa8_8dTO!U-6$2TqO5^?Dl(AukiG~E7Y**
zEB`xAsW%51*8WQ#(zM(QGEDxfeaol!%Rz;f@S}%}uj)0P+I##JUN5ugUwdHPBgT&(
z48Ho`v3L5*zx<CoxYTW_sOb46@Axwr<T(pa)&coI9b~5`DC^k6><nM=|Kb#IrSR0`
zt9=`&ENM>%Da*d{KjKvVG*IE`-_gE4_`luL`sQEiag~!nc?Z<$Exux3_}>PUchsT&
z+~e@qf59JdP<iTo<-ZHKPKjIguk&~KivONd{%e5>&v^p;>jVE!*8Cq0D#J@()pLQX
zyZazz?*sk^YyRI2HLa%q*L=61`Jf8>eenOwo#B4rztzDFXxUYFW;>Qzhk+W<D^Cf7
zn#O8R-^V-^EZpxsH9Rfw-#V}nJ~nUM{+?g<=Pt-Wav-4{_U)g(FZtK`d+&<>3r;oP
zyJBCso*$g&&#wKnLjSA3%?nVKWAmzB4xBB1!RnqJ<`cl_Obk-@B!I$O+Uc+U5^%N%
zcvUa>RNn2c@b@-wnQ|}blzkJZMFz^li&y+t1!qMm-@p1x|3I77^DCV0M7#a01(im9
zztrFLF8?<@7~Fzp`ntdAlRrr3WJvB;eLCL<)Pe?;DJ!r1*E;2Iqa^3M_+NPNzn7pw
zi|uE7oGGX^V>0=gx6KUK*RjD*@8v}4e{896vYF-lI@bFqbCiBzzTVgU;5LIhtZ=i1
z7H;ZC7Pnsc&jv5t%!5E_Dg#=$<u8I3ZY>pC=KQLk_-Xxuf1TCZEB~Jbr{gHszry@s
z!T+N>+S6D675;uLq|(Vo@m>DXUD>N`l;qZ|{&dRh)c$>^n86u*%feH8-%hEAHrqf|
zinYf7ZczDd4C?yahgBA9L0zBif&Y)}eG4wN_D}xwe!;&^cKtw5*T)4^-W@-(crK{x
zQ!oD2|4uce7$^_^cNb(BKd9^TekrsVumg2{KrOfLd;y>$|DPSW<t8Vy=->C?e}_R9
zRQT9fIsKJi0M0)548fI!y$3k^6wLW$@AA_htkdx03ze_+Gd|5<^slpeJy__U;3;sz
zw($Kczw{3%>wpU~sju}tpXP(Q59`56qR!_hs1e1UAN2pY=6_3&1vYAObC>_~5BS&e
zOZ{E!0+3_>PM!iPOl)?z{GGq(&vbB8env$}>#zEyrw(U>@|!LwLtB0Qul4n_*;oHN
z_3gjxeP35i|D`Us57cVP3;3VDV*aU}uqMEF>#&{wrM_NXc}n?F;&ZL_bC%jbIyP%R
z&8WDs_SD|%nX#bkQON&le#NE9zv`R&obzAJ-*LVDSN-H)@4f#D^ZyF`f5f@}m%V%C
zui*bjni;Rye=Jb=T0gJvu)?eP6*e<|`M<E>S@^FrybTmx53l@ZeFbWGf$DYP?{0zr
z#aGIMI))}+>pQ^>uj*uww+i1Ifg4^2EkNC!dB65MfjfqrSN?~dvWIplL3L#IlzJmj
z2gT{H@b_gw|MLUEc|_`K{oGIfppJ(zIFG!~J!L=nm%7{;P`qqj`M+Gl{$odlN&Bz*
z>BtrPUiY8RLEWyOplrkVwZ0i#-*T_~|6TJxq)++h@WHE~w){n*DE*HKnrq8_r&gZ^
zS45m2Gc4DZ>pqQle60%VRbB@dycwdP7J%>H_3CnQYrgs4i4K0s`LSik{>E49)_&@!
z*s$)DwzTH|Ut0Vf;ATsk)_1eOf4v~<g~7$nevhB=Oa67T&jw|udTx-IoN)iPAka{P
z8K{>#7hLRY5Betys@_yz)k{C^2YLD9jEXIjf8F={Sq=(ya7rnxXMcL%_pdPjw}Aip
zf&cbG)AcR)zwT4&?LqZ8xGD47TJygnsOs(bb>H<TKR9(7etg04^}i9kY6rEb@=nQv
z;`{NH|8l45n?TO!?{rsR`G4<}|019q*9sE){yp$tIH=c}`KsRjsl78aV_skJpBdb5
zxl;}C0DsUw^A+`vKF+@Kf1wutc~H0O^A-D#UQU1Imw`IX4_QHdr-{Gpoqp;Eg8EKV
z7f<<b^ws~)ey6{}>tmMw>j!sWKS+J`zf<4+t6%efDYzCdn)7Ktv}*4Rzqj<Ce*ma8
z{lOMwTJtY^&!6{~|C<@UF8sH>YbmJC;scG;ScmQW&h_+t$Wz6SGb)^H-o!lpw|dHc
z@2T!bv}0HPzYH$c-hfK;-NFCUHR?fG12pvW4Lp>v7gUSiyYfF0T)TY(^)qW%{=cpX
z9gk52jmJpC$7AXzgKJb~PzO@s)qk0%@;=bYUh&HR%2W1JeyPVvy8TrL+bQGsSNOYo
zz<+U#{~jRU*(l2OE&8V)1n%&kl6duB2wYVj5Bh(kcK)yZK0l9xgNpNGfz8+Y)=&PR
zPMTWK|8PxEWnj_yOZ}byvVZFX|7n7%z6vLsIbMJFyZ&4b8c@2t^8ahFopT)j3V%-z
z`kx>CFA}EA_wRn^pU*+{F5}n#dSE+2UH-q7SN>N|skejH;^G1S%{BfzfqF%PU;o>I
zm5EQTXVt%d{*%69{iCT%x5Pa%EL`tAwH=g${yyCj_h_o<mV15R$}q<BZ~3A>pkB+=
zt5@tlPq<fnW9BO%{?7L77k}H2{M{P*u6*I2*Dv;VfVxcUrqtW+)t^|g)cog?$A^vf
zi8Q-znq!+5p8F{Ayq5p8&`PI0n--n=8#krBVrlu*cI}mVh4rFW-#Y&c5B|6Bh<3{N
z#k-CqKT2fZw0D(kz<j~4@h@HWeqDbn?)fTN!TwzVZx~)J)V``TuS8k?+2P9*wbwq;
zZw~G^pYch#VN)1epy#8Hi&n|5Is8Q=xxM>j`;PrfPVM~~8W$P$RIxBWa;o}~zfZ5+
zW;?Zc(JAFeh96J4ed1qTmSFiWJm=!7AFsAey`Gn=QTJ!rqjl9EINi>eEKyF#^E<v}
zix>MUk;@0wb1x~X=3Z`8+HNlL-s5@wotfu;W=uc#`A3G;_Q&r^?2g;M6zS`~KdUb-
zW7p0*kAz#JFBkIo>h|^u^7yXp>D|cfyIn$hvDmDxnf7n4&8$z~csu1^?2&z@pWkbh
z-997#Eq7+U`NsV7e|C4*x<9+`{3hrb|L=2W_AkHDJF{MY<L%UcdyoF}c*g&G@yvSp
zjqgwW`Q24p{_MX0n`LL@zuC^*um0`0(f@x*^){d76~5`G{M%4(_*q`#n||uQ<|F@}
zo~hq(<LMdsZ|hV3IUo6V^-TSW8~dmI<QM*Z-MIe7{)s>N#ebU{{})X9ANI^X=#4)}
zyY**z)o<@p{w+TG@9vrUo*VnqbM~y;|MGipZ}=DU+DkVobn7q6OGvMOl>aN_nSI=w
zYUBDF-&6kyANg1E%s%kV`ILW?kNnGd#{au`=Kqw9_Va)G3;yPw`9Eai{uw_H|2ur9
ze%6ipDL?(ifA<^zmrVM<|BU>%`6>U5kN&%SrheLu`e{GUi~at0M*bV~%>ObQ<<I<K
z-Y9?S&-1Ro1<&|@PdrmU??(KoKhL}WW<1;P@aDKt{f+v0KlMd^pPu<&X`}r4KjPhg
zU7qp(K6$3T^Tu1_|4WnVRX@k8eTz@|w_*ONKhwMamOR_<^d{Zt|I{S=**_2e%X+ro
z>&^Z%f5N-}ZauTE<Y(OF>zi-zCH)T-<Nm#V^Nqfw|Gv-ed%np}`8W6IzqDukzjvP5
z-+iNg_Rn(B-#^dnpMB&0sXvMJ)}P<&eJeNmFPF4`!q4v_zu$x0HUH;#q2Ie_*5_~B
zKmF%-!Qb*m^*8>{`1xJ*_wSkY_8b3ee*S*spW`$B-}8<C|4aJs{mkCsP5s$F>|K8k
zpOOF8Z}i_W>A%Hid7W?jQ$dmU{fzv#`jmgpNB^BaQ$OQ|{mDP=-GAd}+Q0dK?vH!N
zU;CN=JvRO~2SuL0as3VZ=DTLKQ_F*wCa~PQfA@-_a7AW$@Nu69zIT1+8Z}-oHZQ$4
zqflvA#+eGiSW~t|vyb@*T#QXt>w2-!aI(vnD-s=XQpS-k`Mooh7WE(V5xp3mtne%I
zjEUg9V?N>+<CD`mBo-J7Fq@_=>u{7Z_CAu(J5x8Q<Cp`_)ftvbZJ9m_Njr|65jAv5
zR&dKYV<WiOByC^EMXAjWM<jY1B~}}9yZ(^c>~X}RH&Q2Q&oKv|3o}%e)UwV52~IXi
zo7r(virLULd0O|3RfgIwK9^@qRa%zKbA+ciQZ;GWu{C0b+QJeAS52&iXJlP9aZgt3
z;82h%knNbUdVYz_$IHtqe|f44epbxOn$7n-D~h48_;$&LxXVr*({eALjQ8y}SvJ4p
z=u8hAL2reqt-k87O?9u%XjGb(nkT`1ZHvq!u|jFJq*k%Hib<*8IMvwA)}Bh*b5kiS
zeWhXQ2F)|m1Xz@=Wi;(nx|SB3d?DeoRfm$_-j1&~)n{m4+u|s!Bec;_c*d`*TQr3w
zzFz&3q-Jn`b!M@e!IjmS-N$sqH-;vMb=+8HC~(^}ZE1(B)Ml?E-cp;RT$UI<SO2@^
z<Ffqv|MI6>Z(mMq>DAr;Yw`R)4{lG8NWXF8Q=qc+Wykv7wg2C>pZ|ZEyT11Q-un!P
z7C3a<slGT@@+CI;;)3%_S%P0osWDWYQL+5VXU&5V)~fLam3m<((|zQW=9^Y_+)4i=
z@N>(_;+0-L?GlbFSWaim-Zy7YW_p>+2e(hlVrL%6J~2D)9Lqi1dt0K<KeiIAHc8vt
zu~&+Dqkpnl$Bp%d(ylTWX80=cW$_$Q?5zwulGuAw=TXPJE4oU3uRQN+J(@A^O0Lo)
zp9iV;eUF=_?d}kk+U$J9v$xXoh-PnNg=?~s;QFjHeuB$Q)24SAOEG_(b!?B$qcz9g
zh<)63?2X{Zwqp)^RvK2j&bd6JR_R>!nL5GFV-7JFW-u%1Wu0*poNn@LLdR(-=0ewG
zzV02X4BcH~F3(u3bnlWx$7`u#pCh-Wgu5%;ll!_W!jl#5U7jJVl$UYFQ}BKE8BM{d
zZ8~g=oYg+Cy;{~c^Fa3U*;c7c?`P$`T4N{>Yx1n8W1ZCJSsi&&#bGYxy^Svx8m{kt
zvC=TV<Hb_L{H_;k4FzgVp3UjlCsiEhV&CiOQX$2BF+N!jl>WtCd9KXxR$|NKIg-%3
zQzxn8Sd5@yNb<TaiDiZYhfSZ&>JXIrJgsA*RB`MPjowCywTAiK5{nJrcSx)@eBbrK
zlr3q_u|4WZdyd@^Fmz15CvF(%n)=;;wpu1r$H`d>nmaUSEm+*KQtGnj5tH7=8S4z^
zx}Lc(Lr*C!%O_lLvk6<$wqqs2hVIF`x;adiwRh~4Vm9<ouIiex-f*vr&V?EGl*F=l
zjwtoMR8HD>?2G6|m*iia5vvRZPMaJP-WZeot2<($A+yVxD-s={QpN#CvU(*|l&;J;
zsB|rZ=SWnqq*Bt{V?3f8<C5DtZ!9nrkTy-*(cvp)>~y4C$~fpqT5scxMTU!Aa<0y}
zsI)EfjD+BAQ?{h`V?ClDCms8tq|_^^p0xa!!<$PpJ}Sjso5876mVL%Wu-b$zY5g%D
zp^g5@YTY;18wR_`T#)E!mNE`KqS!lA?a_u~KH`Ng$qId0XLtmUo1`u4h)7QBv{+{-
zpl*`3tz)v3vHKCtUQU-iiwp(UXPt=wrKWiu#!|-dN3x}u3&WDdIx1|1E1qXxmY#W_
zJ8t&9Gc5Ps-73)&u2`CVxw1D>N$KK@rAm4kXN&~JP1znzI;JCD7?~W_v15^;wrk8)
ziH>Ygo{W~-9Cf5rbZYIVukOMn0w4R-8Y;qtOGG}-J60l8=$vfTT@jzGpk<zF80%7U
zg5_Srtt*DY6>l?xm-{@3UG6*AblL6>J*msiF5$f|H5bi3=1_8dMx0VrhEKkrt?9D<
zjyNgii_yuyx?U_c{Oj`Ns)WCbeQ)CjlV$rm{z(}-9AW5{)JR%z%z@|93`Zrl>@x|1
z&L(Lc9SfzH4SkZ^x+K;a9(L)uFyo<;TNcj|jb2H`q%FsKL=4@M-8v;!8w%_@)+1)<
zmwc>4V!dIqtIq|Aj!vn^-7}ULK6dfBHshnxvJ9RhA-yvdl4c$A5iyKSR_mOx&``kG
zG;LEyq?EDik(}O{T1kD!99X1`eUHTS&eThqckGOSVSKWJSjHJ2L2lEuX&tUo#?eQT
zdK)7a85X<fT%F-?#He?scGAvc4r?yVs8kBeI#VOK+9a*9<Ej+1VAdHY!EBSXi5**|
zHb)$>>TQfzZ|Lokb796(C9|wEMuM|V*dDp|M(QPPKDI_+qib?l*Ns(%0=G@lrgppq
z#RQ+|!^EGz7IR+f=rCbC;^(^|?1)}(r23=O-bnqV?Z+J6T%5tH^eyX*o8WJgw8<TR
zrI-sHlH)`Q1Cq_UEtVKYyU1LV=unp09C{?M_omvT8OL(O1+#gM6!zX!eAIGmkIJJZ
z$6S>BGI@?@OKlE5vRZ1h_mRxr%E%*<y^R&V$z|OZs|~AN_N+6kcHOhkP#{0+jGf?f
zleGOEpQVZ&ToxG$h?_iH(9tbb>~Tc3*HY)vreh8<7iTCdt;;$SD0toE*^G|uCTx$a
zj`)6>Y9{uvOiihy+^F&UAJe_7IsG~+{DmFvTv(*;x@Wzsf9HxN`$My4@7u6`rL)?H
zY5PE>)#{(KK&92=>{n|H(_Km~N}!Zhm9Z}Ty^ScP)pM6G7bTEOt71o&`rbx~1%~j_
z>P)I&vnd-&X?5L&=eh(+X;m40<b}_J-eTWmqsHrJOnc9=+|#}OB%MirO19K;Lvxp&
z>odN)`UD8ho3h@17DLh`wFu>;O==O^NnOX*2pfhbD=f=AQzdxWG_AQqQfhPH5ue`1
z8A}akyPUZ;L)`Vu#TjRnn0gy$tTv2xIdgf&TBT>%XUqh-P1uqa9?KEm=#gC35wXTl
zzcXTyp@6rkn$Sj{<g%`ab%wiL)?AS2m@4&oQ%9)O=IA3?y*HJWu1Iv4N^K5564iTC
zJ!$T-H{u)Pk`>G{&M*o}n?766;R}kIZYk!Ck;#1BHx?PXg9@$1O4~Adj+FIUswK4_
zJE9bKX~ttErQXIHD-G3M-dvh78B}NmE0tyQ9Fgm-bUhN+TN!%9uGdmGY5y??nF}+F
zmH4vGWGnGyo{1D}H)VU&acqy?qZP;YC_b8TY>%eWHHnVLQpJ8pID0MiA1yhi0!?1U
zjz?BY6$c*4?A@vIsOOl&o@Iv9UFTe#p{*2mea32~dlw`+%t5tAF{sw~EL9u}O<uWz
z;-=4JL3LoP;B-^AM_tGEs6SeD?2bU8Z}L6ykJGwNWr8w@!o6!OvMzfTb6Ry(geO~d
zR`@3?<YoAz3%<|x5f_|VqV9bAOKYI7tWo237t_)+EOES7uV@Na$cF@{_r6qI)P2mM
z<m!xhO1m<B^abx4H;P-Eem%i*@7a|r#=;e?!NKc&9_;n?-D|pReutgZ<IWeW4F&$1
zEZfiFpUl?rV!a`=E6)Xqjz%eCk0T1bk~&Enj`0W@x+E*KWt|BSJZzFSqvN5Jam*2p
z-bRU)hRQBImu5^<a?3uWA((8!mbB(rkJ!WPGZKQ2P14qMe3W7~bWE-h6gEwp)8Q#)
z9Csw8w{gZILtz)6t1~*4mSvt%5xi{5meh94N6audd0EGdrG~+-XRb+fSV|fDx-2lf
z?0V+v3`wPDnLI~odS_}TH6A-7v@sw#tvh0gp+L83T3?5%lyFDHD#Kz|oy!s(Po<3g
zk8t(Q)K6M^Oh;g&SF%D__8BL^g~xP+Ho7H?bw{i=6nJft*4gn@YIDdDw%$m!q{YV^
zaxTqysuXu+hNzNR_8Bk1XcM-i)yLKdZS+kJ>%Os0$woWA-sGT^pnfJ(hllVQ(T%~$
z*E(-3HGJ*5XN{r2ZcxUs1!au5-kT~(^N%^axjsW2)WkXqN?Kxq?IvuGHXO?lEp$oV
z)@iZI@V2YWWr>c6<gyNnb%y$#77Gn0c1)IHF7!$+>#A@~F6*oaO^y>Qj7nBGmvJUf
zaDC<(Il*+(wDld=P1zpt_TE%~<lB2w|IwUdG6IEh$qGWfmKu+mj>!lW27($?OAH03
zn?CF4(3UC=ITG4ysrG2rF^4@%4L5>XS20I!OBFjEv6f=~xbD~-P&GIQR1L-ne%yD=
zA>`8C;@r#P(@aWFu-pr}1gZ&5JwY{Lt%~nl(`TlFu_n*ffC{Z$9eGmB7h{v}30!ne
zz9(`qIQd-Xi=~DFdrhD1>9CWU-0@<yp}5PJ%Mu*^$$DKc)*DWD;khv5v=Unu&k=>*
zok~dsYL8YcwK;4#X0EE#mNx%Eteo#*qek%x6W;4r^1CG#=DqKbSSh<lJ1Io%Lr~wc
zi&0<NIt*v6E%Mv<FJl{@Qq9?Gfk!OPUh_OsarRo|5th=|N=b`$m5LgA-rdzXV~y+X
z?irIf_ed|!%u@T{)Uzz}mS4W$<*jq=l+rTh?o&$3np>xomMQChB&YPXep25qUID=s
zw;cqFx6XA`dX{P3?0V*USzAZMg10=v8v|}j>LpFQCaIXT@tUM&Qs=H-p^YJ%9d#}*
zTI{NGeTJb@SjL$ML2XmEq^ZY#D1~L8u@GE%tVevKTe8BntTQZvuT9bxb$pdF_B_JY
z+jwJz;bhmGOEWY<X>FyFSvJoRvEG?#Nvn_fh;Q^wPV2a_&QQP_)K;2qk~XcwBRQ@6
z#!5qh-6m;$9n($K1U5z|KkK@&*ifL_G;Ll-EvT(j*BhzusNtA{%#|6fpfXHJu-!Cm
zV~4U7b74sGv<{19hTg76q&E8;DeP^uSZH|Kbq*+%`(>W-5?r6b1F9muj%4;m>OSh}
z`mm_x??skumpNBi1lDIaZB@E=VMeLay(=@mDxJ&ZIa1ksQ$gwCj9yTiOAXrQ+N1Gk
z)3F?}Lf7PN9XnPT3S2iyTivnUByD!bYpKn#M{Y|of9yN<M&RQ*P~B|X+v#%Wf<(u6
z)3oIsUb~Wa=l<e<Iom3Q>3`L}mC0%!{GWkZ^Z)(!t=xR<jo?Ms<ZoRsRv8N1HA$P_
z@lI-UybFJ?rNW}+#~i*~n$fIOm3>B0u-4?+hK_ww%oqKW`#L_DJX_K657dKT=xvl(
zVYsowQK~rRNJ6irBD6a@S*b1ajHcj!`Plce5!YwMet%ka$68Cq_x7gao1&^_SAM=X
z#pL!TUF)lvWnF)a0#hObl}>jqIZ`;wu|ZUY%d<hn!K8`Bv59xh3zZwzoZtMK_D)WC
zpK`4#U*EOr#`FC@3qSs@yC1nj{CSQ|Qr_ZgcNk1Ec@rmIyTf9VeOA|@``R70Em^z^
zeY4*&+}ip~FJa@gJIo~+yosIH?y&2WKG4sPUd~*fe0!SZhwEF`o^ISfJNNX~whd0%
za?HE7KI2SSdd-G)%_XTrS!*lVA{LvTXUyLEjCFx~HplBN&r}okUfaWzb79u?hTbUW
z8%s>{8D?*Nrn?|K`yS)3Eo~Rpo4#jcj{3}Ku)<WH(Yfi<^&S5+m=B5N8c1#kl@4KW
z+p<h(gKsv+?X78C3GLT>Sl?WkmDvy*<$PnU>1D?1Eop2C>#v<*d2?CnP~F;<OfnZ{
zMK&}?8A}&<WOKA{T_#oFlAXn{I4YRiVwGu2a#S$8#X8es2K6mzdJmRd6Y$GCYjYrT
z?Mn7J7iX~@h+L~U=klzd4WFYnGZZ*xi!teC@jje%&4fuW+gCWjCtHkhT?X&NRo6_I
z*Jb*0KiG9`3sYVO@55!+wlL;p`dUBOc1?%v&PAz1v1?y4+_^kUwc&TvW|0pL*&O^^
zmkEFH$ezY{Z0j=a4-wfM{#(*4AFRB#hQa3Yth@uoYZdogm^HOwdemmF4_?_}%yHSg
z4|}hzVcc_d*4BpdsLRY1>rGquqc-z>2+Y35d}{v>-G%diJ`%Ug-Nam9eOXK9hxY8S
zmj~`&-l7?`S<)aho8$79W#R_0*}oW!w=9!42+rmRjWTAKvDCD=;bxRE%Zh7KPQBN7
zn6tK~aWC-8-p2Hd!|l}nnP;!EwN&0pkX{fcox^UDahC5u&00mB3$x}nv_@^_zToj-
zzqy);<&^hqIz=xOO{{waqhwxvV~CP<<H+TE+)~#5ZEwTTPXbm?Zn8%x@h7gdY4NN4
z#yst$^NUIC8)D`$H;8@`*!9$sBSMouajH$r)lV`^YbLgD2$OT_w`pg5{X}ui^yeH2
z%{DE)p9IWK8gt#y;a?ao=QQ8Oo$>D{0k$WWY!Xx24OI9OBj!DB(ETK^?Ub{`#OEvt
zvu#@5ewxGbW<vXhV7Wtjm41w6r=4#o^CzyiS<d+TiQ=2-&v_m+*t9f%65u;oELIR9
zcSx|3WAUds%raBk3w-8%Zg~7j!0&{!h30wI1Dcf_%bzOFnfP4k!5W*E;!iP5bEdZ!
zILaMzt<+=IJL$Ybhd<G0p5Xz}N{;ZSJDGN<@ju*U(<1#ThV{;r_5yFYL%Egf7~h?6
z-l2WI^nh(8$M>g-HWQw+Jy>Yd;{HkC*wdYy6*~M6J8iZz_&-&&ncV&%X5Q@v@lOJA
zr<^NP&&wXjuH?vnvXi?)oB!clo0jjN?l9L(X#Wr>=VV!LJayjhhLe1YTe#Xku{U_N
z%N-JFH#`*5zN@_<lTW$jCEw!~$xk*+XQs3VsGhe-m}b**^OL};r<FV_CbkD?^Dp$9
zr`}-sN#NP3&mso?a!z|~TG%S*F+Mx(9HGFUxX|W2WA7({Sx+h%BUJboM#?#L+vqc{
z{UmVfiQ<;ipSd<f$T_v!tY`FoqNp>q{etFs=>tZU9I>ASrk(yQw!ulxX}isP2JNSc
zYbLdCh?@7k!Ss{BwNuV7CO&6Qm}}GW^-~Q?&V=?2fu28k?$l2aFni6?5;r%&Xo0`F
z!k5=9EsS#)Fd3{67HE62l7;8A^9?2b#ML&z49cGbww-jop?5yy0AD4C_0ySbH&po(
zx7)PXe)3^|Gqrt#zucj^$}|SP6V4VI=Y0++R&umIRg{^yoXbFq|KSRomgG-om}I86
z7dXwk*)aK&fZs`H3tfNH2R$||n?DJhI~mMuq0j%Y$!2Rq_a^~x<oe4d_{bdsNA65!
zNaPkXnm<tlNAA4JhTES6z>({3`(U0;%kNJn400!&D>V2YPPF;V`1q56+-c_urSnV&
zf-5=BKZ)e1(BpsDYSS|PlL=GYN#_b({)fFbz75+y3EVrmnd3v8+#&W#4*92<Y*Rk}
z=WUcbl+s@4)aqQ#z2H*f6oaD+_lO!?UD$4LHnGRx?!tAv2F`Mad@4CYKW$-7JLx<_
z_k5DWR+|>7N{+3cN*G=}Q9LuT-5`9PYD48Gfmcr>xiTiS8^p^UVyd)a<bJAXa>_Yl
zQo3ZqM4Ohh%3Tb)p9G?wDsDNsS>}Qsf8s`)7QV_bhG{39BeeX@7X-^40>|wd7M<zs
zkhon9iQ9D3gsnC$;JDq)c0rjx5gfN`Six~SPws$PCCBWiieFA`=DVQIzc60zP=n2D
z#^z4~ZBK48NK9+r;3{`0tkR6h?TKQ}<n|4*^L!g*KM9nb+AO)jTkcR^B}es>m#px3
z{l&okL{VnSa`^%exkHJS9PUpf8G24RTWIk=oMF=p$+X5S7MlDIr`WVy{=~z4?u4_2
zHvhvpHqi{vpDM;oNY{R_$fm{H>(uIk|A9^tmpM7YOPWqLthQo&ILpsr$2#E<hP)Rn
zEwev`Fy)<e-l5C?u+Ju#q5P@hoyqM5@$*(TuzwPeJ7vuK!9(uQ4x5(apM2PDCboYF
zkvn8unZ_{hv~z{xd7lH`l^pAzDDIiIoa=*|+@a`7j`vSyvR3HxKWw(y%qag<amwfa
zjqRYg-Ie9gdYEJ3rNlV~M;Gp8Gq{>K#o+A1Jz@rT7q&y<_7y|uCjm&@%0S}w8B^9%
z#VaSBXDFYyIS^CH@%f43mD9oe;JBT~c7Ump101);@)uP26TxvS#t`*Ham%UA0vFWy
z7skpRN~)A%l>H<CiCbYv+=?;odZGx9+j(gY+ihB=ei8^fd6_9fmp`%BW^KdPPXbj>
z6xU2%&buK_?hsoghxF4-_MA!W8zSc&ZHTMnsQo1H>*-4do)gaC0{Ohqfekh-x}S7d
zbEdW%DDfxGwwc@T_LD%_3FjM{=Zy~NRdVcp`jY9*#P$v0a)<0Hr!jv!?QEgI|8Rkg
zHbeUpMVV>Kr3+l-4y~|hS^Q}Yi_GNqf*83&oRwjWey5x*RL^G}$gJc@f1)^NYC7+O
zIW{eyKMCla*eq5MD0fJ;vWj8)Q^lT>&O4OOTOA0k<hcGsF=l$Y?SnR(mf4?j8177J
zFNl^qBwK05^zM}N4t4&A`)p=2@IO(snUZe&V4_V+VI@cZ)0eF9c>Tq2{;A@g3Cra_
z1j!xJuH;z%RFYxO#P$zia)+!d*%;qHRjiqi&H*lv|Ht2dq3Bb#LYghaqDMeW_84cd
z%&qMV%dC2YmRU~8XZ0z6p?D@BD6aW;zmU^ZKZhAhg?-r0T;t@pYQ?zFO??H!l~*h+
zR+U<e#ZMH^Ol>#tpQqVSRms8oN#NOOW6=!`a)+EMmoZ8`RWv#29HDZ)#9^~di&!Pc
z+D~T~ww!d%n4Yed0M57^-cMFCfeU5+#F;jm8Nh|Iu{0#(woLsL!W?$e84|aqkhopR
zx@K}aByL|ZNIzAC#H}SHZqKlOIqfVlq1`~^yv>0LHZ6&j9MMl!vV-H+pBYly3Y48N
zmWR}~9J`-JGC*ouZb)t0Uf?j#?SNw?2PAGeA#vNy;Qmw*9Jlk*4scd-s6SPlGif<%
zL6qDf$;v3k^rwn*Cbt*F%`-c|TFD{)R551Ka@m4NxkEE-x*5ZtD8@`}FYukmcc8SA
z16&-Zb3T}6({lTh4(pwX?FHd-hiogY7{Qfo`-gyesSV)D_9c_ewDu1!a)*K|r!mhv
z=?p23wHfrED(;!IT>3+l+#%^oj{K*QoE7T)5BJ)%@PAsvR5PVL;N1V^fmLD5YStfw
zAFn;sC@-8pmG4hZ#q381_*=HG<ohF1G5gtp{<`fi`Tp!FpRMT6pRmlPMXQoy^OH#S
z8M^!nqvV`=ZC*2O{Uq?}$xVhC%KVAzY+5Qm<*-~i?F`Agz74FO1jJ5lmb{?BztB_e
zkWyt8<JnIF;Jka8J!5kF1wDUzc>GE-z~i^ML9deI^ixTuH51w|=<+8nwK?1n`bi+{
zv~z^=d9edgl^nL8av<@0(nI#0y%&dcDbpdfxe3w<oBbSKT;=4bwK`blu;0%?VnRB5
zLV(;Ma2%I0Z9Cz7LyJGrWnOGU?k9n5r#DM)aF#pdSIM#a$xY@Py8Ma#HZ6NUy<vSb
zxqU<YyxIolPXc_WoGnz&*Bt;C&Wb&!iWv$*<PI5D@-g+DcD7LDf4Ib^oniSC#W~a3
z3*6=%ZU9%h#ViGJa)-c?EXf)(sT~r@?vO}+F7aTNP0Q;~0(mEjWeS4j4(V2MY=3IW
zxI>x$;W`_2#_vxQ?@Vw1;4m+^q5YG9+(~DN>Ca6bY_w@n|1^iuW@`HfAGt%tmFJk^
zPB>R+@;{tv^PFM*Q^h?KpW8fGYtvHxN#Ne;V#W`Sa);b2IiCKh-@fd*Qo<%?`;9lW
zWPTVP4SRXuyxKNRzCR90vtJ$XSKOA#_vc08>~{ywOK+3>)Weu|%6Y}4=Unin)lM#W
z(<&R%v?`W`H?8s*;7u!YNYiR3E4*p79p1DmW`{Jb$`MT~TX@r|SRRs#`61OWsA<)X
zXj%zEnpQo`W+$9)Xz(Xaw&`aCSHI3LCO_v~0Iq)hA=R%U&*{%Rkm{F1`I8Mhr1}+y
zRKLzQRL^@K09U_?Z>ByMO_*=f^7qpoHkk?S1p#u06f5sB@SS$HP~uMvnYX=R@h5@4
zlb`t@Wi*HS(@K5|RsM%tY+8&z)iBJN+Fsx{ufG9QMr%lB9{B6d`EZh-!;Uq=Im|sT
zSz5HM7$2_k+aO!utF90;J)Ip=P7CCn+$;<!r#Z@>-eiT8)4LhL<#hW8k9oEY;Bs2g
zW@5VjgOxTd>7U*(+e~l&;3Rj*yYd?|ylutKkpEP1&*bL{55SpO!0uErN5DD%kOl1q
zHyh{A$Q0%KGs9<f$%FLdTO#ar3d?*;A1qI}Wx`(fWvOrZgXgigcq%!JpDKo&EEX~F
zmOGSF*~hr*sp6Fr&MT%qw^-mOcSxp^qxh*M;|z8Fg>myHH?UQ5e158^GvT>N!a|!C
zw@MD*PjlFGCbeJCIWOtZZPOA~$&veM4(pcF&JjBN3!Uc`H>iFRn0BgI?t&($tZvb(
z)MJ=-+Brgze_`~z?gnr@T+F#4O74(UB}ewtojfllwr}v0J5*J9j6wQ|V$PK3TnUqH
zTF!nFsCv4S>&4{u3;O(t4)d}b94k4TKgF=a+s@{QwzDs!?Yxr}-gdsj25)1%gSMSx
z;ce&VatR@FhXN}(jz8JSZ=uKku*HU-0bE$O7evi#Z!rEOaPE||h5C8r1C^B=;!h;m
zW2Uqhc*-40t(0Rv_CzseVtYa8JoSd`PXg;se-<flmOJEI$+7)OB^#uH)w27O4LhWP
zB@Ss|IajEh_dWn_U<u4S@tF(Kz=~rAH?a60F15*L05`DOKe)}?4rx4p=7Tq$EBQ5^
zFaL4DdB&Rq{yCeu*z0^|hgyBud^4wu*?z*=WmX@8Z{*CH^r>~ne+|Vm1rOTS-1K3u
z+cYcmB}+@B6=UKyzW~M+*El&$KZPKgS|aeKma*ss1^&c+HkTQ=pD5~FxptYcw?$@~
znu%qPu$bkR^9&}|Jwj13r_!0CWUDxG`I1}8+IMLkNUG!jH@S?tH-to=XDbo9bfb4e
z&xGT7R~z)&3lA0j&wgv#Aj-G6C9nNc)B!IiL3Y(ON=r6G%O-3+%#qmZ{9EQgRC}OP
zzw^(S16J*FhpO5Q53%Li@^1)?7B&<7;mF@|)~eKY!S%_3PV=2BnHSt&*lzH^z)hlL
z#cY0wA_+xMGI(@hAH#-FxkDW`+>Ez5{ygD6R94Ah{Unp+&6IXXYkL+$^%KQ6Q_}?#
z=C5nt5HEL#v2qq8`%^`kN$mv@^TZB-JIPy^`c64psPRACVUyb+{7C@ZB=fg^V8Xb5
zZr~xwN-<_|YT$pk$40h+`;&m)Dd!z3=Y<ZW#)A6EOB=L539J+PaZDj*@^ayVSh+*I
zl^o_zBiVMS@;}^W6Wd_@N#Nb9Dvs|@BKdac^FL%{)c;g?$hk6%0bH~%m;MkTcSyLB
z!yi;dtMNbFxvu?#)4b4z>7NAReiohzXO27VT%pYWaIH-?!}}+Sd#1O4aGbZbq5qS>
zsh=kc_so+!kkT&a)OuKA;iqo?8O`ak30>;SEi3sRw`B6Yea(1T){WB`R6%R<FZ7Z-
zq|?5uw*lN|R=o0~@Rm6vxb3}J(!gEr5V-CAk`-2eU%$)_={5gtaI^P=*5GUmuo~Q$
z16+fj7f9H+uKj`{e`2T2;fAGka|E51+q9&9%3&-y=^UYVKI{NjCC6Paw^^Y6NyJiN
zfw0#sw;8f!+&HrTT0Cx%o10*rFxSr^LjOG90dO;1F=tY`dctO#mbmspr};L!89)Og
ze=MHvhL`f2+2N)98&+^Be|<AQtRnwoQTm&4_fti1Q+ytCL+vMlZ>Ng|3LNAPftqV2
zw(Shy{&Ty*tK*sWJdmE2JHzp(igPBk7X-}<gbj~e{3^o=De99OKG)5GR_B&{J5=}|
zZn9}Htn_18cfxsx)_KnZrm@fwk~vIwzC7*`0Qa=cXC9EP<S2h?$qXs#Ti8F%VYRvP
z6<VXqJlH6oKIMbUyw45GKM8;vZs%DKa947G8*a~)9&B9)Dh#~Ag~89lV;t|F?&SHQ
z!2fWuO-oT-l%{PmY@9?Jd7NZ5WSk_vMnKD^Me<V&Gi0cw^ngw!$L6PsA*YL(1C;p}
zUQ3)4pnl%>0I010Yw>hD1Gv-WoH60KS;9V>7I3?*m>t}1yIw55!9&go)G)XAyKC#P
z+NQ;<lH={CJM22s+Ak=cXFecQ$>IG}vE)^i920aT>`wpXe;kl%{V}A(caG3KpL_sZ
z;wyf6Q8ka@+DYdK{qw;G*zEoI6BpaG_<pis&Y9MJL7RV}|Gei7b(I{<pY|~DysF}G
z{v^=$w3742qvMi_;1>FM?E_|&9JilV^4?J7Ph4)(68mWn<C|+=1-_kdzM*|S`hcDN
zIgP~qHt!*w>-GYVdEXlje-h|B;Vd!rIrD=R>p(+d{ovm9kHS-@Z-bJi#ZqAbzt=32
z8PiLc4n@vwDDYEX!7%4KCx>`Rlh*;)N{;DI6l1<UzT(RW?uqd~TxAo?2<eGQ!D{%I
zRm+(3PCJ7ea`MY-*&yR5XIN||w0{VYJEUB>iUB%)vgq|p)(S2Dhcj(9GlDx~?H_{X
zWgP%_#uWEVT+RmRjAcPOW9=UT=UF*e+AGcm^|3+YC%<JJmLAqf>;jdZA?<R9Oxg_(
zm9+1wY>@mU0B*r8mxEO9QVdr=31mG{ymHD|K0uv6vCpPu=O-P8Gn3l`^v^pvG~2ZN
z{3IZD;xb2s2LHl9Ij6}sz74LQ1ZF*rWXYJ=enE?Wq3^sjhut<U;8D*^MxBZ68$#xV
zIe_!Hz^*5m%-}vYXl(2)B&Ih@!0Yyx3|~$-N2v2B?zQoT)a~h-@Vfma%a@bRFQ&H}
zD4aJsu)wB8v2qu~;ZFkKCi?lJ106OkkS014Bxmnp-2FuH&9wFn?(?QL?ENJ0?WFS!
z{qtG}7%Ms0pGNXpsPI4BVAG=fX$>QM{3M$ZoU50!7X-;20uOpdvRf$gKLihYu3-lc
zdd}m8kBgmmJ21nh1yq=y+RRztD|e{0(u_Ipg!2wf{)f|S_9Di`<RS6;g&96B#*ApY
z2|%j$Hb@^E6s?KyXievaw9s={!KL^~4|Y)B<>~z|!UAg6S8|z=``FCTKK6SCa36a@
zyFu{0xeZ#mzB87tEoV&2&UV_m?H=!ni`QDBa(!1^zE;gpT*BG1^OL}{lbgi@^!XP$
z&Z}(z$E|aO#`!vj#WpQ!l^oqqEEzJUwO>#=?+DMxGECr%3@X6e89^EOR58m2A33M#
zHZ4y-^)Q8<aDFkZeM8W^#gIN&G0z4!xkKPSm<&6-kL|}`cFOsN%6ZQNX_Xv%KMDML
zV#x}QT>iv>d6yd$D>)8-n!}hgu^m!hr!(GuqL?$ieFLbyIQNr4*~wzL4bgIk<SIF;
zpYCM1q0XPU-=>B6Qw)pD6j1*4M&w_k2cSVumVfHOhYFoI=NTU0Y!5u-+5SnpA(+p$
z<uYG#i!onmIAgl38^?2*W1P>Q?qu7c!2fWO&2>gl7M|8_pmv`30C@OQF=p~}wFls_
z?K@0&rnE!Gw)2?5!=L;Q=h>7qfV<nz^$=s*?2xhTmgOx*74IM&?(@uua$E7iR+|>{
zPXhN|Rmm~lJLz1Zf8OFjv;1=K`1a53cRouP+)Qi<cxd3aq9mi9X~rW1uNkib{)rfz
zUD$4LH?haSd0u+MOct5+mH<`$#A!C?8NsFYXC_do9VX{A*G8YQxWpC`(Vw{j-XE7#
zH97HF3Nj4J!Tq$7Ib%xu1@K5&KI7S4pfT?E44^S?j`-&G3wr0P55QV(pBXoV$T=;y
zk!L*pM6pCuGrsS4ztADBc0;G_eg={og47k(T;k+dUE0KTKx%HohOl{F4*fPQrJn?@
zoi=9O;3#*<t&(H*lbMh?jCq+2c9k5Us`|-HKJbtkXbwXXGKXO-3>q?%X4GF|cnI8h
z<ZBl^<kwz!NU!}{WW!y)#VxjcPd77G%T{rK=Q5T{!K&*gD;Z^`wHLU|i#h-vIuqzS
z8O#o8vA0bAbcS)xBv6ZeC!)n}18K1<#!Oi*2WhcOF(iKyfYj7A2TUtDu0K`0Ga;Sv
z!7`hc=ubK<cc!%$xXT@it&~D^ywwgkS90_}iDZ$O-VSM<&0>U4Wr#r{JCk+Kq;|;Y
z$}LEbto=jWys`t}(e6kdjsM%KIVQ^<;}GR5m2L297d#}=UU(>^{hMk-Cg0+gmwZpX
z8I7MPf@^1gb$D+(k`3J3_7`6O>xf12fjeSyPR%yj4B(E~a_J2La!%m<9L6Me(ixJU
zwIKO9jQQ46MR0yTF9oZZPi+>1<Y(K4r=JAC`8i!SVW~|EcuZxp93(%RF;syDMB6vS
z&FgL8{UiX%&uWnToWlkyto`-j<0-!wqMs<{OkFMyie2yw6%PY=K$Jgmx=nM#-A@AF
zP8hS?(B@ABceZ($!E+e=4=30}L)u{J+Tebe>QnIGwZ%GN0lyb4lNr@ZnGR{rZ77HW
z)xZ}yIntl5WSIjRI0Lo8mN9^v-=H>FFe5l-jd=?~<qm;kb|&8rMgE82m_5S)j@fxL
z8+LyZcz4oyhrYk)gGQSc@Q{izw}Br2!;LnZ8U3Fqf`?S*WgRH4<j{YjxM#|8whvx%
zhq5cP81tVf?wQ*D!Ec_`f$B;Q`6r4sQ_}es{IPzg=u@UKpL<2Y3&jwt9>HZ6SI#o~
zlu9W26icZ4lxM7GKLakV*&L?Yv_yUqNIPvTAE3*>&`r*1tqnIr=_i3_CpHThgv%YW
zspR<j$%IMjiQ<{*?He5CsU2{t<mmmR!vr1<<zE;%FAd(s-ogNm+2x{;wsy<XPg@wR
zo+|20Zoi=FZ=JByrbYCV4qM4-XUN>6Y{S)00@qGBM`)iHg5+Br)-}`HA^FydarP5M
zaBJ(lk;8nOmb%Ja49uSd*q$o#oZKv9pu?ZoZPT*$(;9}J6V5l({LK?~+q6JtF@zxf
zDvs(Wk*tuIUCsFWsUmn7Wu9q+GmBqU%VEC9Ey^rsZokcDTs*HwsLyK3cZNR8F9Lnm
zJ%WA~SJpDm`Eu8d12kq<mJ!bnsgb1_o<C89)X2RJ-Mc`;-W=1P-ei%O++N^1?`*^B
zPXg;sI`7c)*M~K>PF`k*^tS&t>~5Lg*j~^d(9&Ko|3JNlAwz}3gY#CL{IbV5`~R%p
z%FlF+v$BGtU-ncxga4oPUj^n_^$5<h?BQXam%UxLL7eYtG^0N2)9ix(7ui~_-%2og
z&}+7WVUOwub5oA@GN-f|-~U~I)t9m6!mO1I{Ve~iYn*oOOqWX7bofMx18d`cIj0q0
zmei;va5erfnrATe!{&%!))~(X{ARqnV8?B6IB|-><%Kn73r;2W7<lgvWlqa(mU7s7
z?Fv)YmbQS^rlk#5YiBagP}WRbXEuX-#`6o#E3W6Yu*Dv-IZ(s2Dr-Hvj>3j}YzLf}
z_TOr8Yd3V7?0mQ8fKt1l)8@k#3y&_WWn6GIams~f0s92Nvs~NqSV}Uo4+-V^Myy&p
zn_=#)SsQ$!^_faCvkw);G9|X!oNdUf<N!}%E@y=_)S?)(pDKbIYW~s*du>{Hqb~E`
z@OZHN0w1%P;D=2)_xCY=muI?vdpF}=mVM3NnSaT+@iYIbZQhvNz{pge{=zch!vCoA
z*#{=5E5Bzv{OQb#qkoT^znIB+W0ki;&kLbd47W=-Ti)_LZjt4CyP3gS_Eb7^ndKLO
zvh3_ba=Ed*H&(CZ{Jq6AasRe;j4}lhsxniSi-Bjua%0&o7Omx6d}~%gNc4F|nakH&
z9^b0cd(g5?ZO#={0l$;R{E(4#PyxXXE+FQy!AI89?H+)8X&m8CA{ln9S|V7`dmvxh
zx8XJ4;udMXr`-(ZobivN*$TQ3RNFq><{WGMpzkop!*z!(9?o;F=6<m6u*Sni=SuAd
z3!Om|pDl%zw;1}LDuU-w=9L{VuH-oXG?J%65j1ww68@=#0p3gZhV;_YH6JwFw19f)
z^%rgDHk{;BZsBS#bXw~C+vtEud*Gpv_D^~TOxooRl`#FcW=mvi+`oROjKSm&#UBJ#
zaqN*@$8hG;{;+$zGv3zcmIyDn^*`!ongefRxr38#<NED!4s)HW<rDgxEA1239hOLx
zYuw*q$*|&k%A5-iqPO!iJ<D!ZJiwIe5V6AaaDyAu|JCos947NwZ4&-2|3BNdCGGyM
zJn02T|KH+Rcr|g(g=f2a^Vqjoe|f{8qxQkg^F_gm+nf=P44fif8Th>@$+*uN@yx*M
zMUlpR-iUV>oFf+U>M`%)w4eVkPAh&}@v#r5*FQbI%RjH~-{zN_>h<EyehB^74!-ua
zdw<BUbMODWlmBy2{{I*Me;@t-AC&+5&Hm@w_x108+yD5peE<K;@BL5R`kC+Yae4eP
z%iRLU=GRI-f14!n|HJ7W3DXb#aF0KhT4vc(|0gwO%SMBLC#M&lTvzj0f9gJ4#eYVB
zrQUHKeB{6Hd+HzKGSgeXh2#HjF4OI?|7rBka<|;EdoR!5?tPK6uR<s<!0}b~{yyul
z3BRU47BArD4*H)}!~A`V!dLxc=7p2FgZ@X=aF_2@_^N+&d!aN>(0{KQ_U}jEPuutP
z>X*|O46J=(R(%mK6z2{4Z}f-tPFVAm?myi-&a<r){~3Fy0>ty)A<Vu~{HOO0QxG-1
za6Mm;{-^1MpFvc3fjNJW{-^N5>iH2%rhm+yv0F{=D)+DJ9}NVS?E5zV_+`flRTKX+
z$%!{z>HZ`B@sGfgxF`Et*t-<ID*aEjNn}|m{&W3<iOL>(Pt=Q^S8#mg`Y*degEL6~
zQ~dGZQ%98k7X9ZvvqpIOzXRQW%s=`FFNu5d{lf&|CHp>q|9Az&Q?KCR3ex|?UZDq~
zf^9TdSBn3XoHqw#oub@Q5G6QoEr>Fd+omS=Qv8eXncu?G>t4D3i>@%?4$}X0|Jddz
zkQ@2r?loQ6`(JO~Gmz@<A1cI`#65{W_&IJu)x>&H{sxfSOl<@~;UZn(!V{$bY5n7w
zg-H|Zp6pNTZ&tGZtn^=Po&hMld~J$As<waNky;Y><o+?|%n83%{;RcF3KErnu+h|G
z?}`5c=Qn~(bg$UJ8>Iiq{@CO^kRv$dYSqPFw*RvJrlRqwJ?=?;7yDCCP#N1;g2I0O
z<3KBrW~TGkK$@pl+~5nc|9t=G<#LcC`THM(M8hlWKvA;)7;`nqNZxr5)Wu%j`hRU6
zo9n+5-G6j#-h+(#ZpZ)%d`q<^kond<93ZVC#?Bxuwrw2>U$uYb`iO!A`6Y}&AtHN5
z7Q|!bQ4;R{(p!Ih9;@rW8{L1}C89yHwr31Mvi%Y{AYQGH6^Q3AQ48YDH{=Gz3cEyK
z)0Oq#<rAYoQN?d)2NLWz^!L@dH2t6cHye#l>Tys0cfHj1*n7hMkcHTixF_<-cAzNo
zH`oADyFalB#H&B<0g4*?gFPVXf8#=ZkG;M1U77}<kP%LPctpwe&;B<@%l{?4oE^`(
z|IEMq-F6$F`0snJ`rm$A(V{QHzA^vTuk8xb|2h9=R?kA=ApM;-so9HeM9-VEX=~sc
z)9@v0)VuaRKQ(1-canaU*Qsmn8_jJ^Q>7Q(xIJgurrsTI)|aeZ{^_M=_0PGT-KKxf
zc-&l;kZ=3(ywA;N8sEHD2VIjsdhg|$DPLVTmRnh;{tbLHH*9&1Lf78Qv!?L6Z7h$P
zy=kGwH^0|GW->?by__>8m(%KN*t2DCLNBb;nm>Kh+JpC^V&`v~sPWBDI%u12*WSxN
zHE+uuz4x+d%G-{l_a%v^%G@@V-<q*0Ht@|{v!yxZBGt>ggSM%6?Y;bL%3EHmucz}o
zZ@yj-eAZ>H=56seYZtE2P5r(2hIzjK%|?xHe&WmDB#2Zmm({cuIePEqq$#p}N$*QC
zPxZNMEWb5#(?yMMe$$t}$q=bt9;<0BR`TllR-4rPCO=cTwH`M=Cgh)WzpH62c=X=O
zQ&Z;lB$coCy17#0n_u|yH(&JsJ?*qVaLN3&>58Y)f$wgwch$fDqwMALeJAQ)Z`R+h
zSZlSC{r%eY?x+9Ey7sX9WBT;kkH_t2ZIOGwbAA2m|NoPx=Xl(VzdZlnm;So%-}im+
zxiw?c{(o~Xo&W#V{@?QYAJ+DNt@nQ{um8M!|Nr*-KkAds9?t!KH-Bo~x6}6jAK(AK
zSAW}$Ih(TM|391m|MGdYugl)NuYbyKKljS?P4^G&tADTk``csP)3y8m6u-5eu<3RD
z|Kj?t&%RPeu0Ppb|IF&os`j97;s5TO{88Ti@#)`p;j4d?T$(mz?(bjv)As%2|Nmn9
z|BLTG>Hqexx0|`eH1+Gs^?E;YE_s|f_y6X*KioTXQ|rHK->v=s+4FYrH|hF?U%2c4
z`R~6`>wfCozn5lme;<7Iv=07ezVG){ch>s9@0_;Z@7#A|-uq+H#x=Y@vkUm|*(AQp
zf3vruzGdhB&EFRuH2A*#TV0Lmp*#0)vwsldEUqt>KT`cfTKJyR{p(L<4{eX;p7#1$
zokeQ;qPJgHSu`Cxd}n{t;tExtEA@-ylVy&6yC{9<v}N1f#W!|a_MKgPW3^?Yc&JFV
zv3hWs;*V|5<F5y6R!i61zRYjzxBN}ghV6B;3e{4lFS-$JIeXLTz&H1e&MnQ+>H3@T
zJh)7?Ywu-l&D~N*@4a;0^K!rFk$W$fPPyBW^!`iHsXVuh<yO-+Mc<!wW99reUZ?J{
z&VBV<Dm=K%`bM<<jUCfASqHwE8|Q!XuEsaN{3UPVM5>pY2bbA*{e7}M=o=_I+}5m?
zIC}5p+$pwQN$<bpojSJohV|a*n~pm!U$<}mrtgl+e+eFMo?^@S?b>+@xn*xMMXHzg
z2Yu7&+I#uq6x;r!_g@N6#kp)O|Hb0$D)PJ4_UPwAk?Q5=KdcZxdhcbi%~p^U)BAS|
zg75!MJ!Qu-_tkRQ^&gD*zFq6Lj(e;vboAa!=^RkLx;>?~C+Yo{*i)zW%O1J+^7j<q
zzNGhGoKI;jy0QLu@+qywH>`F2Z%@(q=BK_q_tkdwvRB>Om%bUk2(kaM!sqsug#5ox
zlD%#%bKh8QWtwZe=!W&&Y1?K7zM1Ri9~~-Ez1;YcnReIS%QG`)%O1U#8G38g;v3mB
zr*502@y#!N`C79VS1!NWGWB)~yY%}dYPsfWV*i-${>Z)@W*+#5+4k|ZrMZ40zn>Lf
zGBfYm`(bD1Z1JOUKlW_7>b_AtZvM75jc<pfFPYhQ?fvjGGg{zi+>fR$x;;tjOA>EM
zsf(>+++>(LIrmYLt&Zu^wVF4g&wF)W+NJ?2C$^ZnZ!EtxY1=f7Z+_92uSp%fml=KQ
z)}kBMx&G1Bpz`GMHjA#km;1Jqx@;`prIPF2<hOU~(#+KyR#(qET3y~|awFQFJ#yN%
za~j|Lc3;}2(`9;l*;<e<MKh!2j^4{GzZC}3Xq0<5@Xg$HUbjzy3yEA%aAaTFX7%EV
zes%6Gt!BTkM(;dt&q~Ozb-lJUH&^8Mv)7le2_KzRetDZ-*WSy$nbGn`@4Z~MB^Olg
z72h%gm3y<d`3An3`)z4%u}JmuznQOjt-ijNI&fG2MTkASq)&AGhV6BHMpL%^)A;7s
ze%Vam=(~H9wjI>?=C^%WF39T>xA3}eEZ?P@8@=d;_1$^f)&{<r`_ALGhe-8u>&x48
zUtHne$KB84xLj&e+49`#4cpt+*k;}a6?pfyyzNPPUlM<-%ypxDZvCw?_l@OM3c1yb
zZdlvS*tR$D&0IOJ+xs-W`SCB$trw|Y?tZCE;l&kyvo7_O3xatg-7l9J+=zC*wsDIr
zD6v=E;sfbd%5?|npSkU##<$7yy>5ewU;pKABSfl~r(Y_w>DqgFb0)aB-MM8hk5%c{
zN}D>ixmhQ6P1qKE@SfBry=8ApM5>ohzf`8ywfFMrOlwfZ6LIUD>qfVCTjusB?ccCE
zbGN|JdoQ<ci3KI`s#|)l8_TPdbEh}^)xMuyk;ZRzbwa6jZZgQQyyb7bM5>pUUn(>0
z+Ix9-=5Dd0_g?PZ5(~;`cJtOOzF}QEVcYD$H`o6f<ZfSd!@73Lw%dVk=JqXr>vv(L
zeqE2F`il_fYm>L!<^6VT!dH{r?;thPw*3x#GuO`lcC*GezxX9@6Gf_*>tFr`iiz+{
zX^_#Sx8&S6UjL+)>km>td)soy<@5F(F0m*)@u|D6fBn}Zim!utBjqoB%USdT#JK#;
zrfctqPd$4(lFI$h-8ilB?U1(7H?6L{A5Qh`?Mu?H@j7<TWuv&AX>$JJ8{G4!Z7dIb
zGu7|>jo+QEuRXKej-~n52-dGs%uYLI$5NerV%4b|$3ZzUb&buBrpyzw=59O*s;|@6
zD1qwho=|Xoy-_pp&D?9LIawmr%ZrW7Ky~s?$=UzrcFwKR{%mAs{UXFUtoB$M$h@o*
zH_m8$^Lw7YMyqS@W!4{6ZX3&Y3AlGBz29P(d=*q<r>+4-maF9I-lX?iER#zE-^|^X
zlH+$_Wqg^opIFH%#nrmW#m#$7Q?{n(#EJZV)@>XnfAn6a`!T6SH>_hPZTuPdX0G1Z
z8%-MD{H%?)8FcNvoGO{!lk|Rzb}}eu=$*f@XhHD)b)r9t*yg^9b}m0Qt9kENt@xQ6
zL76=~b&YA)-Mv=HxuA@BG6Iy@vyH)-eO?bZv+E^$gY22VacSV2xp^ld(l4x3%iF`=
z<Y$_ad+NrfgnZt}*~ZsIkKW77KQ;|iicH@q8u-Tj_4x=;Lxfo}TJq?<mkGzhK#iX%
z8$mwiOV9BYsa_s!w9V|r75{Cb_bot%^`@**2W5zDJ!`v@-j`G#y9Uaa%E{88d^vMt
zZ{QpC`%^c9eDv<zjb|F){Jy8GG4I-Yd9UPakox#zzncAG_sZYTZT2%wkv(z40hCvp
zC8a^>Jm6RxC|k|h_)z1UUw=xDw@CJrb2qMOeB-vBwGq^?>QBvy7O7r-+<2R9*WSy*
zlD7p*URBRO;;R8l!Yg~;@_f5Cq0}mQH^`L98$pHGyt6mvX?*iLpPG{|Qoa1S@iu)>
z%_w<W{%GA@apN*jb>=N;4XVzb_Q-NseLb4IqnI6(RVQsc49Z>W(%yi|nCnJnpt|&H
zk8E$!`!8<C`at>7IQclJ=9{`vIPlHfd*^PP)c6+lF6B)KC^s6HS-rS&xw_)cOpBDC
zp?A;USlek`zfAG9<FRut8^!+_B&RRF!CgOPV{zb{*8cQ2H6p(+%B8<?5~+UZZ&YU3
zwfDnv$=xDH<9=-JiS0|$|5JJF*rFTSwG%gL3v*wO{jVygpuVre{_=#cTFK$+|F~b-
z|J|Oj-uRn&^A+vd$s6zU1m%CpJ@zk5;hWR_)HlzL)a!qlskY{Og{I3!@n4F`+ZW&9
z{ySr%b>N%UY3XnCM5-4~-dy%xTA2H*m)0gTdyNp`u+(es*sHS-&6>28J@8Gh-`QJ-
zHNJT*PkkFGQoa20W;0Oz+q1?N)CNtvHqT{adDPUc%LCucJ$LHXW>B_Fe_IL47^T<5
zSmtIOT6OYPcS62z#Ma1a$)o!!W3R=5Qki=8`o%Y_=g!`04$^q~)@@MZdh<6>4brw|
zZ-3JJlDcblpz25=TfW)v?=G>l+{6nj)z%zaGqv8hWAEi<Yd{r#N#HfDMK|uAja&`N
zORCwypxW^4tt%Se{BCaoXZdeyd_jg4UP}YDl_zeUd4JB0mB-$$F=d<ks`~AvHKDB4
zudUtFucf(eEZ?P*y?OBs>$j((N=1HOoR+>8l;=ew!Fk>~8<gkQow+p!R4b<D=804<
zU%lB(ACx)NvV9$w&)RS;ZLQsnXyGvbYv4@Zo_`ILU5|gCtMSdx{DH2>(YjB)6{a9R
zaf*YA>1S(nyOQ2-G0#2=svA?+s=l~#_3sVq7a_ve9Iiz*?=?;2O<M~pwid403Tmg!
z*?JU|yH7^Bi)7zBcWaWyH*V>Zw=RLI$<(#RU3)LTjf@7F+qb5ab?&cw)*o3pt**9g
z)y_T*GVATRTV3D`xz-w#AtS+Ae&3q4T}kgt>aT@?DmI1eY*6bd;o3E~jpbDu*|wni
z@!YLl3xeZoSk^yU5bPVVI5JxP&05aa8Q08QHkMcEWJ@o;VQo8aD=5p)J8=t?<^9vv
zg0g&YBq+;&DY^D*@r`WD$y-6)nDc451~0B$F0Lr{pR>UvC;jcWBY}_FXKm0+$<0{w
z1H?$nwdmUW!8`J{(9yUbPuJx3Ch6DcWGe^0QQtplYi{71*7&oxzG-|rv_3W0U8MTq
z_08LiyY^m^j=XKUvEc5)yhpd69ufb@Z<=`b)U9`*M7Mo2xQ}HWc^g#A-d*#yJL&zG
zxNF})-nGwW2i0B^wl;%myEC^y{X6;8w*?~A%O6Kt3zfWDYjez24OF;HUen7~oqeKe
z*4D)!rKfLg)cEE%KmBb5sAAky2C8+YM_Pl*(A8_^f?5it*ZkZ!mj6=AP6ydAd+TP$
z<#jjT7bNppU7hgNF8g`YUelD?6Ssb9d^>BO_SQ+Hdihh!-Jo*l$(-1(r1x8t(x)%J
zVZHXuO;DpExTp-&qF-qVZqaX<6U%M&_4TZIoBI}Aw*RYt$8xvao3)DBY3K65IdSvs
zz&GzAEq99^z4!9XoV$HV@3&Z`moK_uz4j!yQJ-C01}X)2&AAK87k=mDSmtIuI(Kst
zsJ-*K=o_fL6IOdp&UIt?tqGeyf@;O*Zwf_zUle=t##5wvId}0lldip&kIk_K)sC9!
z=NI3wj-9eu98^g@f0KG)<@`0O>E6wLrQF$PZ>~<ruQks*ee*RaKNf!jWv8Q-)zYAf
z@!UPvjq__f&)ox6ASUVZi*8uIJ#!OOt51KrrsmQ62Y&*b!@|$0`Tu#UxN`dQHO8QL
z=$aD>s;*z1i%b=%Uanni1`3x@%h{mP`PCfX?xfy3mb2xK)+J^an<;ngy*zKuSy1yY
z_S`CP?YKG8arwUQZF6+Ft*%bkYM8zmRAJ|yiYyhWUOu}xOc+!>p34H20H-5kK@C;Q
z*}_Nfy>vMD%xz=*_k?rLKn2&7&A&jc)Z%LbC9k@-KUt#<a@e&wXZgQfn_xO=Gb<>I
zp1yeqlq;XFG3?rVS=KTel*iJ~iGhk3?Q~FXe|Ij@TcldIx(L*Ye|c}tR@S*yyY$mf
ztMf(Ht^2!UtDnrKx+RLQ9nRfS@2X#-_&VX7nEOWYIu3uCz&EY($Icpe?fsy<gCEpn
z>dMayd?Q{a^8TF0w?q98Tg8sX{U|IEI~w=0bI#fs5j*zHp66oq(e;lqZ{+f#YqD?F
zD!wi`7Y54UYU!&%Rpso>p!$B^>6^1OuAP{>c`K;@cOueXq<Xn{F}OwVZ3%ACKb@1y
zVf8iaSU}W;m2GRZEpJPJ%*r}v2C5Bp(`SQf!+D$Uf%=V4)_{`OY|Gc6wqn>hwnaCr
z_s-o6YS6!bk^^c+?zWWXv-)~^Uwb&%u-}%qg+PWmp4;ZOG5?=Ix-ux6ow~VA<D1{{
zVsLeT+!EZNpF9WDp#PG2uFQ2~`7fRH-Jllkyv??b%j;tGKYZf><u9Z3YEZ=PJ#`b*
zvX6hBQ!ldnx9>SVP}kBdogJiO`ex_AH*@!$zX@t<*gpZ+^76%?T0XP#ULPAMN8Ek|
z$`PWK)?#ngDy}xl2i5j-Cv6AS_I_t?pVaua*!T2p&^XHS=iv6d@h5P5er6@8J)aqR
z?;I$xXy-p~_WPT%`SUhVITBWSPY;xSSDn0lRO6dp_UAIquDzFc?TH1|q<;5~ExO@v
zXPzGp>Wog`z8cheef~B|q<T4T<?f$fm;AWw`T4V11W(fX8pnHiwY5o4>o&DkMoWjR
zTi$kT&t1MNyBN)$zV#ESe%k%1%)D#wr)PWab|<ahqniJH@eS?#v!K>IclPPqhc&*P
zy8Ri{n*VgP64aXCqMY9k>RPB)zUH*L%CpTR-@j?EX>;kbx1i4Z=}+H4o%eNnK%MuJ
z%6p*B`!1z?eNZ+zcYC$Qx7Tqqw_gX<bf<352Gxzv-{xLesUJ6ad#U5{SqHB@dmFo9
zd)kJ1d%%@?>^*R$ZkI2=_=fe}3EMx#b0oblNxr8BDh4$3MM1geTy&~PweH@^*+NI}
zWyarI_V3UUKijwGZ~y8vp3%+z;oe+}=D!ifHxlkG^A-6YVSFRt-ZJ-%;&yYkO9sAa
z%|ChjjK;S^+n=ok^)4pvIop+_UlV;V&3$A1_iuYb-8YKwQ_eRHd^7dkndrAi4*maP
zU-&%t@DcHk{ie-t&qagXYFi1;_xJXk?FDrn?}76DF8lnipz82Mw6jR{a`w-l{`+O;
z%4kqW?cp9>Py^QEo>a5n-l-S&<nmZu<ta1C_dR%T*1^7~xu9m#@z2*l1Bab^KqDMg
zM){yF?7UOapa$*o&(}aLs+oIqK|>fh_pCs<a{6{vP@VDo^ES;FA;vda_vrF|yT(&y
zm_Il0&FuJ7w>N2g^V5G0?!Ir_qYKJsUiU!V_bSu;yPzC=8r*$f{~X+XH#ZPunft5s
z?wQ-q76hM7*#6;#^qaMkZ_MN_gK}oGdY?ww_t-l&pd8%(+d-sy`S*{ppn(DQ3TC&B
z<-Y{hFV*<wC%+KX7dig<nsmu4_WB+Zkh3Q5`N~?I%~m~YJE-eE|7k9$N&URyRbP_*
zt?oU%pgd}ne;Ab2PTdCSj(?t;EmFNa|C1SLB<J>?TyCqYM|v+c`I$EFJ%9UKLjKtV
z`A^$GMR$MYZONneGAj?3xo&iO*Yvh8$-dI{;5See7r9|CXrM;(1}J+k7s~;4+%I#1
zI__65b6=A$S*4imc90KLVb6-(0LtfKqHjQz`ev>&m9D*)Z?Z~*I&r%a+!x=_U$ZRX
zIH+#ay#cD~UUPx#a!Xcg$&y#q=XGy5Tv#c$^_l3KkPX}CNxkAOv+CM=xvgn#C#ZRP
z@EoX@xFF#<sBVea@EKGCioJ0X`CV!*`X&i99>WFB-_M$2`K-Pk)n%0il}=ZqHf#iS
z$8uSBgOYdk!F3>0b|hQ}Re%~dzJi8wMc%lHR4@1DE;H`hd-+_`-L9ndsgVcsK>fDR
z4c3m!=jrL+xNt=Aba`ChhHByVHS;r0ywbaIxAW2bj1y6vR|DU)uGhNpSL55EaPc>e
zBGnJGxxN{6?ftNowOZt8T!sI^V~cNa$A@n?9{6VK8vPrSHNJV7i@bSx<j_~GyAc~6
zcIt!7xfQY@9n=Lf6Ms`FQoVdHYqcOKHy(@w4d=vf2oHQSmrvvksOD^Dtp?SS0SE7a
zh8ANsl!NlH=8fMAg7Z_e4{l?bn{`4;^F}+!)3>?4fojCJtlIN`cw5<DoUnCq!emfE
z5V--=HZ2!jW7M_x@?BO?&VM<t$rqIU@((Tp)tl=RF022mnz&E?o&Jq$ppu!L%S;*6
z6+PH=wkPTSpMZm)I^H&BLnJ7dYTkIG@y*YjJ52WIy_Xl8u7cXCYZ5?Jo1A_GsA^Z|
zGE;wXW&P`vgKnUH?Od%JcR&tY&TR&&xr|v?_a~Ll*Np(>_2*pRy#ARLoYz~Mz<E9C
zpcE*#glt#_%7@}PffrV)y=wv`=Lw}t6V8G{Zk^Z~Q1c|4H5=4xtU7pW(T)DH#R+dg
z-9?=nk3jXL=o(N`zs(vA$_;aybU_O94qAb#jPG3AY(XuHzfHQ_prM%s380w+JDnS!
zKv_{V#}(8{WqsY3WdHMV(^^pR<9QI&egCy3VKu0R3)^4{szdc}+*%MEzF*@;A1KW9
zxvvR>>e<kP*FafwSHf$M(%=oepd6}u<Cw;^6R{h71K)^$+m`^U&;JH&0M+OAV&MAx
zlhJE_tFL?2r{6pWGE6kpOaN3hZaTvT>d6JAfwG#P?q*Pxx_s(QP<CHF{pK}Lhj7YG
z50T%c=XExN1~9^=-uwm<oO-iwL2$gy?lWbqb6<VjDm9h8`nBg8HjwMr>TU)VV%MhL
z1a;q^Pq_(l#q(+4`uzFSo1n7h_31YbBGtOQsb!$Sl%J_(8ZWL~X5I0o(|KAu_vttP
z%J)gteVk~1|94GL^31tEuCA}sIxYTCTt3HmCFlCZ@m{C)|NptfwL($#+58&2m6Ge1
z9QngO-#_c1x2EC$FP}ZvR_Llen_p|Ul2?EFkw5M8{j-k0RyF*8@%d!2#XFDuIX>V2
z)d6ia!~Z9rPoDNb^|N>U-%XnTYc5?fdi{HA%hMSr)Jh+zPTgW_e;`9LF1XY3)!Ree
zT88d>Pk&tHbtdpV*Pb;(#aC{}rnL(fU)jCsOrOfL73HBbd)1z;C@-BU>w6~f{VXHv
zX(9TrBD>$;^Zc4*G5bnz+VRQTj+dBa%EtZew0spCpQaAdyzq>ls%*df-PYfS*Q*|h
z*vt97eThW;?6W)URgV6+^ySgN2_lcRFSq}84(ieOvA=iS({P_2b49fJ(I1<59>xC6
zj{lqY<m2<nZKvK`T7RrtlK-*p{0-*upQ1nX`FCA>8Mf!)<fIa-rtj53J$~CCzMUts
z`10F|?dnHgl(64><7sGhx6`~hsK>AT;odnSi!a};&{i+$3i~$Y=F=9xpHBC?zgHf*
zS2Oqh;oCNvZp(jv)B~BxxaZ{Lq>?Iu_aB!?%#}M74U%gA$On=V+|vxQuFJe2sK>AW
z(cjq@H1&Q*%<NSy`NdpPC6oWIWp90hpZ}DbFPBKnp0Bgn*wfI;HZ(0AWZteb=Twir
z_~J8jF36;tM!P47EWTWvT4t!}w*2|WZ@yg@U$X8I61F;88mqHen{#emm!JN^Pc3`x
zU6)VaXr<}4JUO+@RI}~3*UVV&u8S|P8tn#oHaoRUS<`L#_0w<Cf_nV&r`%k-L}Kne
zoz1sB4XyTurj-j>9X*);c$2WzU!J-5G&k?&tgdhKdq3^wUXZfGmlK0}{N7K$*}O!;
zy*eO`e{xdEuMKD9K<*5j*$WEAJ!j<9kG}X)IMdd%>*CAFM%A+}X!?B<SwFSKufD@?
z{?wbBTlRt&({FxWA~AQL#%6I(L#x`zG<}d!tIou!9<})S+NgT2$l}Z9so&Hz-InK{
zev=#2<9C1R&D|g!`kTLV&UO0Bn7^ULufE04e%j6Umc8{Yey6*?fkI|-ci6wzoQ76w
zHEumwA~82iY^{=}+w$P9u$dx{4=oeR^$P0oTP~WL1){`rqk?+;(nWHAtv^0D>HSRC
z-4ido?7x^9dNEDq&EMn_tJN9Cpy=D35$b7ZwN~%enk5o*uZiTA1@-u4cZY%8xNFf>
zm7_b}S-P$U#mAjRS5=R`D2coHOr@mj=vUX>GcUaKzmVyC@tNA2zrrO}`!haIN-Ej4
zAmgj2p;c_embN7lbIrtZ>w<dxW_N8<&~#hg-L=g?({1@#*KD7zKyUAhvnC~#+=|-b
z$~jjlSNqo5c;5OG4X18(ml^5(5#~GD-F;1_+y3E%>w#OQEs<!|*Nn;z>NzxBY^|=Q
z+rzo8+5TODKQ=AW_3H|(2)}4GDM@7Co(yjgwJ5`Ta#F~x*e&OF6xe-SuXF2di=So6
zTCH1~TJ~D{t<j4L59;x|E|OabqQr7tgL?d`yRLyeT<RJ<Q)KbwuddNkMHXM?b&UoI
z_PRz-7Fm4R*EM?f1<k0NF<Y!T=WhCRZ_!tkH)pTbx<*eIS$z55qP3uO>~PUc<>-r&
z4H?p)kPX@5>uG2ut9R?!5{bEano;?nTq(9zU(;>5vg>RA7b4U5uFWVHwt6~Y>)s4z
z&g$Y5w*t2uTp}_1z0R!wP&O6Kwa|21zPo#ys;1lW>aK03AgbGJlE~uAwXV0Pi7dYS
z*Y!3i-7~x1o_IlX-Rp#l?^H`pDZUQ4Sf=*otm5l}i)EnH>v6G6{b)hISgt=Pql)E%
z9MUhE8xP`%=hg@H`1y;xbpTOfZxcY2=-U9N<#Tp)m)S22=8H`4Dziw;=Zj45E>i(z
z&n~lBB8xA7cD0@*@;F1ht4v4JZMk@N8K`8KUhw(v(-w)jaeB8_E|HkqC;GM|sK;-;
z$lEje$LBsu3Ge=<05b7<SD6{e#OvK<dYW#_&AZC%KvZ{`qNdyO?OkPtn#WG&i@yy5
z<wudXMIcHn7i7)%t};+qeD5wZT^PJVUgT|}({h<ja$;|z4&9U4Bq#c|DyYZrxM8l!
z(HCC~<>r909n1L!Peb=Sn~f?*cWg-C@l);Si!YY%ltCG@F~1W;b(WVqEx++Q^r9R%
z@J!>5f#Ra7dA$cHXC{HOvi7YC5J&uNVNj2sy~tZn5GD3DGpOgap8n0vOC;v{>47tS
z*pxS}PRk==A~$^mr8-~Do2Og$TBi8w-dqh*q<!->h|<3~dx^x{a~d~qgD4$v<`0|t
zrWTZAr@nCx>WNC5{w6u7$8WWF*gx&U<sR8y-z?-dUGU63>36jC^W;71SEuHDTCZ|M
zqsH%~)}*8yyX`4cJq@|$hi}sKG~`;ZfAh)`iB|I|IZ;79hn`Q*sS4^jBt9j_4Ma`L
zNek-nlJ*W06+gH9^0G;`o-d|2Ut2Wktk;d%&SAwT)6|c?*s?U`s;8k<u5P4nP><hj
zudvx7i!Xnh1TFvyPd-yQ`eMuCl;X)rCA*fSfOGwpl&_p~7fn4k$<`NS+NMdSDsRpz
zu3nw;8Kh`W3OA@|Sd_v&IjQ7U?51N&B<8Nui3|?v@e7}t69}TFud&p0Tb}K84V1dK
zs%HDX5Sf0rIsXag+)t@{)}`cfR)5ysv@hkYr=i*XfK5k1m0855K2XNex;Y6%>D|1v
zL}Ko@X={u%-Imv?MuW1)ze%N_B5Og)X;4kV`{>>D3!3d~7EW60abvdgwStqoK#p6Q
zqCGij$M$JCp+P-<?q1tKwaaa<ZJ^Tmw)ZwOO}FLNUe`b+z+6>uUXN9co_|48J<rBS
zrR0=iw*N^pkXN(wPnxM6eNhsB(hOu}{Yf+RqZYRsCw&E_w}g|wCMA_rtw{L`ssdv+
zHG=9=&6}XS=I(7a<$|VPnTUT7=iH(bR$-eSg3^nu{>^DiB<9Z3xOojk>D&aRMgOTe
z(Lp_v=j+}41`^b~3G)9u-J9n?Jnft7K$QN?cP)Mk_ca_p4@!QqIydKmjEmK}d2b0Q
zTi)CU%9c}eK$(91ls67RXD04WVV|57b7SiyS+A~(FTYNb_3OI$vUid!sERu~saLI}
zYj6I;nYN&OyWP9Y1e9;fy}+5i+#8(fzk8K|s-f@RWk#BA%lSW~fJ)7FRqMGTk9WyW
zed7bllHO&Yfb91!(_0v<Q`h9E4l>T)yUY$`+<7l>#y{^3uKxAC$}~0Img{?$fl|bJ
zFEda~URT`>N*Ly<yJv|kp0-_eH%PEtb@#jrnwP%M;CrmdE&i7={`HQ6x=G)c^sDX`
z?EdL_Z}O@AY37rYMC^WMmuYLZ?S7P9X07S=aBBQ!>;Lh|-(_=8$KGYPVd6YuoBHkL
zeeK|!p3`2Rt<(K_!#4>fcbsf`kT7}ECK25OMj5VbN-jdyZ@=EFe!urSl&JrCZt{kW
zTlRPS*ZXzmjq&Hb#`|yn-t%4JD9@f;p6Q~-AIc140?!<{eY_ylkomp9Vbh~MTatOM
z|2?qSZ&fglzw5G#6RujeNiQlhNh$4oxGX8{mT6mb*UZfA5_#Hb%kC!cSUh9qw~mMF
zlG1J&w^et|%v>+=PCISc-sFk}GiHA4e7Nw$l$Bq4AI>~sBDLw)(GMP1`r6j~Gqedi
z<HLUBgNvcD?1}r!lhUj@+Lz6k$=COAXHuG#N}G7s%$FA=qE>xQTXr}pW9f{UeEkc*
zPXFsuc}uw>CFyy_2`Ncu>7!>#LU?jTH~)AcaZ598S#naw`WZ9(dKWe)rCI5<^>xjB
z`9s1=Gi{l0(u*}SX8QFk>`qFv(rjC&6g=;G%0H{4=Pq6{P;JZWn)&jI#4hc$WtWp)
zESxdl*0yb4*UXna5>=XM%Z!s>ter9QT-U<>q%<q*wtZbQU(S*ErJ1&@IQfOXQLkFw
zJ?4M$OS<ko$^D!xp^)Y7e62}>O)G5~ce2Ei88h{|5;r8t-FhU^rjfSHJ4s^IjG219
zi8GSYcImV|?3(%VlZ2Z_+A{4V2~DG?6W2aDq-fOJwkFiDM`-I}#q3g^#iGWSO$~bj
z&-h$FW)Nm*94mg<;;7B1uZBJ0XMD_$7&sal-<3G*a`a3|FwbNW<I86aeZ*#dTD-kr
ztLRKO=WEv_mUY~^IAN=9+sdw)FTY7F(@a}toit<JjG6Cx65AeSJn2hZmNf6iJBc*S
zv}M&vGuF<S`K~vyFDY%8e%nr^;A{8Jw@&~?8s8BEe^8_~8=eV2<HLV+gM*>5t;}Jk
zqi4Q&@N|n9UtVme6L!X@|L6u6!}Gr@c)Uf8FAE#$1fTKoKeizz<<wmNk0*6OKD%tV
zMquk=#n(PO+M>pnHyf@AI^%Qx=!PgmV_W&dQb*5xapK7qHNM<!xF-0FkN(jOafZn|
zWe&3)J@dtjN7{ASmDM@rb+=_VRfJtnvUm~RckfB=_2e5%W;EXKNZg#nR%hI%+a>v9
zt;9C1XAAH4CC*M_t5a`#+a>wqu0)we+Jo;&H&)JQyx*TVJ<08tLfhTC2N#3?D@uF(
zR|1*TpLFB%)Bb&(zn#;T^(X%jUfA=+ljpv`DVO=jKI9)^H@=W=DD&YzYwQ=b{OWVw
zj)u&&f`=84@@z?!X%{uV5LPG?c*Y_6c;T1sx&=K;d}U-^7F=w(YSx#$sLUjBYxm<F
zNou$3`h>eAGutivw9=NzKDJmoV`f>`<1I;Pw=DaNyJluiw>YPjwk-Cs#qt?5%eo)$
zIWcAVUE97srOO$IUI`v&4YZ!Mq3T#+pkep-uE&#-(r#Jzo$i{MIp1QPM%uF4$2(Td
znE9>y@vNk@TlRggyJo&@u*lO$TgLo&ho;ffO5U!;lTVn;+Q4?a(ECcC+8V{eJ7H&h
z+K&~w8`@V#$drp3Uw&A4C-{ty`;o$ULt|OV<IJGUCBrXjeEDLbP0$&i<3~P5q)7GN
zE-Vq7>6ZO=hsC^(TNh6m+Vw5(n)$NDVjd`)J+4?cquori50rVISVYx%8W_(Na@Rh3
zrX)*dt*G(k%)%{!XMC0)zxd6&Zkf#{$-)xBnQp?@&RE>)ymhg~RJ-q6*UXnWde^#U
zzLZ&K-8J)N%sr>B$|s*IOf}M$eXdOEn)$NHVizcPJ$|tal)D!1J~2gp?~JXk%PzKT
zRq2xh1-5SQ;^m+W))xoLU=~%{Y0I=9y;y89Yy0CDt7oWh)9S11n)&jT#V_r&W!aA;
z7R;Ert~YtXi78vJe-u|T>Q!6wwU9?>>tfDqXPIVE<IBB;Jb`C?%#Rv|7#iP|Ic{+D
zOo_M5VNv7DYYTe<&V0TncHHCWnUZRm#UjR+Wea=6W)?l4yQd56AniUwkb~a#C9g?J
z+oj%D*fsOzJ&R-7Y0G{;nz3NU%y<3Ci;~i+6#6{7X1+XVp{AXt)Bb42q8T&g`jS_j
zm{QeZzTH02^>CR?`=3Cis>$1ByOU@AKX`HWp0^b;mqm>~2p66SICH@Nm|?IXv%TnX
zouf9NE*G8&J9FUt5kq%FW_#)5F-Lj+#K?RWF~0P<@XUAS{&@l7J7Ru`7k*L8-z{;R
z36!Mtj&2MvG~O$7TnUuTWV%I-FRw1t2|VMo{^-UKL*u=2$BmAj`Qj#XTGaUR?7}qx
zXMFOHZVXB}6_WQ^QW=z~tqa$HimTUoGOI<6FIN|?2|VNT{`kf)L*rV3<5ow{d`Xmf
zEoyw(y)Y->jF0^Bje&;7wL-_$j-L6FDKp!3na$hu8iQ`1#fq;hWujf)W}K)JJRWvb
z=blkxZr9Ar)hyez)0V9jzOit|%x#_t%ahV>nKj0C&CJ}*Ql_1@?5*&P#WQA>c_geq
zG3EJH!$v!$%hT%*%{^cjXf3tLN_|7Tq4C>E2N;k3d9#jFCghCIZq))0L*uve4kR8u
zv&EgsUBvkEJWiR=Gd|U-1wMwxZ|5E;oS11+sD2?lMT$4FR@g!@%iTF_KMN?w{uKu0
zSU!&jTax5%9bh@9k+!T^$YS-3nS6c^=78#~#?PQSi$zZ(ZP{U=9U4YYC-V9)n18~g
zs-@TC!K4+kYmX@l?^rWqW}nxCuB0?8tH#w`GhfbNS*Q7IV!!W$U7$LvQ5sZdvE*r`
zEfW^nv2?~vKc5HNPE3)v)olEybUEY1tT_i{L0(&?QV?!vJa^Us+oNYnqL{vm8ee|N
zX%isRdxz5|<c#p_nFo}Qo+$}q>K8S>e3R2A@QlxMl@B2)r*0JsODKRMt&-D57*xm9
zF`XARzRb$GC*X{axY~tF|HZ8?&fb$7$h20(`0`QCEn#PTrmI|VGdy3N$&@Q<d^weK
zOYj+=>FO8m{b!H7=(<m-u@U61sF@DzAa{kSUI;Waj-BhEe)LR<FVkF6<I7t)OF;FP
z>V;54<JkEQ=10$zI5XW9HJ;YX`6b|tkGblF;1sXBa}FrfpLkT5`*879`Av(=D{o(8
zsro4|v-jlfZK@Zd4Vmws>vJ|_zJIWNc@o>c$>$l5^3;e=H#usv@$MdLQR5G`doG9=
zf7pA*$58q1^aBa@A2Lku`hV4b^uqUEnL?u?sOci>ldvKwtxBUYv1{hbhb(Q{Y0KP&
zBo<mo?G%<+Ib)`rcfypUv?`m%i(NBccCsAPNL!{ZETL_bs<m8V7N`>RQ#bGfRf5Kx
zpvw2W8mRKMoqoXO=$S7yOrXk_o6{%kjE}yWfvchM-YEw{j-L5a#B^E2__8*qkNC__
zTh@Q_5(d@ETUpXTwQ{L#<IJweKW<E$MT{@c<~$R2#wTCZz}?Vz@7x11N6&omWBM#&
ze0et~sLFk>3aWDV&OhKZQS<z3#(e*YnI<W=J_!?7$nr+^3q`D%G1Ja3p);xO+hmq$
zT4~Gtg(H^Dm}%#ourn#`mrbK+*UXpQEMZz{%XBA4ES)iPn^)4-6DG5EOqS3Cr3Ew3
zHG*3gE3VEJ0#&|co}kM2w))06L;khSLee6}m-{?(LeBVDt8Vl(G=4khNZQdeTfBv$
zMT{@6^UM*Q`RT+iRYNCGez`mOh8ifp^s9g}t?gt`rv2uX1j@A5ov~e&PiiOKSUY3p
zH{YcGq_kW5oqM}xX8u><tBEr(mYs0q;Q8M(KP_fgGYm?R+REBI$wDQ|-G9eHPZ>}}
zrBVoLD9$<(c=Sw3gwSyjcja=S<D#FBxTzF685+yZJmLweu7sA07+=2VIY(^frf)M;
z+&X<0D`w{irGrWpsp&@|kDe*15qd6Se3{d8PWTxgb+tlAL*uzqj<_B@Q&J=}UF7qg
z<tl}dpnP>i6qK)o!d;i$sjL!O3~B<KDtBgs($QI;N1&{#-3iL7Z&W~8wRjRJtDf_H
zv@I#kO1~3Sas5$wr<JC|J!!}C88h{K9_>3ZWtT>$;lBw-`Qy%1UzgvsD7^IcBo(<I
z@-ll@-JYiQF~E>He%cY`qdYa0Lj9t~A4ENE0?!->SNj-Z$Q(cQi1AUI_g6h_!p|JI
zuJX~zP&szC%W3@`jqc_DcBvc#mFKJSqUXD;*5^oDCOs)*?TnduK8t#j(spTfZtI%)
z@|g;#bsRkj)H=@dUDTYEwoA7Y)H?pA0%{#wPkNzal&WPtSwbC@9AZ67K*=GyTqsu5
z__D2MN$?q;?`jug4UO+kci}&JrlelTR@C@1v*(wfGd}!k7o!c0ZKt@%A3gJ>K&Tc}
zo-2Fyh=UUPMU`Kjw=Pa7)#?1#75S$^h*`w=valyl$Qd7hHA4?WW80}m5{{nvQX=Fm
zVtm=ylPC0y&v`XNA46l?<J00(PJOnTe}n~;&|?$wwLuMQ*KL_yGheEf$#ng^IsNB{
zkTX8(KUgl9F*EMzs--h##y#d;F=J-j^Q}u}%)FPN(>3$uSrxS|pT(WCwLreQ?db!q
zrTl~@iyB|v?db!mrBn?=4f)^J34wAcyQfe186SByLuW(d+9^kTj-L5aC<Mxz>YiuB
zW_~&`&&UMi7+de8K2WYZKY7OL88i2JB+W}oyJd8s=IEI%p$)8El~2|(>x7)~xy=%>
zWX8;Gyc;46jdR5lI+N0F*&PU)sA<2;x#1+J#C#=@FcW0XTqd3HGd|Yr5esL`EaTh|
zWN7?WDq-rQj3;~>q741lR5zG*&CKj)0OibijMp^NmhEL)BR+Fe*?Wdymt}#guc{wl
z3$%VE^^5JsiWxJ%ac=-+QTc?;NoiIJ2gHt^DM@Hp+cop$0*2cnpZBn{-&i+eCLiYp
zXG3FIsf6VxrdacH8-#+g?qTLPpy0`NX}H@p^W_PKY7yhhfsEg@(v~e|eiMAg=Qzs^
zP}hpLz`@X1R{X(&q+>>Q2NaK<DRF8ztQ7p}2*c$+irrJySuI}iM_ydLE4QdY`5(Lc
z`d8aD??f9i&zE{IBZ<vU_dwxMo|>u#;V#J^Jq+ri#vf)f`e~*;*vvF1>`X)&yT#%e
z6VGuLT;sRd<@KCx#=H0<Afw_MioyAgzaY-gI9A|6Q&QS4g9D&E8`$98HS^^ohUucl
zmsJ@-&0ufln9wsm(^+?bT8I1vu_>oQ!dPa2dsR~z^FYP!R;>fRN6(a$Hbi&Ld^w9@
zJE(?Ye5aAN>^0M!&@(>Q*+DrrkE0+S)U|rh2+BhToF{7fR~I+T1jXAep$FhnH=5Zd
z?98LvOrW}TJA1{d88hE;eDE<ezAO1)XHwcO?E~CL&y<umO#5fQq%-bnZZ*@Epff(-
z*)rb#|Gn^q;wJqAI-u0_mj8kqs8V5Oyrq@4jGcK)@R{>=A`A8=rBzutu!H(n4WN8_
zkzuZg@#SPjEA6yp$C*L(sXxmLEu&twcMO|BWmBn^gE^@B^<#grZpO@coEMx8jcuhD
zEKicVwUc45i1FpmjG$()IMbKFGd}ukFILZ(8OL?OAJptyu>Zsq_cGN3G9c%~@)|gR
zoRiH6>cU=U<_S9Elg}ctX2#5WJO(a?{eQw57Iw{i`Ih0Zi1B4>Mo=AE&fF7l#^*gN
zs0ZtQpWgu7aN=hI_h4TqHh^l=#SF<J#+Q#X9s|`(Og+Iey>}RoX+P_<<p$NEwQ>oI
zlG1)D9Z)%XX3NsVO(&*ojh1`{D!Ny1P3!_?kzAf&QRB<o+A_OlW?COEIeKQx-o&<~
zv|9%af(?z|X3SVR<N4i_2GNGbZ*yiWo-wn`@QmopqUY<{ekxsFQTz7T3D>tPgkPWA
zD{6dMu8p;8W~M)==elKj;>4u1Tem?O^lfs)q8T&4nd*d|iTEWsO*3uTU*6NM%dRlm
z<kp{1;xm!?{Yl#Q<^G!Y)%9P$Mc@Co_<h}9d-wG&|399;x81RA?)?9~%g*QreiS#m
zvEkohvAx>1n-tqh=l}n$TJPx0Yi;LrQTvLrRN9Syf?aX*<t`Q+-qs)R<<*xCH;HX^
zEsL%%b2quP;i<C7UL)H@fo*5y7rrQcDcEb4^NYiEy;pxmPvT>DjjHRFF3G&ocK7d0
z`PESO?Hs?o$K$n&dX49J|9$JXU!_z!f5*i;ZvqahN$h+5CPeF&%i*tS{a$7#3$87_
zpeB88N60qKw%K~2IjbJda!<UwOj2*(tH8s{q;Ktg7O=DRtU*uV$_!&(?O3gwI)~T#
zSBu=raV~#va4L27;jdShcAb6t{>Rn*dn@W^N|~L%@X7S~^q_UOY7X!J{%zH^4XkZp
z>vx82X>BvxH#KMC!nOGeb<J#w`Zq;wQ*ArGFEnT6!<G4=(P<UWqR&o~&ifs<U9`=5
zpJ~q0hxb;;C7DL2{*b>Hw^eN0t%Cb&BeZWx9TvUswe4EL)$JFqeZ2Q!ZO-e;%|F97
z^0p<u%U>9_wYTlzzN<Nt6PM(d-p-MD8hv=1#IN1gBkpdK`nP<0_}<oBW1a%-Yu(%K
z8l2cZ>8%0JH{C6B+vN65&7Hn*dA{iF+!t@7uYQyG^&@Qa-nPDdS99ktoSe^lJO9Na
zwQC!g+xCC^mbGO=bKAPSqiz@ZK32YoKFRkv@lAAw`;Qf0*XFqMYS*m3VYtn9U+7zl
z-BS0n%9{A|@4qUW#M_<EYkl<J#b|$R-8Uxrd(+aldv%MIwbd>S-*jnFM*2<DUtyby
zb0llI-|k7=lb^afVa9{#qk2+mKf|_qzd2L8`ey23$NR6!juxEQ-WF>rQ>d(c%k*EH
z-P^ZeIf>VK-S191y6CRKn@?*~%z1a$a=)FHxIRC1ckYen(MR8H*!Xd6R{2f-pJAJ;
z-)yK^eY@5yZJ+6z#>8If$dhuPzT7$CrTb=L;@ap*yyue3rtO>hhV!=0eW&VEPY*{w
z(0)@mPtxjl*v98EDmANbaXXve&$?#RdTrm;wV_<OHQ5obMQ&Brda7<Q)3o_FWqb6E
zL${V)xTh;Awl<c_|IUiTleYRlT$#VH)Z^jK{M6So4sqYtx~AE>dtd0<Vy^Est0Sk2
z$o&Z0zE0ET%i6@dK@#uX!nVEB<S8gm-hNZLl`}6T$Gm!(!O88DzIr8Y%3t`^FR>**
z_4VXK9{07bnYUit7rHi{E4gNM<bM&iUt!xBHF-X*O=J$1c;ptg(NS|v!FnS*DYoSX
zS9DLB7I<*&t<k=DL8R)p*sTpBzkY>nd#L&4^V%fkporJeSKXFvIJbRPuUBGoeyQ}_
zLvHt_%+y*_^HM^kXCDeQH`?~^aZtp&X(_Mm?OLbqo0{XqwYr8oH;L<Wt@iCDB4R(n
zHlEbf`Ls4GI4I&x^x0+03=W(7RcW62(z`g0YxCU`S!T+u+wxN0{7qkGa9sD~z4|b&
z<u%$j--!7A7P~b^<lL{YZ96sRd|sRMImqITH2Xf0z8_(mSv6%oug&8Qws;qPSZwKr
z<GpM0x`QR2x*dB~@5xntcT&#3>B~0U-#$s#`(Z==LS6sF)%mH>6Azi)*V<;(D!VT<
zH<Zh|cJ<AyYbDpS%>HKw-FO#$_}Zpr=Knv>i#=}os5IZa?EmZF8&9XDeBZCw`gPyb
z9Odi$_nmG}Iiz;~)Hci3v-?7GxUa|DU$t#&cT2wM?P-Uy?!Ve*+iJScG{>Ebd+&)I
zac`gSK64FA{l35Zb$j@xQ}61_xx8z%Z_X2$_Dk&6JrTX%VcTjoV?M4;`W?LES@c!D
zB?Wi4&yw|d*ppvseZ=+9_BL6+hkK+WFZOK^FH%naUT@OMo#$Eh|M-s^AJ(QE-&yxV
z>{h3U-;c0ulQrjjS(~&x=*IKttA523Kdenl53+a>efQilP==WMIQV|=nv~}^J!;O~
z?!EiJRpi$W)ti6gQ=A_}pVV7=;r{juddn{y-M%T-bK&ZI(cQD0%I~i#(`}X8clC{x
zeEz<xZ`rJC?n{*!xANts%=x*)rtTWZn71NUyHs!fz3=&O=Jy*bHvQgzn(zMh3-6X*
zxU@cU+i%S+pVlVv-_ZFHwzWM+tY-C1Wi#%5SKk_1Gu@Z^rqbG(my%k2YU|P7p1c2z
z%YJ~obv}5;qv(@yOAM}VzYw*|@YMEATfLIE<cns{Jr;3))it%=!hKiQ<VrQyu8!Vq
z()Q!phHsfX#mcKA+D)u>WlQe<-<Qc#?!D>v`zgm5zk4Npzi-*wys!0|YVYBFQ`cro
zx!0_Y*ltqxD{Sk#%r~FbrrBP)@i_W!)v}G(x3_)uO1`{&&xuvnX75)tH?s5ny=3D}
z-IK@N?wf4;6}G7^Gv~AJmVcRRzOBt+z7+8|`Y7A7jpw$n;`K`2n{O&T=UD9hS7s``
z)%#3yGNkzLoX9fM>5Y5Wd-7d<g%tnYNpJqSFDX2`eNwN_<6Zd+d%Yen%TJY_drbGf
zmYG`b=Y54QydO8^n@UeVrh5OCnO*PceWp21QtEe3yfQQFeVLc?=AZh~!b`d*-_;jM
zEw9zSImP7M53yTUOxk{hZOe?YuUUQLi;3Hhu&t7rJzv(Q8DD<!Jo;|h(u?=Ex1IG%
zULYNL_}P+;|BdhEz4`Zinc)eLIdM{VYqf9wF{%0?c8e+Q>b|MDiBfxOSKnA<V)Y|z
z>(QA0+SNBFnOOY}+rBij<m1}J)0ZNixE`CGUny0-=S0?<f8k3u-q4Or{=VO+cj>;V
zIiXUgYq)bur8d`U-=1ai>_^zft(j*&ug!XWX~z5Lv)7gz9^Jkx*CTmVKJV=lyU+Ko
z$(a3PL8)@`_x+Z=lAvgh{&C^^+LY*@9v^kL<YxMOSetYE@{AYJN6VHJUa9uEdgJeV
zpT{%uO>ZAHJ-L0?+h>O!M<4#SWaIl%{Y{hJ`X(=wmfZcnc26cKoWF0L_+f1d`z4Df
z(HZQQZ@i1X$+vXl;q6_rY03|yul6n3czgRSS>NR5{8H;_$K38qmCd=^BrTb4r}p;m
zMYrC&|4*811LcePUXLf{t6I-JmVDpIdh)U0`=`pxdeirXzKN0AUc35MrJmltt8bX3
zIq$zJGwl7n&-6`@RQR0}r^;rwzjQnH?!T8*ILI;UH-Q{;{nCzy(HYk-TfC0GnYX0y
z-1e@!DImw>EiJsbeb!yS<OXx+>o@-1e;9mmd)wWoR~|@3|EZ2SQ=@(BuSwOfuubeW
zUw(?+I&AXmN7y#^%r9TpCiP!_@g(}{ybl+jN1vUy?Bdn!yKKD|&(7zqp68Z*{l@YA
z9*cYPU9)F8THp7&rq%pyU+Xoi=AHYduJvX6T(dgjHjmhku&rg1I$zeNy)~ZkEc&ih
zioxCOZKY=tmSw*Yw@NiQy?s&Xxr9CWs;?&<WV!G3de%Xe`=_qyHlN%Vx~7^<xn^}#
zKabn5u+8%%d%msB+iN88IQno^dcnEZC$it1_?=R4&-`4iWXzY|;&`^{HIMI1KUjF*
z;(KbrA&~H#PaxstwIE?eu<!+tuuNHR?)MEmeLupsJd}L%Wo?SG(T%6k8Op{t9!KAF
zOWkmNdspwd1zUG-+w@j$vZM6<EVF6fcjuQ%AOC%Kdt2}M2c6|QrQfaz8&$lDzNnV=
z;m-C+zNa3v<}dU;_h4Oq>g-7eZSQNDnKrZU3(bvU<F8$P^9#?qpJCf2C1bv?O*A&%
z@ls0qMWp1NPir$aSNc~+Ucc#Z{$#?G{Do(q+P;mx`7G7o+V-xq=MyI6hel60m~cO9
zn?du!eOGe>*$&ra-+07RwfmS}r6*f$jrOfeJimU1ZAz8=@?Ce!Q^}H#Yjd=XUc8Dv
z8kTn9?)Ft{Pc3NAH;tZq(CGfFZEDR=_nGG8vT4UAYwyu(4&5i3Tg&#jR{JKKU2rXT
zZZcbUjrQ$jJYv7YHlCF{^I>gP^ben}Yty2QXS|KRyDin=`1ZEk^9hTx-;|esnE5LD
zVp*EO(e0Doo=RAizwm9E@ArEt`**jW&%Cd-ZMtdg>RWc-H1=K1v7di=-_^Ga?=$a9
zl^HY_uG@Iu_K4ik?Tcj3C3NJgS|6&uwf#b0n!%mzn`D#wA4MPSOTTb^`>MGQY~Mzo
z^-D82uzlCuQwa<5d9CLjth#>V{k)S2&H1j@vk$7>_bStCKD)2A%&u8<-_*BE?=$af
zl^Hf)-52^ch%LKj_0808hxT24%LTUCv{`lC#`}Ax9SpkPRW^6;hJ4lC2SGOH74dyo
zo3q`5{YTiw+cnFo?k~D>^ZmV(58Cogcb`sQm0!Ah`oY}$Qf2nd-0L>puRSpD+V(}Z
zXC7?ISFN6MQ1rf2^_+vA_fLImv0a-lT0QHa<o#9ObecEsyZWY*t-WUTZSHSd_L;6p
z6?2bG-hW%GJ9(ez+ETIDJNI>e-!+RY`Kr5RTV%=iwK;D$y?7XX^j7-CYui_qo>(+D
z-}LniSN8j_u4#7n?K53dEOvfRasGz;ZzmlszTb7tw0m0IS+?JI^CGu=(%ted^3M0{
zX=~lZ_-j^2oY#^28MZYp(&pRRw7r{WJdVEmE5#60+ni0>m;I*vck0Fi+ZTO3pR_7p
zReHivllxB6Gmi4yKV_!TJ#$}ZPJ!6tn$@=^=p6eUws~Qs&zH4%hd0f55`DNW-SCd-
zx82H{BpyazbW1ZlwS7|WsiZCW3wzHcEzeJto_tjAzLuF;x9z^r+!(Ry+SNCI=$QQs
z+s+x8^KEUS@a7w@q<-J^jLiAGHe>SUh_}%vm!)hxw*A7gw2kMsZ}L5zv^QUL_PnD)
z_g9%IcQ4&{H78B%bnWWfYjnbXT-$In(&o#)`?(wL&pljyYx|<JXCHOutInQ&H2A*L
z?D<D^@1NSH(0zMfXilP7dF|?3i*(-o4BLD(GUnUbJnv0A9z`FXmR5My^xN*!n|8d5
zzBnzt@YwcAYfn5{lfQ87nMZr_Q=_LIWxcPp&8oZZ-TP^O-_6qb^*e0y)<~W&Yx7=j
zl6Vq*_}b^4FQtCp<*mv46}F`}^2?{SDYG}dcpIHD`{$i6YjdK1-1)LLDSGpZH_=zO
zZA|~LHtjY@JkJ^=o@l)}<FVB5yRmO1?LMFWU-0wke(vSB-|2jF{~y0kUijTf_M38g
zcI)d`u6$6;ef#v$N1-oWZy#r0@om!E=gj`q8SI-Z7Vgbq-)ymBZ&LdYh6{09565iS
zdi{-uShSt)-aPls5v%tm9^Vx4EmJiAV&CRJ=~v(N{Aa1=iJbR){+UOMzD=5Y>d};M
z7yQx-BdTwn`yjb;Z&Lc^7c2K>J>T?V$=<Z*n={t#%@f~otlq2Yu->On6Mt{MzvIY1
zx9W>}o8>RYZPJceyrsR&w7d4xj~n$dr;~Pn>xw;})ckGL-4jVmzs<V)<g-`x-Mo)K
z7wt{l{?q2ilwCH?yAP+v-;>RWepjS3>FLc~cTOj5_%`Y8`9=NTF31(sT#DP)E>pfG
z{Egu4g14d7XSPLFpPjei?A5sK%X7T9n!l0EUw=JxPipnKyYa!hH~+3YxZ7WE-<yW0
zXB$GRPewi6;8&fowzy)!-kjW`9V_=H<reQ)vN!8(k;U4*X>W^Pe7AgA`g($M`CH!C
zGo0JcudL9%*4eI~A7>Ugw>U%d^yZ1LCLPXstNMD@VUf2BOHU`R`L?O_eBz96U0+W;
z?5VNXu=CZ-!+~#2Ur#-3_qO!)+{0yWd0!u{d2%&+>pq*>ofaF!Ur#@*969fA#DAH*
z?B_aj4vW5>(_Sp0w_fjCT62-ag1N<oe70MQ&CV^HTz2lbrOw53l9uf|Z&|88H+cJ1
zzoR1COsPG8<=&eYd(R~9SreyyYlV#3i7#2I(sK`cyj|FPGI7GUO}%FqZvWQRdpdFL
zw^hC86K8&#<$EG=-?v@9XA;}KwfUZU_$qzM_2?T<WX@&k-<l%Rm#u&EiVR-{f3DPe
zxyqWan|#kN-1@ES?1_h+>*BZ0w7hdQZgZsNnM-loZ(90XySKs8vS*^)-=nu>+OqU-
z+34xr@;0+?=e^||J>js|+tlb8hn3!nMo&3h`!lEapHm*6`IhTBo_yk4ujgj+E#G3E
z6UkS5<>OQf3*GYzSAScy_Qb-u-zKd+^Kj>{KSJ!wLoN3NtS;_szMK_TU-iFh?a7A=
z@9odZuB^>ke{+{iUgrASRdM@oNpDkZKYhtkGWX2GS>G1rKKiP8zJKz?XEJUX{JGrK
z!f#V=&pPbzR`m9<d#=@2w>`h$U46D}!}g1D+vi%AT)Vd+)>3EMxsw^@*W=&1-ky9|
z=B?`O(_bU2GkzD%ShY9j_s+WP^*0)2j%BXDbx=kvYyC~db?0xrF0*Jqd`r4arG4%t
z%U?2Q6Z^j{l0BU``P(Gf^!Mv*w+LIVxfZu=@|(9?!{3DP$v*vi@Z$3lzSu3--}vyY
z-E#eH4qxt8^EWYk{h^;@ALSI=wWr_eE>mo`zU5su@%8R+7xX^<nt1&9&1XjI<==|#
zKJ+fA`s%u8242-?*KPcLC2sp`OP*`@Hb}qmTUuPP^W^jHZ;S4pO6>hM>F&A2y=8s>
zEOoBNZQE_R=0e=YYRfa1<F@{`)VUJ3ncdRodffK*H~Cx4-vsih$BQ23p5A{v=iJk$
zhaW!WOxKT`clh*W8NN*Yo5ttTZaG)aJDm46wff*q-|C}L&o;VNUyXXYF}C__)bov@
z^Xu>4da}{E`tYr18~tk6pS-#~R<8ewxU#CB{@c$tG)@;+y_|5o=&kDO8OOEWE-XEj
z-1u!%>AB>M-?~aqKA!Y#Rq5HstG>-DJ^gsgw_T;@AJ6&L_VvW$j-Ks#wvzol(+~Tu
zx9L0mNhYuA`Nr_-lfRx6I#g%WetxlZZ_eLOFIMkO`uq9Cn!Q=fpI$86o5uV(WAWa+
z=1&>x_a-)fu2{A2+mp@p9H&oLSpMcY`?&SnBHq)<yZ%(1vpf8G#!}lYf|WiO;<in!
z^tl$dF|e}dO5E0ql|2{ZHXBy*T#DPiv6AQNy$ywxZ^A!rDwUdlT<YspSBbx>uWa~M
zRvdnzA5@%sDQ=Tz<(q4Aw^H=%Zk;x3&0hVj%lGM{#e1_Ze~MVWH|_H09gFwo8GqWb
zes7}j&L1Z#y3MrvpBu&b`ECBZL-BNR(X7K;J*!VXdsY}&o$-0cj|~0We{B3R*WYNe
zY0FrD>yS-b_WGMjdUm&7Z?ouUyd}M@rMtgpdy4nZCn527T4dHtdwMZ=+Vh01bFwFY
zo3!@C;>q7Gggx6BP<=D(=|-38qhZA#FUD;(tvquzZu8cdnl0CJW92SyG0(9TmzT`X
zkpA>SVSSvQob+c2{nMXc-9Mtf{NDUx`}KZr=luSeapm03`TcHh=luSBW7*u|dO7Q@
z#qZ81?=3s`w=(C_xt;d??XSG6<^JWx&HeQ1X~Z`5e)UZ@$2aY*ymKjTQ*Gs)t8%yg
z+302K-)^*7m%0ANL7Q_K>u)WzIhVcu=0lrbtL=+9EvLyoT<l+cxbN9T=f4w$>wh2r
zbYuCxt=yJdWzR3(u5*6nTNgRmt<&G6$i;4HFVpG|yLGzEs(;%p?J~7~%Ui3<%=&-c
z$}ZFEUwP|wnO(o+E$K4F{z9XBt76Y2Z|IpGe0!;l+Nn=-Zs~o#xNvVu_$P^_wp&(L
z&Y4=yzkFMEWz2=Rjjt<ZF2`+^uAFlvZu9J%HCwK~6|+`<%e(vRxtE)@?#;S;@^Q;A
zKg}ms%BJ^D1vy7<y<O$!!mQorSNm6I@PDdU^LqN*M7i^z+D2OYt<0~R5t-|63Y&%9
zdi`z6+{xeCY)>b**x2`_p8qVdc5jCMCy5n%Q*^l{7TInIWBqa|Zre51FIVF>nz5E#
zi`%-5wdC@-mHQi(-g<p)UxVl^>1zucDlb`<m+B-e{I;l6D`D5SNu_!T)4pBUC1T)M
zeRG$XL1gvOU7`koaq@F^?-Dm~tv*{NV&G+c{2$Y?to(@eOl;cv#T#D59x!<8`a0M_
z{;lfkXovc@3%_b7%>B0MtA4`bZ(Y0^2|K^7;?+r*`fV1kRzl;qUA%e;8_Ul9Wj!<H
z+{w%g#^No-@AMzcs<N4CY00bqU>Qhi&h>LU_cwUovivSy;AH*$ACq79^E>ee9N*6Q
z&26!0Zn6D!{+ayli$BKLZxPRRV$9t#Jtv9r?bdd)l?}^3{jiYo)qBwTt;<*Q!Mty)
ze03iz{5H#1J7L?mUB1tME!&%Dyu;4p`5WWOuV<I}{fm*`GCjxay7}9MXCMArus5fe
zTVmzjq|aP87VgdZ%zb0+-ZbtVb{Xq$A7a{;k$*#J9secEJ34v^YriehefVp^+{N{b
zr?<3kThqY4s$Q#jM`YZA<hP>H2fsR2Ukwv0aIZcaR#<x>Zu?c%n5*|Tn8wI2DXxl)
zK4AIQHTw8Jr)P&>rq(htZz=xv9AvdL_luQ#lV*dgyY-C8Ds%l!v32~v{=C|@wc*<Q
zpH9K&iv9k@?2Q*awR3-i^!$H&*3bFH{bTzg{q*?Vn;V|LI=|`0wRewF)pw@tt_<~D
zcUvybWRmo@>9)_aAMJR(ZGA(2ckRvh@1B4CFzeAG*$3;Ri%#-=+OBE2#hF!SQp~o)
zG2N=+Z#bq$oeZ@;H8rH@Y@g_c_@cXg#j;cGY!HrlCbIdo?a5G|qKkf_7aafYyYYCQ
z-h!o1E}Rqj;PLmMkoBF-taqlxY%K0^6<dF+(#~Gx`WvR(?k7ukM>z1G<lP-|;8l42
z>Xkp;?JM8U-T(7s|NZ~>cIW?npl<*F<L~_a-#_`b<rnVKPxvDJPfWjC<Sm!<8}qu$
zca^H_6}Rt*I#B=Fd(WK(Dc67Sc>e9ub=rL{bkoiM6JGeX|Cu1oy65Heik*%p^FGA{
z?_cDSv7PIO{{G8fSnHd=-~0FS^!c5!2h0N1sx~TZ-w}Mkzi$6a^SQkL)}4vYFZ`sR
zQ2lDMxy~)yxL^B^wW;ooKX5KS`S1lfkqxh}-`J4De>3)vlyumeK*s92Uf$K;b~NmL
zRQ}g!|0G+@gtP0tEVe9X{d48MLRF)xaCO*$-T&AwX6x)c_?fBhi?*4@?QW)fpUpe&
zm7V0$ZQTBscTss<QFGXV@AXI77H(zxcj&%Cm8qKc7RGzOM5U*!)%{>w-aNg$vfF&E
z><9j@Y)j48+J5+5)%?NtHN&5K;d`nd9p+sWzqXX+-^6u6b(gIe_y4ZGcl4uo=%VOF
z#vl6aD|as{W&C$iJwJTYx&!uqpS*Q?&GzS@dVct}cMjeD_tquZHat?#58pb^!IM3_
z@^Tg9{>A1i_GRvET>pYMD?dv9!S=6gU(MI*e@NZy_}KR~`=7Al;8(}<_kTVtU;AI(
zzW#l>yp6QeYyK~lto8nOd%m$V{C{XZ%SgUiOgBfN;H&8ME;EiD&!SJVF;B1I&gCe$
zxqTBaYut~pjfoDYU;G#P_Bd?o!vn$f`)Bns%=;O(ec}P-`%-3H6>p+8w)V2U`yIB$
z@Bs6DFEcTV2hkai8=Ch`&9wmyUidP6`x&;i^MKy{EHlm<Z=>(3G2gDuz9Ev(p1oAe
zOw{6a^u=Y2&ug@AmOKEBIxzVC4%;^KK;eBYGj5BA(O1)$-D_6glzH$%JKtofeH!oV
z)A8}AcD8$&@&AbYeEj>v%=7GZ??N7X>NCu9$(Q{n(YkHI{>v{?PsuOdq|5YfS9NHP
zVZrIe8*;oW3M*L;cj-R;`|w@p*3>;~ZB~ipS{B@1x@j%Lv?|6h?QKF4&w{RoF?PqS
zx|x-*(YrL7`AqrB#Oy{^xvNn(tP=XXU8DKWl&(yXZt&G>-6nYBP0&p<#@Df{ZlonN
zdxzd;T2r_(ExK{5-ql>`4VRX-<+6uW9#Jf1H#oI)(OVX`-65M|4-`yyy3N~DurjB*
zK~XO>N8jMe(p7Jn*>;6&{(C@S`l~XA8SjD)^D!CQJo-?{G2>CtMLy=>Sgo6a35&cJ
z%Cf5M4B3`^z+$>qnT*8ypsRi#t`)CLQ*X4^yZTn7;PBG6xomm+K7F6n7H^`H-jJAI
z6LVwb99B20Lt;;53XHzCF8g!*$=ZzCt)CC<|Gj8^%nW@7@3_F2&D{r_PL}RwIWzgr
zhSLXfjwW*NX3Cjz=AcA``pjg*4N*qvNeQh_X5CGgGwF5N<o)a?d3Uq&gvI0~Zf|6q
zWXZ&QS!MbgJ%h-ijPDJclTMe(OK9&*;{S1CQq0!&1EnWTt65?u#%w=+!1W|=HIq$v
zOlG40&x&bhR*F_=>`Xb|SUt(Pn(@u_m~GR}-ca6|w073U;G(Nh=E<|4%-WiHO!8!D
z_U)d@lccY$lRPdG9v$&JO{VVYXJ5;H>1zum>woFber5mrqILbxx-a+d|BN)*_2;T}
z{YUZl`~DVL^J&W;{9ga*@{9UCUz=b2dw-*+HvVVT<^2~}yL3L>+r9MHdX3<%erF~A
z9eZz98#t}<b^HD5|GBU4^a$qv`Mj(v{?+8Zt=`7p>YlFlt$&gK`L%4u^)#N!$J=Mu
zIp=)-q_NvH`Gs_Rj@F;=+wWKZpDX|8uFH>Zeb(LPkMs9E`Oto!<6DN??C?Ez?f=+q
zNH_ks{`f=oJF6xCAAiihKkoJ2p8rp4f3)A{{hE2K>wM+z`X5&V?x+39&;LGMCTf40
zPXE7cmyZ8Atl#&`eDPK7dG$ZGUT8?$Qg;6D)2C5e8qfZyzxPglje^+<$=Exe<nL&k
z?U0-sTmQ2+;AET0;-%j%w3#JOtgh0ZD_m$SXXgDp<W3L!ElWxB#gqU2Y3t2#i`JjV
zzdm02YL9(LOx0yK^T+cw`R;EqJe#4Rw?8v^c3^l+<>h0hi<iylJ^dpsM09iY%nLnT
zN!tvBX9tGeskp3Ws{Ci~GX1{~?*9LEjsN~XL-qCfe^1{3bI{uU-_76k2mXIK*WaDL
z_w(QR|DW>b78&=|sNVbXYyRHP|8Cd+yKY~5JFa@`OZgkif5z|ocsBq4>-?Ip*{aoV
zi+$JEKE7Rl<llqfKj-iNJ6d12b>&Or`ftAfe&|-KW_0cSeeV1IU*C4W-?v6^!oFYY
z_kEuGKV;jD*S_oP{`T+xW$L}>&qM$HY4dA;9xmUPw*FIl{-2BG`|}v<e>^MyzbE4(
zf1Sq7=XO67xA@n8aK35x`5?dO?<?%~A#X+Hu5I7<TYi7s=Ii!<R_6I0$#++c?>4Rd
zc7EUIv+LWIu8;impE<yrnMH(wfq{d;u(r!L|8>Jj>3&8A5pyO60R{$!^u*lM`24i^
zoXoUTz0{PnExRifuH64_pO>B@t95eUWSyJSb)0W*@0e11T4VQ{-JF3Z_8Cty71_kA
zxX^%S(uEsb%F&yI_cd2#2Ck2rfB*M${&S!26`%WT_x|&}<B#Wj-m~sb$#qeIoeW71
z|08C8`uO&5>IR{W1@d!@x0K3-u)JF$?R<RptWvpI2j6enQ?WVlfct`iNgcPJR7HOL
z`M6@4`G)vScFSZdH*cO+w7=0|tLMfNrz^{Ebe=e4%x*R{WajMOS`JA+lWwQ(FZ?)n
z`tm(9u3y@e;pBYh&5vpOo;3HxU*pO@uNwDy$y3>H#mna3HxryIH?hX;xc1S{K1zc7
zwwjnI7#eDQ&AfE8w>!1y$I+PQVP{_6X4Nm@&}$c)AX(OK7hiX0=A{7t9iAUAB+Bg+
zdZevg_H^+zjmw8mtPWW9<MM06a+OQx?$p(vjSI@J%}P{L`2KZw!=4jORaX?&ze+8=
z@iT93ru^k8*M%MDmv4*sdql(dMd<y?H;42>=k@6qL>%1qy~e{cXjAQR?=MrPpZFuO
z$ghblbAwZ~%|yfeN8VefJzAq+^k{mQw28sBK>r@|`v)Z!?R^&gWs|5GbM2Xb&*r!X
z)x>%QKd$Qfe5h>yw7AYI8*+F4y5zg0E;nLl+KofSa?;;fA~Va+J`%9{eNLqOdFwgO
zecmr4W=Z63VUxP;|0m2SGvw_};Ws98Mcb15El)X%9KZBTIqLS5Q+`)ez4x!q+I=}(
z@10f%!^GDGaUG|pFZizYIpW#FciP`o+IVBTW#_M$TjyR=XuV*<?0Xv%H(zw{=~lV1
z;-O9PrrcYn9(>*Pkv;#o{+i9tGtREDzs32a>ea0HbBXtE{R?{=a`DXNj;RM$?~b_;
zz1`{F7UR7)Ex(uiZu+tMZQR9~FNIPby5Ijc+b8Pasg27VP8Z2qADr9TEvIDjc12C|
zqPG+6^tV|(ELke_WO9an$lFl)$Un<(-BC5k@7N%psFzvtQ%vm#)Aod)IZm_lyFP^8
z=ihoHB<$Ao{+bQZ(R$yvTs*Z`<)M1F&YtUAlj35o9sD}u@Z{f7M=$g)cixqCG}I$>
zZpi*M+gMIk$UWuP%B?yj{31TLI;b(|(Cc=sD-L0od?Sy9Xs=RyTb%!Psq~!f>(8+)
zdiD8_#$k@1ha0xXb=&JQngxs3?l~v<TjX2Fc5^B5ww~J4Odd-ro-PT_x{z;tI@;ED
zQOuXLp64y{=kHEmFPFAgp>xHP3t5{JU)Njy{J1tv<=dR^f4A$2Zt#9Ibwlx~sRq|K
ztxnZh?OVJjvswLpfVV<#*0#s*cKnRhD-tv}`}b#?7Q?xzh3gl*xWO6u);LEp_T7&4
zb|PVW9NymQI3vk>Z~cxMtN9z;B&*t{9<lorsxtASy42#_rRO&8>we?BY|DHT?ds3x
za`{%}AG`a%A$FeY$<j|+EHT^j61IPCJ-JS4>FMT!f43g0P@8;#`{8o8<8pg%XNm0e
z3tsLjtsC}hrt01^pEFk<+PW>K=gkVy-zyb2c`aW(<=56eM`_3T>uqm%ysVugbe_lP
zQq$|3sY;7Jv$m+&uS&glH&3K6`oE34K%BK~ef8?9fcuZHy}0!G9&esaT=mB(Tkn*|
zF4GT<6>(QCx$8gY`J(2O4>LCKOy6>@#gu#Rl&a!a#z$0gb-tfF(_WdivE>x|mgAy9
z@uKSChr(8e1yya|5!V+Hr|E8YafbKzjn;B(4Ynuz0*>uouJrraWn*(sYZrM>i^(F|
zwH1zYUOUe=)!WhgD)twj|0KaZ&(jug?+kN_IhN^t>iy1}T=VB^KiYWy>fE~AvatH4
zzW*8Pe!rG>*8I6rJN*5DcOT*(d0DlmBzmv@(mpjRbJp||$MzUW#`6_+7wG4n5=-r^
zKW843Yg1jiaQoU2@oTHLwdz>RtK0J?!ms@X%b|~tXTCenwIF)B{`!?69`Wl6U4FbW
zNzGx8Gk<ezeX87_q{8f~(|dw`8J#@(_GsVc&ncps`Ukwlz52~P@3Mct`E>*Pykf4N
z@@<i8&2*%f8)pX^?Ah`wW3B%E?H)hQT8o{#^1L*F`6=rmDfY{evu6jEMqEyhv^c+M
z&ZBc-R<qZhpL@2_?6=7F)=QDxwRzm<Hj6Fa5x-uXN9?83I|j9edE&Q^`_HYd*gHpK
zul2L6dtcRONhM@dDR)@@|8`XP_`9R?7R-CLZ)R9vO6bktGTu7NW%tgzWtiw4*7j&A
zZWJ;*%=>}k<O{u^_gs(9Mm{K=J+;NvASLVm&VuW$yR5am=YD+qC2Py4weF_g-!}X+
zD(qf#EqrdtF|WH8(<dB#cJ|R#w}k0Rhi^+=pBE%3`s!GSU*+^HkFyi2_eD=lnRNPa
zZuB!R>1*=0cDT%oI#MK@_4`Vn&TF<sN;mCV|M^Xv|6=-$&zh}*(^p-)pI&nQhb(_~
z_L&N`BJC8#Z_|1Y<@h<3zdN$0@yXVOXM{e8wQJ8;UKbYm;->ZMEX$VD{e97|=Nn$M
zpS-fZ&GCOvT94e#w_h_3S<m3yb?dss*Q4zv-c$2bQeSUwoIG`s?xNDu^OhaVjSO-V
z(cc!OcX7|GHHAsc+(&j49NW>NId}i<vvS(Y<|}a31y3yC-Q@ML?cE`@qZ>Eh*zL7L
z{klOz;`ZMg?ILOf4yLSRFqq^0@qfzV63rF!w{HHhX`imG@yq19q31b|OZm?z*ipgr
zqfp}Y9j=*|a@=an4!r()*Wv5&byAwYo=3^qPuS1=FsP;Q>J$})FIqt-6SYm+{CaxM
zxSGdII%jd+J?7C9t&Iiy6$6$zFs@T$vh}yizJLDLFQ3NhW1VT+7kVsR#4-Ql1+~pa
z>RFxJJ~z0U2|ae_`lEEC+rMjZKj&5JRz41`<8=zg((m4v?3;Vz#V^JyhM!w<OO`+M
zeexsM`0}3Ww@1oN!rmPdJ{mA>?q2!I#5ps2&i%c5a=KmmF0Oai9x;|&-o90EsX+5m
z#?>XWor*VZbh-40du>_%y4d8?D!JE-S63>Og;)JOwxaE>__=E`&$zN%53TWP{P*hB
zPu2appIN2XdFxJ`-0Ql$_NQ2}lGwfiD_%C+j!7JgqgPLtc$b^YHS?U7M1|I*J6c<3
zOulLnpzf5v;psBQ$of5VPVY(BJY&a!Be%0AY&58;zx>77H~4qoelL#a(ydbu9(~RA
z$sp5nPxr)6D_8rTUibLUuBT__`_3=vzo}a*d3n*Es_E;V$8m`K?w;P^{NdcTguZ_n
z&v$DTt^K#?ijm#ypA*aHM{QjtnloFza&JL&iu$~XyZ^ihdcH;I_uWXVg~nfUZeQM3
zx0$8N;&4axgxIg?Zv9uL*vX!M9pNo69{u6c*@%;~><$`C(0^yLdqsSDxwl1j(Cn12
zNBK@GhbG;==IwB7yXWhos$FZhO`UWlxOVR@&dylX#XFgO^#bL*PAuf(*Zgs|{G`c_
z$I1)7t~{{fnce)=8+XaCJA0_&nfJE&6_p-2u3a@d6K_P^Un9D_G5dnZjy~hx0ZC8K
z-8>T*#Qtlue$&kPtuy<ssJ_@Hd-?T3-C0+k6c;!@YP0W7T(j+^+r3lrs@r$WmA}r|
zqisIhBqpVIUhSDpJGm-F&TrpYJhgOb+XJEO)<?|e8?QUmRz6p+oLhG)-o>ooXUXQG
zN%2OZJcfpc^;ov&MCzZ~YnNa3w~({Z;kN6tZ$&9KJG%8By^Gp^+{@?J#`6M-I{Nnn
zU#>m*>grOy=luL@^VCCltKZu^xH@Z69J^hQrfKNDT^cgyCk8Vt$+)NS<eJg$<vLr7
zULAd1{YhOd;gjcs7MsfAkky4@U!r`fb_6&&-o1TwqyCXQQhrS5?UgN9E+0K2dDWG@
z&pzJzXX@;_J$_#v-#K3V(c|dSx1x3L=e2hAKVPo#=Cf^9gQqnAD%N>Rdv&h=RCbu3
zzAoxngXQ`3+gCM|mdp95{tlX|8l~3zeSUMj!t4Dxp$SW4rX5T;r#&N2JK{vr8r!*(
z^-ru`+w$ei{shj``){rmzW?<6KEnv!c_vnq3!VKBR!rr;R(^Eb%TtkMpFMW$XeoH;
zw)|Au2VwuO691Im?r7a^oUGjRJb3onv$NOCEPmM~x+H$9n0c8+;ihG)=Hzw$*V&@A
zDxFW=to{1Bc`wv#pKVt>x<-ALLecc}SG&5uu9;jJ<FviIa@{SD*}t}alis;y-omnX
z3$1THJal4vK+6*8<CoJ8w$$aZ3WoC?tc!YjZrY`4#<_=cjjr2v#A^KzWR9KG(J1ys
z^yH@R!oRfkZtOq*qa@q&>$OW!OV&yLs6QZASuuaU!>nD;QoMgOwZ1pz*~+wI5v!Qc
z(S4d5ybO<s@69dPc=@^2)lW=oFKqUF$Fq2kcls`tXI#Qt-aqy4x+>oN^Y-0C4eL4W
z&P;qXcjJ{b-$j`#1isIo@wMJ}!4Hw6zNZ6ZN+*kz%R~#^E|KcrFsm@}P2t;!9u`wZ
z7X1kmB-mSYtEKw|q$aQ)+SfbbViVhmsM${xr6gE>maGxvX}VU~;S-tNq84JtGVv#a
zhzrxy&>g+)yj)xgD#w~1B+4%jQ(GK%&N+ik$;5H{M-2zZa*ocM3Kb&9SKdCc;`RmE
zgB)kOw}0g5_#~HnqA#{@k|AGbvhre;2anX06%JX}x%E1lTV8&n_@LFO^_=p-2JHt2
z3`+h>nx0j+wRn-HcQ<9Gd()<~r$iPO=2V6sh<Nerf9J$SB3~@U_}0BrK6pF)Kw@H{
zWk&H@b=xT?Lu6Pz85MHhaGyPOYavL~sl@o!oIrES({B`?bEoER*|{R%*}k1Ei@t_R
zE;KeWjPMnZ;X8Xf=GBhvpIJji|1y_;I#XieJT>~_S)=VcmlWhb7kX=&{d{I-c9ggS
z1B0*dq0?+FEn1$Pff76TO=oX5UR>fA$Y3bpGcP%b<?Csac^?bajvl-w`1SkrhnsVz
z&s00FoqFz%gTM(+rMe2k&2QJ)Png-D`+Q2#4xc-PKG(QYR;^;%cz{7KP3LJq+YuLS
zzNj^)4_Rz<*;MnVz*lb$Qz#SPCkNrpDJv8j`|M__2`vBEx<E!f@}6azk4)16>jw|y
zs(igOXNE7a5ZUYUM5~ief>+|nUKjrJ>DwxQxnC}PbN7_9TApBYXi)L{u+RTuoM)U`
zH?w;CtHXQdG|P5RdicCOc$P}>rl%^2E4fVe3taie{(Ql2&Yusai0oZ8!_qS??c^NZ
zrdt`+cU)F)uzn!Z6L(d<r$uc4npXMb=h+MuZ|3c>u2}Y)jV);I{^~xX;*4DahT%oh
z?9C4nGEVsQ%rd#WW?`_=RHoy*_e%4vXJTw<o5!|KDQS_mNyu3Nnfl$YJ2k>>j@*e2
z77*JNf7O*q`su9Chg*M^i-)P*k9}eH|D5A-?k}u2xc+)n)D$RvvAJmUu|M%gveDBQ
z$?8#p!C&kSh3IncW3FqSxcL4Trw?py@0Fu3KZ*Ub?8L`jZFkkDhn)VrYZms{Dt%pK
zMar?7KY8`1BHzZmS`%AUH0@}M|9?fv<E^W#FS3}t(Vr<%KL4Y3Bj?hfNBV8{JJ|OZ
zT#eeIe0K4UQ)kzTSvu((9eo`ZDcLlqas8B%T;ICFyScKn|7<b5X0T+tsraQW&39Jb
zTHACrJaldI^lNGROnP(M6^>uCofDpBpXXC|v#{Pe$b7=CO}k94<i&5Y+Fo!feP`v;
zH%~73JS^?y>YencXZGCeytOApmSn!~Imo>K?w{7VZ_gi%e*f@9*^?*Jj~mQze>!1F
z`GsqD9(~KYe<LN|^=I_%tqX%TuDy12V!Fxh+sUt)&rXiN_j{dh|6Iv=w^vSyoj>E<
z`~N|bEdldpPgG+xHQ1xDv@JtD!%5LBCO}|;Kf4Hv&$;#o8@aNW8P?qVDZsJ8on3@!
z&B^u&8+K(dZ<xBWsG(wG&8ju4WR{&g)j8`t>tnfeC!L)>sf=%}A_OM)OFVbcf1t-H
z_ut^-zbozSm5!>8DK#t?rFo7gnTAGcS}y23C6Vw|!m-Tt`I8*On@{4zEEcFw<y}+P
zs?HuW{l^WLuO0hVcsi;+cQ_ccG^H!YaO=DfHVca?q37!6Pt}^X8~C-Rg|l<+I?A!j
z)%O?EL2Gk|*LT<ai<j;R`7ijgsKMx@!O|2?*XNU*FWRwZe3DzJCg@b8tMYe4<->p_
z_9Di7u8(CDnETA?LK>2c_B|4se@TdKMjx-wafbY)#^m&Bm9**~+>?%ROmg#`<>sIC
z&12O!k5ek`8h`zEK5PkolqIt5!{7ae;vS0wmrgyH%(UG2z{SlD6W>mp^Hxw}g`n~Z
zLDSB8Y7YHNqWYKQ7*&0`Av&F9>a>CbFLUY_=X{D0_#@+P`?35~*vSLU($a<pZbv>i
zzR7mdCR-+!%4C+x#cH~X)eEb$LaVb<CkaoP<gcYSH#q7Llk~>F?oZjCe&auN+~<J3
zMzo;WzGGVwI_Bl1stNyk(l@ow^k3yiwvB}?;@5W>Fs5Btq4AmfG($p!9ZUDrAnAsa
zTqoOqFwcM8kjKpZNwH;()h&jk)Ymc!r8-Vir>dH1v2%okU17VRwYaF^(MAr_FeSdr
zE~#2)pVt)D1aQnaEyD8RYNZ@U&-wNTn~JiTPcVJn7=LQRfx`2JPbLUBnS@sJq)a+I
zbyB~k)n{$X<fh6S2W)sgq-}93D@`ify8ppRiGz~^C#PMU9AV40%GPCx;gY?3<u|;%
z@$#b8e7WbJ=FWdCQ+nlsQ+ekM;qOZ86K-z}TD)84ltRsk%{`0PHSE>P)Sh&2l1k2f
zKdBP&J}*8_=5MtJ<6{2od;G&x{=|&~mJE@KX)ZcZN}aQw1Q&f1cUdp+CdcrqoD`cy
z)!l>!&m@+QqH}}w)wVZJ-5;C!{Bh0IFoDj)FBab1zU)@?%@<qSZ#rLI-MsY0oEf@m
zlXK=RdE;O9;ZV2agIb*rk8fUeOPuW3V#}6g%eLvH`H#m2Ma{WKTuWSz#(iE`TbnEP
z%UvhL%Wm-toh46txb@PkOD4^UmDN}wuDn9rG*j62=h?gcr!2E>vKRZ!iJdzoR#rhn
zNLfS3G*i^J^ZIAMt<UHB6m<oC%5+U<RDHgHTcJ@&!PMOK({{0(4YJZV`WX5COMjj}
zb+VQR-?Crj3rtw+b}UT1YLi=Na<PL$R^V*r-r7Z(a-r2T%-(M{2o-#KKSPlH)DoHG
z;Itx-RF3wVo4ZbZno#UIpY?NF^3Tb^@_EwbRr`1UJG3kBcv14(m&=-euUk2L#rla8
z4_2;MFEzclS}gkXeEWS`vN8*cH<$BP&d7}o3)vr-@jm+b_5PSUF>^OuUS7KPO?*La
zzWbqvC$5&>U)#@j`@t0H!x?QWOn(&BcGu-*gkReBk1Id%iI~y$%(|nTYKAtu1up(8
z{OOxt@X*6;>C?5F?n<)HFMWT|e|7D?=;Z#tT1%fVeg6FZorvoXP8v)xx>lN<dn>Zl
zx^(;08{KcNeN(wGe@^5A#@5gIu9J*boz{GJsX3^5@rwg2U!QNc4_<!Zvd#4l^~)@(
zTE!VnKOUPHE2hOqrq^e`+ss#$?N``ywqSYn`iZqmJR|!iDlcI2TzAg;O;CKjx3-;k
zlg{*lO7Gn({foX^O<&2g!1MV|djku3ri9R|YyleTb*+!w4A*@%{G`veW1BZ$#kMo@
z?zc~#6Fh0<8O1zB^rEz#nb*{-P0fa`l84XLIk2BT=zZWK_w94{rf_dwrMGew-{wu1
zdbmHcaPGNt^4G@h()$b9YVw_BHu~+04hb_|Rby{7G4_j!=XvX`^U62Hb2_`6l$5tQ
z&o(c;K{@ilmYY{K_lG`9YiaCc_c_V_@7SL2SHm;@%v7yYooOF%YW*pR92dhJm&Fs_
zPl;yVWS%sCwt7O%Zn2)j2|gm<)hF4f%r0Lj_~U-Y;?qsspJ!Km4!Gmo#e8n(xq6Lv
zjmN?R9|X84Ur~14n&f!q#~k?+I?uKBes(2gCQjbeUu48Fx$n*jshQ{H=Q{5H>UO+)
zVOHaT7d0Gw+TCUkrhGar^I+!<4b!kW5u0QbrWrMwF@(=lX7|`3zVJnX!{n)-O&pyH
zJA67s#Y4mx{%`;B{C4tkBbLaTlnJU8t!^DT8<*s4WN<7iX%RBbY~%~83JR?bN}ZH^
zL9u7iXG_&|oefq}&Jqv)%TLkVF`4h3_UxEtQ*;)*&{^|DriWK(nX0`zv(DdZP3-Sd
zn9Ge0utz*tzUgStrlU<P>yLB9_0QTPY3q4q@~UsmKlc?CoHR>(KTChz_eJwudlDw^
zbicgm;0F7Dms}H789fuzuIgDd?mp~VBF_5zUUPX|mI$x-n^1{ea}sBJM|H-zT+UCj
z;|o^U%XyL8DE`~D*tDKIcC9i2r}hh8*}pm}cB=oq`cL~G+|_dJo8!b0#`Iu&%^z_;
zzNw+1ANU{bsZTWW*DOe1VtCD5)nwg=IXZK`)qIk<{^yO{Zn+E57Z+w!Yn+qq+R~nM
zE3xM%fAHLQacBOtS%0iPxb}qY!Pq3#hy6<X6&DxVPrm4K>(7+yhvX!8*8974#&2Vb
z&rr)LVisN_d-^=<)w-<sH!EJBdNc2I<T}|IqTd8QYph<qQgXq>O|tWzZ@s^E(v6w7
z;(A_gjoG+njq|PkIdA2&Ud^6g^CzS3$mRSmr)SOU-}ms&xdk6<{u=!KrGEWX?=8Xk
z<y+Pr6II%|bLZDPySMI=%$5xLmaVAzmia7m>$L52?(2#4f7o>WZO*K`^~b-S56JoU
z)qk#z;LKfhlfq|gUwwJTZh@UiyPk3zf3s2D@U!kn@lRW!H1|mt^5ZY&1;>AX<307^
zIj`3ShF@egA8(UB*rI>X#QI}I>Y<K{)xnb{ex8u>v`0@Wz~J%J=Dl?j{=a$u_3xkb
z`t|SGTYi7oAn%m4NvQVj|D26AS?s_6D<}n>F#l=fHDR6lZ;i#1ANq=&G)_9EW;AW3
zf~)U^ojX?ebg+sqmPvRp-{Vz(cJ-zIYgny*9QUcLbh&>%`pD6b4H^HWXVeFmEn)es
zX4bBMp)u&p<x58uB8p_VUguSEg|}?f_SDq!bPtzheY-@TN$T0GxHF02KNl=-X<Y3&
zk30IR(4>CjU0GK9x_<g;{RlX7^0?xS=kq^?9<bKjS{}sc92_3IL`eEsRs5O2ke?ee
znfG;uwLj`~4iv9#UmVmc|7p^3+or3w7U7fXPk2vqU^m`q=&RtbGxOR3{~dO1nvpN~
zg<o_j*17Lq_PLYaN#%Kh!@s~7u@7GCTm0Ew)`-QwOpyQeee&i12a7zuD~U}yTKzT3
zY=X|O^Bn)e3;cIKu-ItS`s^mN?%TgCRr}@t%>D89nNNE}d{LrSM~7@t@SK}YQ@$RS
z`zv?g{}s8vb)skaTxUC9d?o)ee#N5)arzAGil6cwOdVYN?td^@$mn|`{_q?988T;H
z$InQ9AOGX!j>DIaya_JhU^eA_{m6ge<nW6#<}9jc=)T+&!@9GQqj)Zp3H!;3A{iZu
zQJLRTw!P`M(K+$J{YAh-rv<)qe5{{rpLr}W;a~LAIg1wT_x0Y_FaG%O!>4ZM^0V*!
ziDmhj{`v2gCr9o!TmCmq_~TshWACPy+ntWrn{3~8s!ZUW46o<?3Awh9AGZCyG}U$6
z;+ZF|6!mvLFuVRmBlVb#k;A*`Hbv83?LM{Gq&dxF(tblh-eScA$=MTBwXOwUvw3ky
z^wp9Nx4Xln51+f_wf5oJ`MKAg<(_K$!xI#IS!I3U^fd>csin=@>a}G4%ilTAMZcBm
z>rHC=?Di?^$B(LYRlh5&)<)&%*GJT)hQ)qAckjeK(>o`0lG2yHx%uq5XT--_Ax!HF
zezeTpe7rAv=I_a`R%tD6ov8Hqr{7C=V;N)Zb(!iSWk<`S9(G^)Hu1}rJs-KJYA!LT
zNc`L~_5S2tiT8e-67*kx@#)srcK%uS-`Px+__)&ce`e94tIuCvFn&_=w8^9Xb<rtt
zbEcP{Z=YTmd+zn*p7o_>d)+Ty)LdM`FWLEU;#N*^zKkv-FJTp-<I_0}Z9U2yj2MFT
zj`^JUzO{3Gs<~^-alN9G?v3FSGB@=<$=tj0;vcuq2d%r-{ZsgG_k7Ja=KIZOp1+>G
z_zv^kzvhw~`5AwwT;410D>K30*Upc*g`ek8Lj6YZHS&Annw*sl#Gi$K_$!<AfBB}%
z7f(f`Ur9Q*;-~$ez?q-39kvK4e%{5%x!~ld?@F>$kLtd6p2o3vv19W-_Jb=QT+~|j
z>9l+6|KAn$6FTHV|JkgP+cJN*P=Ucesej(nIHo?HlXUSIdxOY#!OE)elQ)y+=FH)}
zWUwhRbB<zZ?)7TTWtYnz7r*I=KKaV0;_s|`S0*Ih?szhpYn^&xoRrI_L&DtC(zN|=
ze4I1s*0poCKmSZ)s`hEk^=Um=J?r7mS;skh(@Q4=x1Q$hnG&L~v5h-MrJvV8J@lqp
zsC3YBUn?7@#(znM<wrDrtgAos(|w7Z2<JtY*}q=7YAFOdyp0xp?fPn^OXCz5#+>IT
zEvA%Tkx=n^Yt^D5K55mdF2kg&)wkRhh-uZx=H4*gAY<c^GM_#F-s#p>*}Wg$?E3Z1
zY1aSXu7k_|nL7XD{U9CC?#rLm*Z2SWty}xGzt^o+`D=aT{yqjFEvBNvV38X3UzX`l
zcjg(zDXrb{w<vGP$(nGU#X<dxg7QnQZr0MB6(VeF?$j5zqfGV0-b^cj*?dR${$eVs
zOqu(u`-t(~JN1WDuRHF`_CMR2J9)ycNbecNb8a?UEnIgzH*O(sqWse((?1(Ox*B1q
z#oe1!{8eq;!p~2>ao5iK?te$u%kBLm`Ob&=kx!;){j6Wo=qV&9wB)o%(i`3{8f8D5
zColQ2{^6ne-thjQZ~MPp+M?EStkLOB{+usn^}79k`nJ~f?UeOAX#K<c&+0?&n`dk|
zA^3Ajc<s|%nMKo_yw<d{C`E}dzAyX|Y1i{*Gwb!9X{|!9`y!(sHBO(iXSvnPgHP|>
z`|5m;nLDUhWy7R}Q|{f1dt71v%jw=)y~kZ^mu>C5`7yF0v-ifDX#3ilo^|ibroOkj
zdWLI3US;0mN{)So7h*W(Yerwn-d^~*^7ZdBJH>saWqE5Ryz4%GZSj<4ouW5lUM{HR
zT)!o^>2^S3B3DgLW>NL?&jEfenpUmM-MJ;YUbkJ}Rqd$#`C!re<}GXIum1A*uX>^P
z_Y!CIJf&^-g|-VueLtVFFYfmHpU;=ueLYoJ5SCYB@JPT=DpGjMCz-$_zq{uCmMIJH
zzW;o~-zY1VAG)Ch9jZAC1Ac^fTkPEFVN&_EG+E`=@*K_B<BG|$ZFkx~?m8pC;*oe9
zXUFME(c{-Hd|qty^tx8v5~k*}FO>XR!kl^jEq9M-p52{&rEFqcOz4*dY(}$QPvH7`
zSSPQ)?7QZJrD`4)dR$yPPqI#BG<n_dZNJ%@&EE^3?pgmv_XMM_RYE?CNYZ!zl}1Tt
zJ=R_Oy&!1ke9IF8Z*tr9^dD`GpWHTY_0tla`$o+#_A>o#{87W7$A78$Z^|c!96OQk
zAAdO9(GQmEIN|)UT}0*NldJl(54ucSVBYh_ko!r0=JWSur{h=LTlhoM^Jk}SQFDG*
zcSJ{5<e!=Lb?N`uQ^ku6tUQ#gpR{uJ$>qN+uzmSi;A7aw&*xrvC~AE&bhr5|&e?Nn
zvdC0*_wc#<mhC^Q|Eys3s|%Lzqt89wyXz;f+fT7-%h!&^PZzEz*5H!s(rZ_`xNyZU
ze&+MC>sNWNTXlHVoBFI;yZ84m_s>@6KKM1<XZAXoi4#Qr@BTS&Q-ki*TmQ0880KBQ
zIW6V(v`s<*LJrp?@80^f=Hus^lX<7+R~BBo@_Iq)eBG&2Mem)J-D^A3zwD{#xn-st
zy3$?GL@zI>T2SwA6~BK)&C&n$54&^ACpyX9+bea;@$tV|_5$Cp|7q-q`^=_rJx;NE
z!iVXH+wYgRU;QVzlrMVezPH=npLp(jt?Q(D+s^&Ve^qa?oE5T9Oa0SIwa-6;S^oQ3
zYW~aK@N4@9)!8;%t92{IC(CVpP^j{^<HP&<=u5jCSGwHXvtyg@%Csd`HY;z3muI_q
zh#cAQPw|7{_BrpqM)QbI?l|OD!y5Nsm#*6)k?XT2-8krTGksZDY?=M0DZJi?vU*IG
zC2Uw0x?}Yh){5`HKYl#*a$?=y*!N$X)~Z=-DmeG_<_UvMWgdH%EZS70yEK#AZZq%s
z(B09EX@U>8yj@vZb?I8Hr&VS|((*4guE$;l)avErow<5ef1~lG8^M<ntF>)5UcPrW
z|6HA^vQYhxn;X_%S#!H7n`2XH-tL|COSZ54wE5*bzsalb?^^QkXO;iIErP#7C482I
z@1Jvbp84ZH#>=Oceq`T0d%n5(t%usR-rBp>7ySAzb9|=8dWUmgjclu){Wf{b)uNPU
z!sYI78Nioufb&3zQpJg;!-piKdlOhBikLDM3l?4s3>1(}72v4o6mF0`D=vNZIKza;
zEE68uESaciwNPdEXT}*)@)`0<hZ}8$E;6vJ@qgS^l=REy?v_J~yJwt~%XPoc|9qbG
zlbe=D9sI7ju{rqZuy}VmM|LW6O%ml+3^^4XcB;Ec<cQOUDQl)qU9(uha-)T!PU!Ro
zlZ%T_d9idU@P)atF_?%sEouo0b8>a;N>&t@_Qu;Gp=8P?p->T)yR9o-machn{(xa)
z;<U(X{R(Erfntx9Ii7@QaSQl#irK7<`1XIk#s!Jm&K5@gE%Gkz?_IA(zBn<n{lTG<
z8P77dq`j$QIJ>KTj`%m{oV9;v{I0(;M@8#&r+?eo9qkFzzX!hhWS4XF?PCe;9X~Eh
zpWX5Ba?I8wt2O(tS}xjIuT-57#QZv1`pu;{TiYsrvB^`X8XP!!s(ORP;*~wEpTGXg
zSP*pEeO)$#b5NFR`dem~$1FA3_RV#UniFKEvm9Ar@a2=USiPg*HQUuneqm?cWSy(s
zquu8^x$K<XJy{ct_sU6M<Xq&g-ubQYBH>lK<b$I+1(W7QKHV=Mo1pP@Yk|<j-R`CT
z=DxTzMZ8;9N?@z|O_|>!vVZTpcm{g-_rx4#-nrPSUSQ|U2gmZyY@2<^edgDg<1<Uv
zIb_9k*cEvdZK?AycrLnbWgZWwU{w5>5ASxF6t~x&TloB@H*dW6^RTLis}(*lhfQW*
z^U?X*uf<m+HqFYNp`Wrw-X>?)ibdubwtsi*cIUq$f2B(Cz2QL*+5gY)O}<c3_IoYM
zG`{)g`)@~vnMqAEl%BXzmsicj-1_JG4_uFI^U|UvlVj(lt$n;hUHZWJhcXvW^sg?Q
z#Pxe^;hVJT8%6I5*Pp)P*z#;vaEasF9l8>Ss`zW42i1rtDDnSX`}0H2^Phpo-zA+Z
zT775N6nX0m4z)#@nGUPhCCp5oQLusSH2eJi+(ZLKqw2%&<1QbpIoG=3Sy0}xn7n1T
zo$Ey8=be}Sr^T$j$mi@ki$jmMv>ZQwd~3pj`uOF%Ro5J^K6tg#e8s*G7P%Jd7ES-B
z^2IW^-QZqNDz{r7&x`{s{6Wpk20T}Oa-<Y8#+(Z8blR}*P4)7ZPu9-a^_6jz(9#?U
zj)xPU?qX%Q_MqXklC=818OeW^*fAW=JX;&M)-k+!^8Z<(f~;ruO*znDrd!PHl5wZ-
z+=M^NR{ogaE;i-Bgi8kNTmK1vzuQ|~5Ubp1KhxOmTbJzIGskB#SuB<BIb_Q4^TGUs
zGB2VE&d!_m^Wt>j<8NNFdGRHk;j2H(B0Q5txG$Q)M%>}U(!bsA7V9RqhsW<`*j#c%
zL*b(HJh%FJZfE-)ltez{new<F?zjKO{q3=^%MABPOE=7T$*=xz*6iRouaZ&^3I5DS
z!W;k2S#vXSrD;pZhvRbtEFRai-}fy^ygfH_*7Ch21wZcAbk3caTQh&|=FeTRk#83?
ze)RZw%c;I|cHA-P`9DPcXH@;x-mbWR*TT6A-(KCzpVYUZ_tQds|9f|1-pWhe(p!7;
zY^?R!$Imay-rv4ySKFJ}@wfQa>8zZi*n0NhvzqNPbNAZVnpfMezZ+o~dpmQ}syk~<
zC#)&2|8ydc*NokN=83$%l~+!D__!ndShUl|o6{#;NR2sjdiK1)Nr@Q~>^2#^*_{$v
z)~o4Pv0_sltK81lE_Hp<Dy<1#Un3Xa`>MFVYL(Be&N&mLw@j>7)W0MlG&e9>+%C$=
zHE4TFrr)%~{tlu?%Kf)BzH)WQR@-YScs(|9VfCi%KR*}!`26ETnA92Bn)mN=8Fnbh
z6?&%yd8a9dT9&0e{&r@{ou+aQd#&{RN@doM8PP^BeLF9`v|0Y)z1gR`*<YS7KlO0=
zqr=ty34ID{qZefudgd8c`YpZXy;Z)K)%L=}&!r3(UYeRsY!BMq%{C*rF3akhCVzI_
z^JIaK*0)XP`ftB*{^OmElOO!5X3^$mPoDJY;PS0|S#thgzU9dDP5k)YKCPASmM><1
z?*7gBQ=6)N(zE{$+2`yJOxs<Qw_oP7vsp9$PR(;Eil;v9_ix)5Z1<z%|L^>lua2D6
zE0f{#lW`Zh{4e<FTk)rv+vdd!T~MCvmLvC|c)EpA`;vOwJKv)D+tb;z@@jQ$|0&x4
zGM!mvm#CI<Vs&)trx)uduZf?d^0DUZt~dXxck-?ESv_|TOXx>?*`(0NId2V`HEyit
zk(r??-(}jK^kLzT^V4r0uF2NseA@S8T3M#dywtZ=B9;6{=fA2b>)DXG>ynyjxALp%
z{UXevH}}t*`NKEKrF_wa&syIr<*wUS8eKM){9UtLGpepEIQQtcW1rSaXPiw9KDsaF
z*vs#M)tf}K<#qT>m-kFlWY9BZD_wPeX=>Ob9eY{NU#G9U_CFQNFEaht{H}Lpt=WE^
zt6ZcUHXJflJ~z>#ZWo(eEbIIF-+eWf;)l8`FPq&wXtqIOU-;Lrp6fmxT=nMH^JLGf
z-y{y6le)*(S1jsxJ741U>x+)L22=mv_%_|($X?5N>(=J(7SQE8HQDh-W7qr{@+>i_
zs(iP<s%AO2t}6@<>fZIz%9d+>)XYB$WtXn~eA_fPF!1@+S6k1T{^3df{I)lGU0=7e
ze0thGh4KqIn?Ii{O#S=#Ms>w~m&;*XbxrqX-4oGSnz{A-)_Jf0T{t^&uG8<iUtc;|
zU(&N)Ji975y7+_9#D)7l?3_Av=Slx{-hR6``-YjfCT4|Sw0v-U#?jR8QzJJ=Tko5C
zyWhR+RTkTeuDe>!zs$t?UFYfC`&hHq`YQkITf6p&-mF@4q#~{&QB;j7d)EE9Sl%-$
zKb76zu<z;Xt?xP$Z8Ep)?updP+-lu0*JAS~4}*P2_q^Nm*6+azfky@&KYG|iG{Q}p
zr>x5N_O|#V@mYV$hWN>kyRL><uQ(Z*-NeF|RTN;!@agr(gMZKev=@EalvMKL%FG8d
zmMi>p{Fie6Ka2K%#>RgOy8I6Ebxpdz*-cd0TVFT%apbZ64=4V2uzIs`^7d8f4fmxc
z^Pd<0>nv`*YrEt=LoQ#wf-N0}sXxB#jN17BablC^?)!7J1623^KQ7F2U)am$NREKV
zj~Df&^Rz#`{&;Wykq_$|>Yt`4-uQ7b+3xOsw*T=noW9;@{(t&r<NGOhW!DtnaQ>j=
z`A>W1l=t-q!}qIb*FC@ARj{A+>-(wBB5}7W+0NG~{oZZ7N;96{_Ycpt{~I5C>)xa#
zI$J7~`N8&6tESe6H+~Lab3agFu=#8FD(UIc(yZU?roR3q^7yw+-qU-@M^1muo4Bw0
zaR0Z|my@+Fg$QY8tlIi2TKkolX4_)%pQ1}SQ)NV-?|p2^{=LA?X5Qw<9g|z1&%SlQ
zbBA5;-hTqwr5^gJsX}eKSx<sr?T+mKpJ@_Ql~^wP+4b&}+v1t=Qh}PcR4=^_vOXKR
zR^^J2`nla{wlB|Sy%i}jjjF5O-Ksu^@7m{YVcU0dn7&!_e9f+(w^~npzP8yv!2ibk
zx%QQD5o_Nun`o#XG74HNaOSP1OHJt6J-Y>ZHZ0^b58}S`cJHPf=h%67CM~@_`=)xu
zB0b6V8qYab`Co{7aj$if%+1=#Y0;;{i{6A^t$NM9eCg40|0A-#VT;n<HJ>o~CvC!I
z!WDflu_){2tLW(1xpPnZr%3WBOgWgM!W@+T!F%Ub=XY0s+dSfmt3Nff?wH{(Ls_4c
zljpAH?$}@c=I@_H&Ffc$v_&6c($v({&wqRF&Mdh#woh!=h+EoTsXKGtzr@aYZ|LIE
z%#F1<j~^fJ=REN%b{@~y9-c{emU^k!sJh-VU6{S9yzt`nw<o8c{dv~m{?na1r<_{5
zP-Nnyt3uVgf8Bk(cCB}^HD9y8eBL>)_j?pgzui4MKgwy}{j6F4-#m)j{q)necizR<
zuU)!Q=Apl-zWUa}dpmaITzmWEotrn?`xC#;?C8CHtmXHvv)n?#t<`M;&a-Dn9xB=!
z5c@0BhGotQzU-T76>`j+?sKMX-0qa9G^^uWa+R7h^OyIl%5FriFSqfWQuZjVq3w0E
zpPS;WoePhP1g3rDh!GR76BQBLpf>R;t8l^%#x)<?KSVI{q&lddR=B9S@cYKbE2$Ia
zJ)b)7#FQVq_8ody6f*ImQ0Jmj_6b&86HjQJV0aq7aT?>y<H~;&b?*fD9I&kwu=vUH
z+0*s4%C#cN0BduR@4KS+wbhC|n$uOvpb{rv(zA3AbH!A~EXn=@OmlRb=U9Y@n(QzB
zzh%+ZhAvaH1J}3`PBXL?J9oBMU)gr~<G$<!O?PP)hnCX{hXOfPSFp$xY+zm?6XN-_
zk=vk!Ih#rG=!^6TtS_fJc1bR1{iR^LD(tSPq)mda(dyIrAqPxE&g2Dh?5<$pED+$6
znZkPTi=$B2H6^WUKN>8x56H~leVeQ6$BBLIQ=f*&-C$6u`o*MB7RIoI$72ak(s2gg
zi|m~)iWi*i)^<4cPrmVL;;qWl@gd97{S79&Df&DXoZ;}1Z@~^84Hx;A^&O9b9TOQc
z)-r72xuBM(Vb;z4OVyNPUj1H`iW}bre!n?d!j_?LKBeg>>)(<_fv-j#RU%9>{R|98
zA37992CTE|h!s>_(R7G$NhISXx0_qeZ+aiS=fS=m%HN(W$=F}=^pBG#*Ow*sRfdT>
zN)!qNryVSlIKg*Ba;A{B2q%+gNc+wlQJ?n0eawy%XI6JvZTy)Uk`WzdAm?zRmn%DC
z`O>n3_f(cz73#jcaaQ`k()1k0wb!2Q6FfBkUfi1(n~rW=ykocG)f)+xb0Zct$?Iq5
zwfM)>wrjmSYI-|yy3Z0O)6=i71UOC8F)po)Ss-R#Ep~109v7~)43%^HtSgOtVkUpG
z;(2m>?#vyFoDLs3k^g;K@Z4X4zBi8>b4>aZ-Tk=h)7krZ7h<c9KdyWrSas^w*PWXb
zew;sRKRdcef3NDlw;yhCy@)&Y=b-1cfCzWttNU#-V+>>OUR2&0@N%NjrN5^a^p#9~
zbhC|B;Mc;Lhl{sezU}!geSLj_=$p=a<^L@-)%orS{MCQPu6#2-Z}O)p+q9<re!aG<
z@sH81R-ItRUGjgmc(_f)HUvC>;_~P1nt<cRUs+;41eP5<EHRI%Xvx2lFb#%9(>rz?
zKI0U)FTeii#&kiZtvXkq_HRzvy0ooG^VIRqiSd10Pm9vDew-{8Y887ocTe$~$i(+g
z-V43;&ba(o`}@VXsGFSOM=PhTi7MQi_Q+<=Yo^ycx3VUONS&UgQ+s!{+t<hEJneTq
zNywj=B7ZQp^iYLS%}1uHhpI1secgIpzxnAl*=DP^*OqlQn*C<}`C_@^{$5{=$c|zY
z*O^@lG`TppTlV%BCZ170ob$fEjy3B}P54!*6JC})g;P#mm4A}3mB~vqp6{!(=Ekru
zt$Ef{gzWgu6B;jf*xulfi=N=OAUuA;vcUPTvb1bYX4|CyS$A#sq4VXLH3tqZ4x3ds
z!!LFnYb5ve%ahe^{oV9&qe@WK(T9<D-<i#Kv^p8}l*#k569<pjro9{YGS82Dd$+K#
zz4y%33!mStSu@?m?cFXbF3BHWmpqqF{2{ouRYy_$xC(EL`L0S&)$--bqy9!s?AE@#
z`n2@h8+GT8JX;#9ET**V-gYz7uV3Fko1(I3_1e1|)_f8>+YzyupEEw^?R)#3e-ggi
zzPfUB-N|>!<-JyNF9NTsYTx)=$>qX!_;`Hi?<y<t!}1ydbN$0EJos!QE*bOkQ&r+F
z#;Ge5*fSS4vI*P~W=@<OZ0-DE28%jp$J4deC#HUUD{kMQke{(&J7?DshvO&KTRHm~
zO!XI<vouq8Lf0hQRqpqs{MOw$wg1OMk;&Z~n7TS*Y+7%s&QRd>)6-*rIY-4KnI*Qo
zt;DLv>wWMBepj9g4y;cC&6Nf2uRPB8y=T9bTE9`Z!vmw8AG8;2IFT(hGnR>i$NEHe
zih|8#mNuRRlg|mrwNG2UzIdmz;8~Lk@2^<C%9@q@#YwQ%<bv@hjoBPW3f06FSw$2p
zeT_Ds&Si48sO04c>M1+KajCdX#9BrAt8XL!Ra4u|vyxq$1Pi2IWL8cIWoepm($#^n
z)je+VkvBpv4KDqTVoBzn4Jizr7S3-@EYM6+5PU6R8uhJKFy`66o#uP=PE_80I&I4o
z*}FfVugLQ}f8d=&f9j;}R^`2ncmGVZDetzO)+rYg@ANS4$=o+~#n(ChIkc?O^IUi`
z>m&2df+xrGj9xGsPH@s#G@I>|PXDGphY7|zIkXmVoH%;%JcHr_*Yj!|LWZ6Wr#XTO
z+FahOe!hBdM<T!Lo<)s89%aXK)E27mZH|c%ThuqNgiT<#vCCW@DP^`_tCadTbvQ80
zh&iXZpy0&O$up9#Rv(Y|Y*D&&#33&wfHVEXpN#mqWwpA>9CmeuBI~>BQ+&=it}`rb
zY+j}1u=em<!vnWNYA(fz{*P2UI;n&Enx{wich>AHvDZqjvb60Hy6KbL@3ddj^q2a2
z<9Q3to%@+}!g133Cy5i}#TD$&O-Ma9t+n--R;BF!3mcXMI2q~Iap`{dS2y`EW5=2q
zJ5rv^4t-ka{8@;fS8pwgfZ^VMJHO7B_L}dK_-oqxn#6yv)cx+KTh4HJaow)(tllG4
z&R5E^_4amKVt=IR=<PhqUmN)_OLkA${;fY!MW)wY;&&H3wpm%_Zt0Q7|4z<cTBhw_
z$6uQs_gSUv<*w~Qp+=u-SA9C}{P}`#k853I!yhAOv8o9zpR81Ce=I#8c#<o&X5OKR
zZfd8~N=nZM)=rw|cvn-d$^84W-47a<FR(e~8~Sfg==$`#etK0;dp}HGFAzVa`Ny_X
z`^6S2-K&n<qP=5}pF@UYkcRk7v8pdSd|1PLSbu--m7X%)=IhscwO+SZ{eJ)Rc;cUX
zW%(EX8vNR~<!opD-lvU4=QXF+=l%J6SMJUFtxJBN=k3n3(cWcxOjymN=wqjIebuJF
z6TVgLvA#2->H2S<e<_^VGABR$&=$YtK6`<->1T!chIihUJbqhn-?#aXtnkvXe_NYX
z%dZ?c^i=a@RQ!=YnH4Y6e{OqJ_wMlx6NcYfAHL;ZP44+B_Fl#}KI!?l7v5#>H#|Jr
ze7LPRlUp{EyEISP!lmb-t+<iqahBX2`~K-0Ml0SszUiiC_v7-XciwYb-QQ!rGJcP;
zrmCjrX=ndk7j~xk7=5kFPI-H`@9|nAW_!(Bzpi-f-#l^cTi?r<m$KFE&KK*sYkteS
z;&HlDRr|DU=4sa|_UD}6y=J$^&km_o=`L9v9UUI?@7`T`ukdE%{IdFIxfb~*n_?3c
za&OGMz3W<CWp;AjyB+6!y5df9^a^e|cm3ks<hPF}%wL_ZdzPbide>jmAI}zj{I<OA
z_e9a@S^M?9L)j!JPR#xy__j`W%j&1T^V0*v?(6zapOCj?NxFymnZrv3OZ6r_$!j^j
zslI&jlIO)DGx{u+S}rTi(^PfgY0>Y06zuR*_`;&b2|Tx4n2)9vISRM(v>cIi66!MO
zbx3&T=e+d7>4(wH%hJ<|d3M`y^)3}?GBGij<XC+%;lz`swom~V+1`l@ISi!4PaeI<
zahl_l>*3U&+Ol8joTCeF%)9X6Ywe?F4O5KV`fN5YcAm9^!$oSQ-t7xlO&2|wWa_J7
zy=#}+>#2D&I=v?`{W}`wd7f>G=H1H6sRwJh)WpOhH}bhI&}8ke+0a}wfwART_vKI5
zn7FPvvTU2ovMnXR<fVY|RCUAYr=42nDjupnHOow-TYg`V#LJ?_{BMl86CJsaI@BL5
z;;%k^*S_9At~WLA)}_*(`xCFv-{JSu>iZ*hp-+w$KNXZY)Yv9X*e({hfzPwx;_CB1
z_cbQuO-ktF=~~iw$!O|wgXyOoW9BN__Fh-9b>f)tV&e(NXBVfO<S23$=9U!6eXb^D
z_+9Y#6q{3eOpd3YC@nhh(QnU|WM0`HvDdt!cC7ilvik3w`5%m@XhiSQsy(f0|Egf#
z+|$QyYpDl+@@iSAq_kfAjlhz<^2SBW+@CM8d8+X7g+|NDJ<Wf#B(E4T33?QW)mBek
zJt-+A;LEko{7;?o<0OAte922ak>#B4Y^3Y`#f|T*b^E=!pRB}dZZUl~*RrZ}eIHYz
zqwT*_HtXf<7T>y``e*oan#@)CCuHxh{MqyOQuQL|daD`rPrcrTt>Te#u*@ouQZ+Z5
z)h^xtU_!jcqO}>u-z-Gm3UPgQ>^N?;WOw+aD2q9=vu*U+^XgspFP*e_PE*dzOP%{H
z@5SeR*uVWOtA5lUi!Czo=j&7dF;CaiJ+SzP{kj|BZ{uwpnzinp|C?7)*k;G$=x+Ew
zeEK87@(Yu{RzJ_!++OXi6KS?GarfOnkqyUJ<O}`hnBUMG@3+Xd=~A%foW0Y<K9{Qc
zEptoTSMtEs_M}r>{~TlUc=bK59v$^-N*p@{YE05x=4Acfb#9;ZiHMt9ZN;pA9sOtY
za$05V-fHb%2ew5&{*v<gzO=l}wpZ6hw^@ci`dG;+yYkWGOw*O8gpE^J)mwv3pAhDr
z!2Pp5DBAF5!UXQp6Z?-PZ2CD#@qd)niUqq~cq|ioy17Vxj<@j@iA{^R-bGm-Uh8+)
za`&~}$0~kz&57JO)4TCp>Rq3C)hBag*56|5UHXxGQLcDSsj&4N4XzsBPjTmMZr<B6
z@zXY*tg=a7=9?yD=xKl6_io!X>)l!J6s9F=>@k^Wu=~<pi_M+Cp47SOyDWUE7H{S6
zwIj6ZYq8A<ufLbCUJJd^`R(1)Tj3SnVUK37*Io8NY-uHD>THkv>8E2gADYS*WfsSu
z*&Y<Lz3tKjbNk9Y#tqBY@J@NXdV5PWSNzAB8z=65dSSEj!EgI=4p$xj^fE&D%tiN}
zd;PPvn<_7z*&fMrc2R*<RH1@a{|SMPT_s&o?YnpxFD_q|_F{+b3#HkWXD&tQeZIG|
zD6Hk(O2?wtF^eo6cE%Y<f6!|0+N$HdGtEXR;G)zi-lKc_8D2I|3gVdIZ|tc5s=0%G
zmwr`N07J{I2D60%S>6p3Y+l4^w)|S~dEYbUyo?P=^TPHllR2HuH`T^%fv-;Xn#6N&
zf2Y6C7AtaoEc#jRc=jrn8*@JvE@!ZDwcg3RGmEoAi(gBtH72#`)vM;ZfRxI!OAj#`
zGaGmtI9~ngxaGTP@y>;UU6r>!&f<ENP$pl>bzrU8gW~}mJ5(P`v(Z>9qV%sjsr9#E
zn!ehKiFPmk)SeZ}%h;7PFK*8=w$rbTwJP5jcXGPvJ1fqc)*xCL5UY9Qv-r_24hCa8
z;gB%-mRk9VCwX}Twz!?|<LOqYS|-tOW#gKrz_UVKs)s)<SP)bq^=tB?Wvf3Krm?E6
zm}b}U(@v>hx+?x+l)Bu)6G|tRCY@zk(w8jC!t`z{<H~qh`^7miQd~PTICrS?R|RnG
z+>vi`q4rx|`&_Qo{f5(DXU=)4+je}a$I@S|9lQFnXK!yy*VX?rWsc!xgYZdxIm?9i
zz5mUZG~@G@CE;>Qk~l4@*@f=2<rM2aw-)De-0u=8cFIgaQCP%Q!|1@<Addw~?mF5_
zZ8-i;lWb_2#&GBNEEz4Cg_=g;SHFMtpZd{KHQv(msbPe%$@R7aybn5Ch1fZ^D?UnH
z7a66UvTUw)y1T?&A$8|ep^Hp0B~6tKPoJ**XH>L&($7A_<0pcJn%2%-kXvrC=!s^s
z<`#)}1qB<@Pcm#Zx%!kjVv|v@^TsJpQjeY}T<pv|cLqn~i9+=!nxZo~F0$1qJrTdT
zZ{_E&6{_0L{x12buNOPnPsYmPg-NAH*8lH|XYwZRU0s!u-V^dpmgBm-4}aUwvOjO~
zWn@--xaK;MS?~Y4^7D-rx8-GJd|KB$=aSy=;KaS{bL!;|elMQ6^^DZN(=zsT1-)7?
z<9+wf_wH-2_SRn)KJDOIg(t-qk00B+m0egfqAi>EN@(is?I!2*jeqDoTrYLfw|kD>
z+w}n}*L$t*4!@UkZRXl(Gt%NKvg;PMe_`40QEqee-|no(`(~dqdt<cg%c@di?U%l%
z-#uUYFZ*Kc<ks%LkG@X(<@~fl&q=ec|5fd!--VIutJL@Il)Jq5;;zc#tzX|f__F$t
z;U#wFK%pvYV>{`6hpoe3-!13jnwHg5bEIw6#ML=<aiy;3E*(8DwshOO$-(myR^L)u
z{G?@uX<%#MDcg(ck6P{|KVnXpn)#69^sJ5+(+xs<c6;pW6E-*`C~?%G;1`o5Yu{t$
zCNr6vS-LW=M#8yFvGMCouAQCxa!Ty+>dMnSU-zUvpUhL)W>RtEzJ6){j`wwSx1`=|
z|FJX1tLXW(-II5u%yTo)Uuo5O|7A({f=!LHZOe<<e2$d8W~({1d;TR}uB&Ue@h9?q
zHczSiX}<fH@<!In(^%TX@?Fbzx|Q7A`fdL7SK^abEisLY{ys12|D#vEHT61g|8BHp
zjl0fq&$cyv>A8Et)v`swulqCIuZf-C*0IO_u6<HuZ%O2(5|??kD+3noyW5h_sjvKX
z(;ge~?SebC@0y2Rytwb^oTlKgTA!FnZhKy}EZ;l-zE@;)qNB9!GvljmEZf~~ynB(|
zq_?v4>}jFWN%OrvzI*hcGD)=glUe)hcQz7HZ9ErCP2IiH16KQ8{<(a^ZvCadlP6d9
zUAdnq8&#L(>hG+ab#LRBHK%pl_Bi-5Uo1Ua)yt*WllfZIqp0<pY}#BIp5-!SIRTTF
zE%PhsEopo7(fHfrq)_SbOS{y5S}%_;xn0%xX7=2rugh1Ny%KA=x3RGN$nSzEd*6r)
zw_im^&ghnrTf8G<tA@Q-;0z<?4?CwuI_;19-ViHlw=gmC{6CJm80PR(SM~*e`thqf
zdM@+Mh_9lDZ!>g@e|+NJ#;Nq@_kZSfOPz}6K6~_NT7E<^7uQdpgp>J3YhwfE^|<y6
zyZ_v$ASC+Zh>6~{YHi7&K;0_EGbwNK7(}}#rnvWhe%;7>cy4*~9`)^q4nBIsY*N?E
zZM|so;csQ@^8Q9U8~jk>*|}+w!>nDqb>@AlOWUoo#AwOsh*OEF8R>uazFfC5{%M}&
zn>{mbw7m?vejzFQGSl8@jy2j#eco4fdSow+K4DgqG->hnH3eGRS5FGRYjO4Z@%(Zw
zQ>Cp;_ccT;Elc+vIiX={yY`>#*`~jHvYFOsE<aS0QtDX6_RM@wv=Pf{k;nqy%KIkv
zmf}rw?#SJa<dDBLZ%4u7C50bmdtPyRvh9L#EMxo&uibZova_!{g>QVn=9sado#3TK
zi>?XXny_}kTg&MFv+uIQUx&T0i}~VJ7(L<Rp?HaX`=tzH+zqFPy*8Dd{i|}{&No48
zuYYyqTyJ{);6f{XzjfcgPqB4fdVTHXm#?(d@?N}Ile&ygZIfHj%2QpHtd>txmR&p9
zm6=_}m%gm1C}#WhH%oeSg&*$lyJ1#f6~<7WG;PP*knqF(b1%<dvTOJDm$_bBug1K+
z8p9oTR(x-exYP<^sT1kVp_@FvM6TJp@r3U@S-*K#J00V?JvVE9-4&RX_q^`$fkn?{
z>+C(3Y&=pq<9XU5M)upD)uD2yW)ui+o1D0Qmj7{??0*Jz@2}<>FF!hsU*TU?d9ixN
zB1hf&t;@8|e=z^5UDe!qN1vO0>8`@$_BU^zFHwGbZ~o>FHHo<&cAw;o?=9cFecS)K
zJU_m3cY^bFhYQY4DEjGm_3Xn{v)?@}?PHd)(NwZoK3jKsln#HCrtpL1!tZ*gSMAZi
zY9z4DT!1fo{+{b~7Yi3$3)Cz>@@3898Os<?7Krk)^Y7csc+x6sYpT<+z%zgM2B(So
zzU`koh0{^V=11b&-sQp@W~;lsU)gc_-tNNXfB)^cTy(l+(c&4;tCzpgnq}p_v2d@E
zpj_*QZ|^R1o;fSLqS}2$j8TWgx>M)oR{hfZrx_jbd-Y{bk+Z_`@3!8TEdO=KNb$YK
zvyb;){w$5FZkC(>MtXj9#Kcoqb6#*CmYuzq+vD6l*WY#LD-SQ~?Am&J&$F0sY>$s?
ztG!!!Ws%$!t9vW?A3B(aY+E2*qa7Blvz6zOYsI1C-r2HWHH9zqrmf3;yLmC^xBLc9
z{bxzSZ5GEb@)l3&6A~6)ml&Ekf3Aw&p5X5*{E|1FUR%4o@Agu=n4_EYCxvH8-+bD}
zSNJK6t#?l2{T+)ZJ-xPUlfzA2!;cqqd8U5b>Hg@@rd`KNq$a25z5SnjeeL2!IlH$N
z202J+F1^j<vdh~mNH9RtamkX2@7$ccIu|+%1hBnaXx$<xFj1;aZr5zZeTpG_zV5sK
zyz<`r+WP(W`?jvz`uxUko3eA2vDfG5m`&kW9a1`HGt<KBH&%yOPIg+o=4qYYBUw95
zcB73ad*hkSRvE3^cTHly*vlU;k7;e3bK#2gafM?`w(fQcayjMa<M~B@vsUL6WvS58
zxHQfh?gzGCKVEd$*Lgzz<(HSotO}(M9oIaekok7<ge$qJ%hNr-6h=<nK2_N&r1a6I
zl*H=|va5PtuQyG&?)M^oZ}<JSQ`66{?O}av7<qi-vtEnGx0i=EYRd$zs*#zN8k;h0
zq5k$M3qphR-iq#V-E%?f+|eUi;s=kqW{7@!lxBQ6<a6@<B_Gy#A6TbRKU1+w;#lu7
zY3Y6YJp1aFU-Nc3_gLkekkF~ALZ>zv>zk%+*w7NL&vkj}rWZ@tUT7Q_Po9@FP1wCT
zYG#m2aQ(x%>;8(BupRrN@#8y7ZsV?mBh$a|_o=7+d6=DZp7Z}QqiNnsdVaza>q~4@
zm-DTa-1P6@#~8OHLEq_}Ck_~}yt#C4mcx$EUM&hY4u3qp_wkQ?(`OxA71n<5hQsBx
z^OOp|T~jFg=q)uh$=T&*p`!DXJNut^E>KrjdUtVu*v{mopWCnfUp9fyecsx%W%IKo
zO!@f#m%hKO|NZK-h!uZ2k8`<wynp`f`a1hbDz<ZO?mcq!LizXnd$YFp-Jf;pe0*|%
zSMSbFvtBdlBRTS+TZ<<Oo9uJ+ul<{H@dwj&>79S(9(~@w-diL3^36zX7PkwYJB*E_
zT{$eL99o{P-X6YP_JQzW#>205PMzF!$nUrG-MzuWI*H$O7(a@#e`de7l(Xy4+s&JQ
zoNP}}?r)a;8pK}4Jy(@|+BD{Z0?itW@<py98IAlbzxvOfjTD_8lAsd&-g@Hh_lI5^
zY|>h^jhQbaim#~sV7vE&jk?_Jrn8kLelUdIygM^Jkkjj>Ud-9&anB5Qh2OdmZD_d7
zV%wHS?;dX2{(95CZs}<{kK&X#RtYWHb|G@vJek`(#ZecxwsiA_tH>Qt?B@PdTe(ZF
zn`zNhB~zzGqN!6;e}A5>dwH6ila<_tX7wNX)7)3f7zu1oy1F#carx6DkuMrfZ#rTo
zeZ_V0okZcNi?O2ndEc&zYR#JVJ@Ck$Wf?crtZGfRD|hq#dt1EwZuXtDr~Lgtc}~yb
zbZPk9|K`AxM3))aIY!f>)^{Xd`ro~L*PRoq&!noEO}jtu`9AlL9qIpUb&nXEJk*lz
zUOG*v&`0F`dlxnH<x6JG*wm7p<2Eg7erK}4dcnmDzA<)5oLjcC^}@H)rsq1YJEcW3
zDa}}QE&YXj$Bo&0A9wGpt6sJ`daqF4(Y}JqS6&^Tns-!d*P08jj=yhMGHutIc+)2D
z#wEo&eVwJAzbwDoHTU7N{MGW|J4Nqb|J$%2o%yP6seR4W6S}Oc&OiOemwD&Lo4L_v
zYB#6v`pJE_Uydhw&&Km6+;W$06bkm}v#vXF;$zgSKF!aw99Og7XROpIJ-a_k;f+o4
zP7c1KY5F;a<|XG#YQ5je3IsNE=`EUe<<)|q1ufE@OV{1MSDNwlsP9%U^GQ2n+<$ys
zFSYu4Xa4G9ug3DbS9D76zj^AgdVT(?(48yKKD9brye}&!Z)Ga8py|EqZ*7&Op1)rI
zc;)X;0X_V)6K8!k3F8sqnZ;@)a!Hg|Ku6k3O8k=J!GE!Blcs*$u`;3FJ&voh;!Y^{
zym%RgHI00#TViIhoKAamOm)iz#`k*0A5S@bxx$$5uAI~9^yTXLCHvZLJnmdGBjJ*p
z<Jowbl<9{|rhT~07iKSeq0yz(VNuU*UGr_sYW%Xx`3@_ZIB@u?)I8#ixpZvtrDKn6
zb_TgD4?41az3LAAgw*qerTq@=ZL#gk>gpC{#$CF&_R>XOVF@?3Bl5RnWbfPf-@9LA
zbAwBMAzNR-dS2fgKF?jdLw4=n64mxK^3i*}b?<a9UFCRvg~NZ^wlmZJ*?+3{6}!@8
zp81V2`;Aa$$)w4pTNO;BJa1W9c^(d2t!%A->Dlx9=T7f0SY7q-n-Ran<m31D?);fL
zdF7s{<$Hel#m}#aKXYc@=QnZ_x9RQP_U^y(f7_eJ?_UVjR95^y|K8JC?)bsPjwFeX
zUs7KhnwPJ9aG~Mh`faAWj><}G+O+Qlt50V5`WLCKS=Sa98lRBKQ$Bqn^qj{kl{=mW
zPbU0WkUlqh-r=-}f0gCzW?S!G3b5L{b~lgj6-hIBDY<!DBij0}cD{P&KSkz@-sWx#
zt7&ih_THF(|8ArV^Ln|wbA0kW2L;s6*-6PB-+ND@&SPoE?Ag07Kg?qOcH-c>?zg(v
z_Z}9Pt4_A|PUdAaW%~Vj?t_J;u^Oe%w`r74nsEBo6^Wc53FXY!ti!gO#~FT-OJ3Y%
z>v`m8RrTVv0ml?|B2T<^m8=)_*b=3{`M)9k-oJ$S?+J`MVvOx;E}XM=>O7x)ML*-<
zK8Mg-;SU1>p9C<j-DJG+N?cnO@3Hgz{2O&=eGi@TijR*`cG~GKnJv>6`l+3sdSTU1
z{eazC;@@I}brl4TE#cm`@#5~?mzll)6>RvVx}Y<yBLDcp$<Gqr9u}DS?!-fnu&o(S
zE-Z?lnsGI;y!`CNn9E1fKK(wNvefEY8ei81#{0`1&#qr9(j!_kKaSIM<#UgmIzut-
ze^>6WQf_vhdVYiTw9ZW%)XQdEuh(1`H+kp2$6CuztW9G(d4uu(4Mv}H6-<6ywuilc
zweiTN3CX7IE9`14T)3TUqXicyZ{-V~%2(Diq(zk`yo>v~#Jz59lS*rmsi-E0uPf6`
z3GJiePqijWi0$H9tbXyRNTiBd(#H8m#Lx3>Kbf$bxvNCBoar$K&r4}h153`YGiB`)
zi(*!Vf9O4WDS6t94|_{H&jl!bQ)PV4FV$DZ&M@EjOIUyYn$CO~B}->^@wpF0_xkAc
z&6`?l^w-D9nc?94>#ECbw|zCzmz*YCpmy)o$L_gbdy}(65?)p~rfV#i-g)-o=2hqa
zboU)yDJ!vD#9I5}#nRr(rr$Q@%fu$L=d$z7eaLF-?8E2h<9G6a#m5_`g=8(h%Ch`w
zTbwWVcagBfFX4i`4F}5~iF;+=;s5?oJli4vtp4HhKPIV`q4$rTWPGG@fGggr!<Sc?
z*ErN-@eYf>426<~*Y}kfG>HcB&RpoW?|Es^8uf@5KR0=N3b$PvAm^oUUuBi*t{*B)
zno+Ep61w-rpDHd^7cSJb{d6{DN%0kzEj2k`{%END4pFII6lL}(N_9$|{ggV(C0wE^
zj%(CY#OH5N(DzW#H>k>*udJ@jDw<+c%HT0y^VIR8&2>yJ_pAQ>F#XPcb|UADD~sn9
zeXhUZ*&l4SF=DrsZs7(ueOo_IIYsqVshUE<Yab@KT-tnUPGOX~(8fzQSJbq)9xhK_
ze~HsuWTB-f->*eWCP>ZpR#=@N{&9)YUg!EVE<GVS)2fr|7B_tnead{%_55+oEcKq<
z;S+uKUfFozXvFSy|GmDMOxe{{axvoX{gz_3I~^8G{UYm?o@%U&-}Pdq@YUb?Gv61j
zo*esSkNmCI?UOz|SQ)Ez>FT}qTmL6ThThs3srp<wY4RSkTL0@EpTC_d_TfAE=9#vT
z?DI3STg|z`_iM=Hum1dGRayM$+t+vQ7kvHuKJU|S-M)MOhfF;8;qLYJRgKf@jg6go
zoww;<FaNV)krBs6LG^v<Sw|)&Rrc*L-E~_|`Otoi4z&k=q>aB7#T46Yo~L+dmE@wX
zlaY=Ges2A|x9q`F%f<OQy%w|QoIm;H@ApSt8!c7%t=I%L@9>HX?pxIQtz*?BdBqn^
z8e4Td&V{|Q7BJ?1o$#9P<#L<kjhQk&Q>L$rk?NjW$F(8o#G?z(jNf!EUHru4r9$1;
zj?)6GQyaalJ{0Y|=z4kw`<;b9modcrnQ%3X$vJ+j+|;;rzhowtFAM)twbSp~x+e@v
z<cl7!(lpw`{^NOy&?;@ORbLaHr3uxmZPK6e;a6MdFU8O|JJ(+~fAs2`fcLpy6QX0o
z^~5|UOFv#@pLRg=lm8>0Si$!%H>IkH7^>a!Hu$*o;i1ysXCnIf10DvyWq&MF_v(h=
zlRNz#(WXXIOnv%q*Pfkt<Yjf_d&~3T4jFdlt(p<L<Qt-MP9(5>tB>)BNYeP3$Pm2w
z!o<gu{C;1p;Ifswcm2VI=#L`v6E1B`xRbt(M|Q)Rjj^Y_R9vi?1w`fURT+2Rukdx3
zopDO;`ot7DrH2zMjPAH_?TGO9c%|8C*VP$2yDRBwx81KrM&FDs2n2E%-Dh4B{?lX1
z^BclH=G3`a)IVO)bSAt%=__BS(8EryHyP8OUzz;R^lX+-jP3iU^#}i|6dpQ#>9la3
z!K@pxT;?-xwc7uadhodZnZ*u^HMy0Z%?m0;-_;q;P}<})<FeSPWfC*Ay}!3Pd}DmZ
z?(gupHnK`=YF=urqxG)UuX{h&{JnDO`~4NgGuB_$;g@-%Cl(qQG}W(XEuWx6_vOX8
zbGh2u%2?OQa0Tx^ulk5-YD$vHDxt$tRaI$~rCN6_qgM-6?_v&nxO(YkKCkxhu9IrJ
zmhY}ke-@r2BeTtWVd8-!53b%-)4qO||MrHdlCI+Js}~8{*zCy_KltU)osFT|9tL?n
zYAN=olj;jEIYuw{5;?bhgM?7R7M-s5lkUhYwKloH`SJZF%U2rr=ABuzBCqnnp^suS
zAM3LJR26NFeljWiZ{e(b)|WpEDqfr01@1U}@vn<x)8e<CO(w?=2(uRUalg=d=;8S2
z1iyr>Z|DS%4MFP!x;+=}ah{<(q3B=s=Lf3(dWK6hxaOppJo2_U%i>sKC!_f5ufJ2!
z2|?C7!4H)Bg93N>%9Z*zw#S6JHa5q6jq9*5l3M&+SX3oNC#opP&`{(I_Y0PX9{2ut
zNp|vC#>mWU;CT6IKfC0F&qpWb3n~WwiM}vd%rZbma`K7Ef9^LWl?N~hZgp2!+Npd$
z@ry~AqtcN@dq4R<(=}1~+V}VDZyWQA_uQp7EZkckd-3|RVw0<SR<7#jGo~gpDQ((R
z^-O7Tp`t_c@r$OSU!P5|F*<D_x95P*>qW|M&24)#Tq{%BcgxELsI=dT|B@~3rz5yN
zLe#_|KlsRk0PP#!?TzN_h}XW^#{R$Lk>P^ng5p~JIfc30k77Uliug3=#H1C%HA>z}
zo40@3)+71IXS(lUFD1Vz-pjpybT(D3YMHC?dZYTO)xxPt+iDz`<+kp#n!G1&#_qXW
zZ)`dz_w-^=+PstUQ>}MO@IQU&xjLa<mf_0R=KO%5pwM%=Sua~oNo)<-n3E&1M%8|o
z>Keu4H|8}?bkO~<Ej_m^xA`M;?4qd+cVnM^Tccz7V{&w#&F*cno3^nZt^Cfh;^IM(
z7jG6hW=peG^NY=8*Pp)5e0su@y?th;t6W~oD^vw_hFx<Ou~T*aks7qHzH;|_U-kG+
z$6lxOq_5U9-4|;fY4em%R(9?0FUjrVK1Zz?oK*|w<lmYW{v$cx@=g$!GCRkl^&cmz
zb56?tIQcl|r1hVUf3m#uYF^zZ)}Z4WubfvrNYQ;8^4-eqWobn9jPGk+EPuO?ZTYSi
ziKQ(4B8N_H<Cv-%{b=^7>c*7vxG5Jdy%wl>^Rdil=kg*G-Ywi;<Myt4$3ADR`g?_`
znm$hbF^&^|`)Eb?pZ#(0n778fE|-l0Rqw@0FRQ;g!dDu3HD5F-BT?wp>*h^Xzr)={
z@7X1Z&D$__%l7RVcN<&O)q?JbJ)Fz3J6-yXTj%w=9*-}pxUjSJ&Gr-wzr_CYh(_FX
z#doqE)z2&5uMXO<@0-!x#ZycAR(D=wDyW;q&ULm~-+9|4*-hM2J1=qGKfm>?>_wYL
zbIU)9mN932ow02C&hU)2`nCJoCvVxK5p~<zOqyZwyl=ic4odmwKE1R5+oHN{8Chma
z(zokB&M3_-xqa_bhH8Apx@G@64u@Z`<?u1yr}#B)g2v=<k@IFw=Wjn$Rw>(c>EYrF
zt9$b|@lN3QKaIm|-fxD3zt2tC{EH`8p>3tG^PC5@%aff~tUV(tHS<&5O*s#jH18H$
z*Kd>a-(FmlssFP@cg~#G>AttBEv8zTPA*w9hy9vaQ`IwXqe+FSQ4tw-*IPDRuUM12
zZ3@?p{`@M{)R49BW*_akaW%rS-6mjbY+c~Ih}iolw=9s^n!9B7Y7<+NXwC<^x8h${
zr%x2LZFv|WW$bVKSln8{!}ZxkrG)|$83kGIGH2vms<rTuj6866*M;X3cjU`%``j6~
z&#?S*?OKVgQm2!A*UfD$|Nb{5aZmR5sL6|dU*G!W$KM{!mbZb`%a2ACY|%>zYTkNm
z=i2wLkFY*`ZOz`e%k(w7zz<P%`L3<p3#XRu@Uy#gA@ILfA)B|hXNDI`zU180aBWtV
zIoB(Mt}mL}l>L_Jy~^Z8x0h^*b}N&35-V{%jpb;X%d!sNT_>imHxi3aWvE`euzJ%f
zlLgf#YQ;Qzig~*7T(6rKPIf8&nfuHAS+Yd;pIFhmav{EU?}eZB3jVuxOHPXadSb%y
z2Y2^A5@DZtW~#s3yc)ZoXRNp+1SiYAmx`Ln;P@h#`^+w$H=-qPI#lnSj9XgUy~MKV
z!l}alr?znLdhB4k9wl%zYQmPjtxL}B^$YL5CLKO!R>9mQ5)G*(4v#&B?s-lsPB~aQ
zVe)0(%O?X|-0x&x+B11!_?%0c*OoYI?=GBsY3V}ae^bA=gloUh*}pA_r~UIkH<PLI
zjM+>|OBYW5H?@don%SfaJsfcYbDvJXR&ivBkD91%)Z?AkW>l(+K7V6XICs%MFP2;S
zAr^r<YO;IXUFz*P-7f6B&LjB!yy$YLF4MyoPBF$TcglRxRR2_&&AZz3MfKdZ@tu3U
z*X<E`b!6ex4!P!~3p<W2k<eMIx-e64X@~xr-J!xlaalGevo5YWxz+8I^~tKEnlqAm
zLwtYiURa)Y@5_Zrhf+R27JdCw=umF#(&Ls=^?$A&I(@qS*Y<xa+&$`6v#)cE?Xo?r
zcUqxeLiMPKsBYb@u%`97u5tY{#eSBFZN8$%kr%<<Xze8XTxG+XwW;3|3<Ku*UGK`e
z?pytkOZW8o`K8$p{A0U(i>rA(=OnL;aa?`=!R*%yC5&2kGd|dR?&#jPKl}np_fIQR
zKl4diGEy`D(8H)y_8y&m`5ws{JPYNf+N_e=*bw#P<E5I*6DCd+WDVb4))Ube@-m9a
z)m~dwl}oj`v2y9hx2?>NiWo1yja+n_LFkg$)8j?gn5>&4uh!&6|0+9|ohC1@uDU^T
zUGs9Y?`KvCE#J52r_r6refK2mQ_{-~wzHKzvz&E%daOVD?y}u{B^t$@d?h7CoqVMm
zim!etXV{s=X05X`i_L1<&a6k)Og(%Clde}!t5W&dI>~r*>sQ^lRPNpSg;#rL-DvRI
zeB@f~fpzCnPu*?1dhBYNftbT4$uk@ia`=>)U(7ma!m#U^LEM23$uo=zFBg~Yznspt
zT1iJIp-N}pQ|Xtto7&pHi2W=P+uG#(FEy@FB&|`TF}3tR(;W>fDcv>OmP;OdJ;lCB
zw42*KyS{siAOF@k*KnOj3Bqs9`4?trzs}R^=2-Y6eMjKTt$)_~2%IqaxN>LDzxEaE
zw*q}wTJQW||9JHMioAQWkGApOi;~R@+rw-)q2*3y$dgPPe%bqCmm)9p8wd9oUyc^}
z!?%A=<hsMxT6cx^rN87#*zSL`KxNADz1`;Z;{P|*9Qa?p<5jMv<(dzoXFiM8q~CZW
zu3=c-oc_+uZEIcC*Zs`z&prKg=4o2U?0?k(Rqrg%-}&5=b-12i>+IRjXO_;sr++GK
z+Ns28UFLh|e|)b|YU}xrUE}SSF58%Q+wZ$buFw+vo4)Df^#_-h{txiGDe3Z6aNhLu
zdNQZAuJEU2GiCDW1a?^&t^cj`Poq;<No4Jk|H_q(wf9{f9(*-zQ5R3rZayLDyP3Oe
zKCG_UTXg@$%4=WGubo-LQ?Fdx;LWJw_SH;&t3vzCwSONgzW*Wd=zrY3YmtWa>i?q`
z1@Am$5zBr@zv=yo2c>2&id7opzPoF@I{jy}i~l_H?y2>jrUx?WpVvoR+cm31bN!B8
z<~-}8nl{)6=Xjn{@18I#N8(BL`)j>6J%=|h5?fgrDHyhQ_BXBA%DQD|Hr!{~b5YVv
z?envv&gWXcZ+QCdo8PPl8#@g}CbsfK+>W~4?WHLD-PU4FF>6NbH>r4oY=(Cc|N3;&
zN{=2}``<|7)+K>GD~}&~>ePJxp7ewpJ0B>in5Zk;Og1&uS+!lt;`IETTa9-s$t-DK
z_$tV_Ddxt9S8u0rY@Pa`sq5t@mZHqVt9z0|gKvA){fg7CdfBa=#gS3M)Y<oN3l~$=
z)(>$_HICOdtxaCK>Y-Y5jpSvUwor}}!P$Ay>3v)ee~R9#Yt3~ujAD|~{TQjqA18Q#
zB`ksIf2v1gW~Abxl{2CZQ!-zkso%i<rZbRF*?QID4@=kB-8r@I+cU<k2IeY1y}o*E
z`*C}Q*wPDc*E~!8y_2*5y7o6q?^An!RC{>cj+|*CeS-7!sRxUvtvB^B&o2x3_px=&
z|1%H1*x%NC;_-L-a#Oi1&7Qh4;gct8Z);mSBuLc#zPNRT`}w%`doBlyb0!&ja70GG
z+nvzS^fhP7|IkIO2C-toac25^e)h({Jy87p^@M2CJqxaQJ}H_Zw2#|0zv`vqi*|mK
zb0<wcp4N3c%_y83c_C)8U+kSFu7doH{}{KgT@+N;=pyr{fphNmg*~EI%DUff-Z?)q
zX43=SfEkOEcOHJ*>S8mKLGrZU#0h>qof{3KV$!+p&GKzr)%ee{xZLZGvezF$*Vw?i
zS1zf~D=H2jIl{2M)^iinh1e630$vXp3ue!#JoHq<Yp%qhIda!F{Cjx1k1hJ+!{o~k
zt8eZ;zuB?%yWypyGriJRmGN!eHFagSOgJ+K`;3UIzCQ0yv!(Nho@Odq{>!aId_UWM
zx%2zP*GT*k{X0w6Ci&8DQ<o>R7X7#@*O=XGddo4|Stoz}=`{XLdqO!wrBfyz+86(%
z?0?eb!-~BVlBP~b+P<v)hWaV?sT26~eE*8i{>A=(QG@=y`uAn~CvD>tJejpPp?lS<
z+Ut+lw<o?hn)o8&{-gF_)@li>E88QkZjJalUsFltY2LD3OW$ynU%$KP(zVOGwQrs2
zs`^q8U9)J{>sMjnDsmNfZb{~6OE<7f=WbNiELd|>woyBpb?vdHtH)F<cE?X{oj#N4
zRG7Wrr_(3b)n8n}#JMY4pmN6=y*+z=WF{@Xw|vSoV>Zc)7oTVLE6jKO%y;B_#ND`~
z5{L8BcQ14E-O9N{?q`eHRW+{8<1@n;#QR>{YwgK78>3oXy@A!I=i|k*D#dnl=JUnq
z&ne{*4sFnDH(M=Rw>zp-TjKxUP~NAL9<e-raXj<7O1FArZ=QSI<YhgA*RONfZHr%g
zyYK$bqSeccf9i%^nA+Qwy|eiJz2};l89t}EpPb-+wuSr27Vc+vHdHS#tnrtg634e;
z#%$v@_kEi@&U?u3vYOqjA0hY4dG^Dd+5vJ_={5$*cdV1|*e3JLGt8SkbLHvYS?8?1
z{);T#ZnKebTHEd|KV(E6rHkt2Y}l<oecC#|*hfbmmS0X!?%%)f)sL43zL808r9Tp%
zSYOZ1H58p3nfjaUWb()F1}EPfxteq2?TwRppB^52Ve@6tiOwY_D#IL?S1-^mS55wO
zXz_FrwtSyM@8dh?eG<R1O{DAELXBB_7SuLh-@&`x#^dF^PbvA4cVoN%JhDtGm7dR^
z6`8up?qYn9%)M(9qgqdY3;5`!a!|Qg`u?sN7v9>WxhAK(UOxL(y7smCuD=q((GF4h
z+jndJ$uqdy9#?yFyYIvMK9>88KGxO7{rR$Y&(YoccK$7>?7sFq_+OOSB&(gTC*|Ck
zAJcegfr#Gq{xcVqx8HD`9UK?GVn<`OYviTBt{0V#p76WB$o0O`<qg$mf`nB>B@Txb
zuHAmkV7B%CKNl{Y$e33B)a+fO-Pd`04(Luy-1YHL`M*P2Hjj>S2AwqA#oh4x^ZBd0
zzhChV=zU+uVAmA8ZC+e-?ZMgzsh6uHJLmpPwfk5ZksrBs&&k8TC4OIy-*)!|SIE;h
z&%4A<Noto&xn=WBW~b()E&Eiy`Rejy?!22HXJXj*%x1;9TQk<)ynl!7)=RmH!`r`e
ze4laE?z3@tO#W%%gsXd^KWtmRU`Al@gwhvz2ixa0X&qB^3_EDf7U}i2@)PT4uebAO
zvN_nYew@fXGoO2t1fNOx;gF?Od1X^17~H?9Uwby0mzyuPf@Q^u?`!#rdem--%u3AF
zp4=_{B~g!c_8-Ppw_heNzD=6UdthzB)9$D2->1ISEp=;`Wn5Xh+gLk0?~U9M)vLR>
z<uja24EWV@W=%gGACOp{_xQTZhHlf|<L|$Pgz$ddaPP|hn2G;4hAx^J7tC>k{cO#h
zUn<Kv)i<l{$z#5nUVgn`+2+kxE+t!7$Z^cS^?s&pj6~eMIiCgQzSQmc@?g8CnatY7
z(b0+plXK^qY~ID%y8isy2aBHV>K4je^x9bPNtNx9ypm;49k0(9H$I&G<YSe`-v}>x
z(_gly=IhUCpQLedee~Oa#qrff3y(d>Dt!KU;g-wK;$1kGo!#G{)UTqf%V_1K`Y@c+
z{qB?C%GO(_mkTvTomno#lH~C5aWJPt?Xl&Lp0kH*9{#Uc*1ax&`K=2x>{hn2)D%^h
z$L)w}Ik5ZG2j_>e8vTyP<<);gv~2#;{6Y9(tUA-xl$a++Cq4PHaz;&wxX=foy3@1T
zDpxMNs4Mo=$j9a3nWV{Q_pW6xtIqKL%qvvDWjKTR!B2yU-xdDEx}VFno#DZ*qQF;o
zdfKsql?xB+ik1GI9Icb&+m*EPp!__0wWP4NdcI@TMpGYJ8$J?xT)20s=IO}5nO?cm
ze0UO;)$O@=RngN?_Q(Y7veMb>+`j&@)2U+hi;x$KdtVT6K0E6Dq}@rEr35?5CJU9+
z&gN@g9A|T+tD$j|;Aft=uF&I`zkgMHzwRr`!_VwO^E8sTT&g)P(0cp#?xtKDRinx@
zyF`QX$iE>=S`W>fu2TOiN%zH^=qKDg3VD0KD*s;kuY22bZwH6SRS~Cx|KErTxNx4S
z?nm&kESdF-S@!%`dO-g58UGE_R8~F>xc_5<|3$CEdne>SC=Nc)S-7^0k%?y|dw7V3
z{6cRT^9p|d|6e(utACN_bUO2Zv5Rey^F8-`w-X_E^F7|3TlKE0^L>!Eb>^WhDuMTl
zdgZpw^LcTdL1P>5BSkZoms5J*U+B)s@VgMo)8~Kum^XiVoz}}Mo2K`v7pw{}TQoKP
z$4Ui{hR1)6f7-56I~afZPlMFe2iK~Ew(b8s-6Z|raqAVKF^N}JeO&(W&M$>qXRWq}
zu}v1qZdyL2_lbne{SUqWZ4~)z{tC!{;=Gl%PyRwZODng3{KFKd=Wmx6JU{S2<k6!e
zhYq_>+IjcsLF-#A!V*%#ZaajXCspW}@7}jy)yJpN7w!LfGwB?=F~88NFMq@QkIC7-
z%j-PL=5J#ZDhR6Hyu;~K+uKOxvyT+jZEbCCJ0G8?bg%v;1EYQ2edmwg>)(H<`1@PV
z>x<(2Wx3Dzw;a2?e#yk%sP|b@YW#Q$jPfRIF;h5bBggoe>sZ+#|7)CI<O|&#)^3*k
zyJh|%S@VU#6-JBi$8^5kzd17ao<f>M#`AN(E5)Z*-111@)f&+mqp@Ei{UH<At^m89
ze<dF2_ckV7ta%>1cHZ5L`A3~|1f?&uZJPMrXQoA?)$#KSC;vI4Wm>N*#Av;Dj-S+-
z{Wia<g*h@hg>1EqBoCIJo~6*GAJDgHqM-kLj%MZA%dTeGOqG_`2{D;=D!kz0_a9*$
zi`*{TA6eldIP>pk#RCn83pZp%*iB#6ACPnA<ldDh+r`#wnPa@X*Uw$S(YjHs#nesv
z{`R)lIlNOc)^g6Ca`Cvq<a)aeYT9$JGjF+Fy)nnq%Kpmr^et;^GQvY2Khu*5(|oi~
zUcSC$haL00_UU=kUpakWo^bc@PR6CDtPX|dxjUqK-}O4a$$9mnxiifq<D`lO8N-vF
z+T=(H`R0bNo4fjS*y@TD`y=m+V^-Ybn)l?;+NaTdjHOK$$7l63S1w($yK;$hPU>Nm
zP__A1A^E)euR@aJ`26K$mn+4dE9c1&+LOs^@w4I8+DEL`TgvJ(g;m1pto8I58_mCd
zReRxh{1!{=9HxkAhcllggos_(IKOF%&VhwzG!^bGEZu!YlR+ng?P~P#?(!Gwa~cAt
zPI)R@zozw(ZnPzv2}h9A@vsj}GC#DWkFAJ1S!KOt)0w`o?6+sGoSbm+K^H?zciFwE
zACI<wyb#dIvhhK2jgUwY&w&WO>2DeYMLwC&dRTZw{xDl?-n@nztK3SLGkDdqFkP0s
z#l|<s@&Bx#Zeh85$J@M48u!0HXR#~eeq~!^N8yFJ<!bBww13WA5@6T(^Utqyw+`vM
zifBduwr1VYWxcledQ<Y5ayj{lOzg+SQ(Jd6^>Bo7zg(4`_*lC_y{5LmIPd<#)~(+P
zf0lo%&wnUk<kA<k_9W+*;8)BlqB+dIA1n@(%>2_E!?^Kk<LBme`ep0XI8EbRd!Ai)
zm2l)=6v8HZ`#|KfN&ZcfkH6Aq4BPhC&w{D9;&p|6te-{lo0In+Yeeq1m%Dd<1FzKf
z^G7XbpPi}Qr}y%6yw{0G){=iSo!;f!8_%hlKJ8<uSJ-5}-S65qf1hp_qr7E~Z2m6o
z7TG&a+oaAUII?KRJ5Ldl$od?e-l33~e*2i#2O~Lm=DCXT%6<-SBpB6~?%SWzeob}}
z+svz;Rxcl4RQj@if|QLMyGPlbKP$>&{*=!*?%SUs_O5f{IlF5Mzh2*AA!lroUMSKu
z&oA)i9B%KripKxxGFJ=Ng}S`GA6uRyRj=8&|E1BJ-P`Ri{4sgx|NhXjWg9Q2UcVx~
z-=u8Q9pgTUGw*WcVy$QOONU==mfpBpHd3#(w14}_H}*B0{L`%G^{lw*Wj&|$?xwb?
z<*PI2xjA}ozf@bBy<^#>lU3D^Ra1FQZ!(4*Xnb!Rc#E~Chxt5{)N02+|8%c7evi8D
z#-rHr;iC1oTWq}ScVA7^e=W+mbm{F{L%zA5q1J7Ca%w-jvj;oZPP^LvF|zh(E~DI@
zQ%bw<zI8U-CGg_Jzhw(QB;Ii`{j%Wyt&1KEslLSysg+YtC+bUnIPo&$DRU{W&7YTy
zD&D96E;Tz*YJa|TXEBT2#C3sLnVz;bL2qNKI=3(LI`THb(<nzS=LuuGe)#)!`rW&x
zq*aH6?Y?nPeAAoskCo-+Do<l&m_Ba5dA0xD1RrhnYBhd-s|z`&X2*)p{F-%2=*;|%
zMepM09*vHed^kR$+V8S|@s^7XyZ2k0G5M_WyVq-bOMcM{4vtdWE!XbcoUakfSgf?_
z#A3@CcTe{@o6Go{udx#<TGyR5)9dr`=WE_<=#qV$Rq<^7nMlrUUGk4JF5C~wJn`qw
zhpU=l4DqE=`F<XXh6>exr^%Ks3-W)RYrXAJ`l`#@-!km@*v(M3KXz%vg7@o6bauaA
zcjA=oJL#(y2CBu~>kR~pyX7Qi?>s6lv2~}bx$U~O{O7k#T+7{m*d%y~SnX|o#q_r-
zCo5*|dn@)QO~tRpQQ>i?o5FMb>Uizm2)*EM+d`I{)aE^A@oSs$+bESnu@`<S!B5U|
zG*9n&%W2+c|3p&$;&#E4tSfJ^8fv-w#C3~I>N+zi_VKK`BQHwQ6!}(6=(>`^@>e7w
zPsy^o!!u~7LT)!lbAp@iF~(nJK|6(RGOhIZZ1dn_d!3@QO6rjmg~dF|_O;Rr?`TKP
zww~zE&iSP29cN>W@#iM?X&qcIc|xrhCAZEN^Wcu;O|`nVOWLYQV)i<HfvxtF>;M0G
zrsY+cTw@z}gZt-SU9;C3j}9}no?j;Vr6^hHQ|eq7@78@EW~YD9l)j)flQZdwd4kBq
z!ln(|<ge~_l|L-T@xA;}?Cn$gD-K7c)NAdORZ!a5Z7<uZW>BwjoN@CH>-rt`OZ~q5
zp7`&|-^xG5$2=Y-t4{m=?Y*fOU)D>duEml5$@cO0_)pC3l%D8#PsEiy@nfsGnXbaT
z&qrE)+D^%c`lcOZZfRX7y`8K7^87Wwqf~`;Vpo+<)(Ad!M~z3$O=2<or<C{m<-gWv
zbp4$;Goz+!cVMv9hNXQA(pJPRm0QGqO(0%p%HH}j$A1a79Q*e4d&KeTr?-AyklnM(
z{^Wdt-Kvk>&uxe;JS2ZpkR|)TFJG7E%d`Ew_ODT#<Lz*1dFyr#**Kf&e@$YfDkifS
zmhyRTji0km_}n+2n7z`AzIETuJ^1H>eIIM!Zh4tNrH?^ZB&U9GGdLtsY%?wSt2iI?
z%j&C>3yyE+FU&i;^~KHK?>8ICgo}p%RX+ay?(@m47k1pV`tZW&#@w8l^XK_b<*HZf
ze0JSpyVvG@9-ox054|a|nkMXh<DG5O=WLGYmo9INaqf9)`E31D#SYf=WX{w7kG`~i
zn4{CNws^abWcKe{Gdg6Cm-szCWP12gL@}S^-xmg1&ZS4hZ*5xdqf~z^p(*9(q4?;F
zH9Uc9%Z+=Zd6K?3uQ=)Ay~=*^i`!4-izn%e&3p6JczUp3@~pStE#fsAJI=aEelGZP
z%suGp$L$M_Exhn&!tJ>$4c1$oV2E&5{g|?UPAlKz@ZU2TAFfTAts+{P_E19bx3|>g
z%fC4qt(>;j>;9cl@TbA?%w^B^2Q_!^bB0$5@E@4)(d_D`bJ-IzAF1B%zWt}}1B=n^
zCHKpGriFfrkNBFmxaqZ=$Dd>K;{W|{zVC4ULg5CBz>AZFT$Hx%^?tN=<23bX+jmJP
z|9=cxEFl;i!?xbv>s8jo53ZZdl7*RA%eVJrd~SbKcC*-Xy@}VoY_nF+Nmh|o5!ZKI
z(Yf_CeD}L!;!L)7-F*5w`JomPjCW<<+<ki|MrID*o9*G#ytO}M>Uhakv`^Ze)VIL<
zN3({j$}y4H@Lh48yp^?|AANlCF{uCHM-zvsPj}{5d@A%aICo+3sW`#kO(L4M?Xu^d
z&TKr>;ho*2(ysM7*P~bFq(WM=+HbCvx{ErV@CaV|wAi3)^{q;&gcELNu?4&2?8Iy;
zW|lEnPI?uwWXj{#{U6Raq%K)B*LBk238FJnEv{~8(wKN_LW+LJUAHb5zT+QF9Fo4=
zOt8P^=Dp#Ns10v`g#N{2idz?+H0r+V);Hy~`y|(sb^8~#m#mZhx%b-UGLOp_Qax>X
zC;Zn6*l}c<+C7I885?sl3>*^eCWw7p%6TjIb%90v>ge3k`<>gg{&K3zyj{O~UgzWc
ziZ0i9EkEscveax!cQ*dj`rq2+2=D114JGpecITJ(bt_oZ{OnON{}o^`f6A%Ap0KN{
z944o}s5+=9Y<BXNtd-|1Unj#w!mnzZ<Nx}33*EQQd|)>5#`#a@f){<7c=+Nw`&~Y}
zdqw%&vrC<4ia2ibbYs%2c8bueS?Q>ENJ~p!DZ%#K$HRKtN?uy;z4k@S$$IW3`LLOi
zep{w55B~L9Ys&B4&!jiD-{7>LE)aS}Xs&)ojGypNn}eI%zg+K1HxlZ9UbF3$>&IyO
znvT1NGeR40$$NUYt@M|ks9_`^8F=PrhLKITL7)567Z&@Muzr)@<<Qdc;po>Cp8sDs
zFE*RExYlTPl|}2xoV)R=O@ez-p_fnB{A8yW`!8l)t`xj=b4Fb}Z<6)JTj!2_nI4+C
z>wVO*mov_#*B6PD`#tX0ahz!Tyl=_L58Aa3ega?JS(aRyy?^VcN8LP^R=(>L{r~z>
zX6o^BDZ%?8Zzp`(!Flo5X(JP6$C>$u+2YD4@$lGn&Q>(6+UpT3Z5J~;!mDzJfSOdI
z8dst2dW)=+hpul(IbU{t&x3!LX8*mXb#iLf`;F3y*5WHmUWa)Gl)U`4<=D1oe5YQA
zT|3xbyl77?pP`BBv}x}ayjtk`$9Da;jSr;*_guH_c{qK`7wr{Oa_-%5c~;kZscN=G
z<y|`?^A^^UaJS~gl^aTpHtKFXyIj|&A|^Z}_sNCQV$c5LR}b^e3yR$M+i9uVM3bA$
zkGAE<YP~9AsE&V{^@9JRA-`B@XEEEB{qHUsxpdvk4WHZk_1UH?InC}_WzWmar%x06
z;GJEP)xz5U>%iXG-jO#y?Q#m0PQJY~#gtw5^wJ-TJagtBPnzW6{@7N*{ep8uf9vsY
ze;jH)e7g9sVAHzeWh+nTc0bka-S^aQZtd%@mZ_U2URwK6^R}Acqve&{)Aq5tmzC$b
z2NbW*DVdqiFCOmrG3R1z>sv1Ct*%?No9DAVm9sp0Z_mp2)5J3@zq?L&dn<UW|6k8F
z{;cz3`}XU<4H60pS|av$*YB@y!{=VS#?QX~bp4~#$@4P;P8{m=&aaqu{kB}o*CQbh
zKZiedlK%Q|c4487`|bWWm#m94zFcX)ufFP|onwv4%8zwb@qXbJ={qEYYR+#EH}`uh
zs8m(_e&f?x%R@}(Dnn{6|KYuCd}^P>>*nuI`zwlduUHpr%v;HAy|0GNE%rs(;w6f&
zr%2xEKD&kEam~UD%#W+S{VX!9^z43SwBPd6gQH3@$uY?@r?_scH00X&qew1=cg?2V
zTeCDOUBdEhiZ5=N;kI5mJLPuK<Ar4tm(>VJfBN$*v)`;Eyd}IP=E=<NpfX$4H;;U*
zPTjNipBP+G_<rtp_q)659!zHKjWR9K-74R-JB;^h|D#VY)Kz-9Q(6C1<}7g%Kk-O)
z*~7!LgLMzvKG@p4+`4qT?Ar2UAGW)GU;aB|m8|1gK|iysS>J^kUsTNw4>ez(biPo+
zu+@Vj{>)U*GZQa{aS8|29&gxsdf|+SihRA6l@H$Sy6<v8b(OgK-L#N+jieWw{5N_Z
z^bNeS?86z?OHT58`<66r6|s+eYuT%I#OPH29nMel=gGfoRj&?tXZLwU!DXd`Uw76S
ztJ}LXebM^7s3&TFR94>JuKQcN50+1Tw>5V6IsKzkzUEE(;p-H&<Q4Pm1<A?ZO6yFQ
zt(kL@JzuCuDfDVi(L1e)Th6|k9AcA`5Pbgp-90UezSka!-wC*1lAmL9^i<TsHKrCj
zJ}v){JC*;>;u9HD`F}Mpm{-9aXJ>Hh-8-BAsYy#z*8P^;_44eODRGx|h5gs1wL81L
zDE)o0<hSk3vsouA+%{^4{V6DarN86V!m#Jc|4-iW{Pg?k<eJ5opIo22=<0=RW1~+G
z{(mcdUjO=$)W;ugX%`)*g*=%4=E3sSf6~%i70hcb4gWDm`5k`!Xw@;sZ3#aY&HeWK
zycly>WLC~<?+IrwO%UZv`<7I)<r{D9<0;b{<fDGRbG;KiCAeQ9<a9vY1jUmQ%OZ>%
zC4at&RkU{1nYqGxztj2EZ=ScVZ5DrS68-M$Pv@)l24!b!6-}mXG1>F*jHErc+3Clz
z^W*ALKJCb_<5;|ESJ%qycXjuVn|_p1$PbOVYEz*&`}(!w9wpVDy3J4ConpQ@m3>Q)
zSK0L4yYjDZa#4PKm1XmeTjuwYFZnAwty46w)Ki-BPOv<B=}EI(nbS)@-_BUq7LXrs
z-`jQX${)XqxUaU~ovFQgVbt_D_uj@XDVbUlSpIXz=dk~lu3bvgXYlK-P1@?eHMs0m
zvBf1Z-jhul=bAEhUOREm&#cq3UC)inO2%IGqsLQjbsL`i?qf4AAHJ)&^UaFvxC@fZ
zeet2TdnQ^n?N+GTd%t<l{l~8V0;dOB{%rlTxZJ<*%RHH-W&0{pj#qegEIIJp!EN`m
z7qQxv_m-w<=LXC0+Qv-XH*2SO<zrSsfwZrG0v=3WSR`b8Qu?or{NA%`FKk@6D66^p
zInSqcVT;di3*5JKS&5C~@oP~pzkND*Icn#Rx`$0w`y^a-t*UjaG;j6RiF~bLTdk*`
zBdB6@;@G^ulWiSWTlECy-wVud56u4)Rno&2XDFp9V&tWms-&2DljCap+B-k*<vqTV
zC(8N3G%!%6x%zf@(M#S}eR_{Qmh_#f{C;Sk<}00s_~_+g5AIz2qT^`jUfW~kk)d-#
z^+M^wZpn{(Qx}|Gq;tI@D_bEtE?GWxuG9LO=$DbdX62|>@v>IMujKBW+yD2;_V&K1
ze!Ab%bB<f7r?g~>)ZR+C<o?%5<D7z}c>BhCx)HGzXJ)H!wOd{Bujxs*|EF5JM?bkI
zobNMQ6xCYoXy3DFVWi^153-7ZRSKG&N~{+u#2#HQOYZSo6t!02vuCn;%+7}P?yM*8
zL*Lb|TzJhpf6J$7ynLeatDSnvtJ)$gt?s!$d3k*v&m#SGA0NheIJnn7?L8Cp{N?8(
z4<hCNH#szXEBR-$v^I(P-sARkjq~5;x~-R;_pRi*zoMP3bL2nE(s@OBT(;dumMgA!
z7kK%Fb?xfkGBxi{2!|BL#qOTq`bJrDi{$&e!cpeeY_h&xS=G^;-!SJA&vWDNt<$va
zRxK=DdG_WNg*g8|Y7dzkm%UrJDQ8xy?jr47@4ruudS<ux;^W2l9QQD9%9l57`E{=}
zUDkAFwTbQb6E4o3XX2t?xy>uQQ#0MyR&I5jL&^DWVO92iQ`b#@x$iMnUtre%VlVf2
z-mAMsGJhCe@?3hSoFi52<rO{E*XZTx&jwMGCYOCu+41Sh!Q1VOe*-Jz?TYK|9ls>`
zZ8FhTC|RGt_vq73{qp3Mi5XVM6eYDDRWa!FZfpsYmu34>dg;YAnVg)e7bS<bZ~ykK
z?fG23uy1_Jn@aCqYh3j1^|VEKuMfW2b)oqyC%aU}S4aQq<?LS=>?U5F$zy91^E!8Z
zgxJman{$uLue7=G^0IA`!;J83o3vXu``y^G?umBERbJyb(A+4uI#^WsUFS@zNcQN@
zzgyW?n?xsuYRxi@-Ki1kA0%@kecr;5*!Pp%%hf(#N({UBK}ey#y+5nG$>ZL}#WxJg
zS60L-m>+XIYU`+S%sf!wMWeX!PD8m>k2sYK)0`a_{El1l<k*fCYt%cA%n)U5yt23A
zMDNGIv;G2|hSpq6Cl|c0tm-uBxH!i_RDWUuOGxEmC%anjiIER^g-?p?l}XO7T5@6X
zb+?VdRbQR1ADratx5V#C`0h)AE%TR1Og#T$AxHfCNiOATUl*l?O)NT|u;yXPnoJJ)
zo#rnKN__>YeFf5|zxw|^&60Ns=czMh_un-2nCKpxo}uRW+oETY$i$N}lieJDD}Grt
z>*4W&cih)cC0vY{?CHM#<Q=K&cj5wtcV&uu`eiS_5v6$dU8?8zGuO_o&Tf5?{(=9R
zsmPajqtwlsYo-Lhaw%Vty7}&0=8R0&vI!}V1B~A-xmKja_+9w;_P?tv%Rh;&FxnQZ
zZ5jSaq4U@6x~FrDD`i7^Zm&$8v$%3<=i}%))j5AF->)><7SwIA`;(B+*X@6}ETTU<
zt&rFr^w~1|bByqD^S_@gPJcSH!pJQ6e(|&CnX0eye?2YOm%MrA75@t97u8?4>DMxK
z>)f(dGGX&N9Cp9_yYZK;YK9lrKMa`J`0)w1XwQ|aJey~WU&`B>Zxei3%{2RXwtm2c
zfbi;Rb9YWC+gf|r!FS@7HN5`&930(Z&nn!mSM1$Z`*`IngO!ooalhSJ<4U(KzuCr}
z`Q)wiudFpo(tImE$%{r@x%y@EQt?Y1x6Hp>S>bV3>cg$iq9?9g{jzDM_$7ne=JQrO
zoHXm|!;{ao6IQIYJ-KhggtjaD7OpOHND6Dd&KGhcEco)-wzJFM*4(-f7_DNs`p{%{
zEuYmZU#6I!U%s~H)`hy}#G@a7{*&c=bXurozP{lin+MlU%op0hxyyIy!nD8Nyy7-|
z@0Ii3>ihLiV&m+k+uN^RJ#(MQj^*|J7nSS6*37%FU9kB_*`i&uPTtm*Z&J<sBC+!3
z%ID3jd1Cjjxo!C?_Q7t(KezM2d4;#1y<^$%F`bEF`Hr`&EGCw%|HIcti>i75PChBk
zcQVglacae72gUoJA2_kU&QEdkUt4*iXI}K};tY#|jF98^F1)Qc%=g<R&03TvD*Mu{
ztLKYtjaT1oiB;dK%E`uRnrZs-`OD&rnx3<@6=`+CJio3iF<m-8{qRD@uCrHP1td4e
zs#_a>fAxH3QHIFzXLj!bl3SlnxV4J4xoF?))k(#rR#$E$TBdB<dvL){wY9!~ZJrw!
z=O^Z@6Kr=|UKbZMd0K(NiJ4c*KC9)tyZExH<Jh%_2Y(z|-12ByBiFv44-WO$d_35C
zw8r^h>p_La`aN8;wy=Hqe8$4WD=9ytY)@iqt<u(7F`lY75eK6zeYmzB*rxMUTXvPa
zk$kjH<i_iN&YzLLzqKHD`};cu`=4)<eYH6FZq!4uh4L37++0QWOZdeptn3d65;*l~
z<D_lhBej=IuxN5P6@Ro`_N}VWhWUIf2eZ9nc&;09%-~tnbtI0b{mrATo;OcF`+IoO
z;v!L%I=zir;pvxZj5BtN?|!9R5?=66@=Kv`-0dlQ-%N@;pt4tC@iC_z(+UqfNoSAT
z#^gD>zPwXy(%<*f{vCXsKP@dw#$j7SmqVaz;q4hE6B4X6c5pi#dg{Qw!eNHt!JCmk
za?bWQr7*u#U4PBG^8UvZ<9}!PBlhz=o4&!-!Rmn3f5|`B*D6iv{2(sPtoxGZWMYoe
zmXPXw(z}f$=bRM0x1puv-;;MNZ0j=fB~qp8i_UBQiQkeIX~Q;AI(G4L?n9nO=NwaV
z`sUBrE45j&Xkud1-yny1$8t6p|G2+(+SX*<j~gWNK36-Y)ts){kai_9;Wkt01Xdr0
zZ`w2VZCM*WU5ttCkVo<SxrgG{{PIfwus_{b<Gu9nr?Nt?dAohsRZFrj79XuR+;Pc)
z({}lX`eRmk=XsmHtMNQHzP*Lv*y5eRvHMheL=10#i#1Ps%)TX_&DirsoN?M><}IJ+
zAN;?pv`^9KhSjWj8f&L2oY3l=_JLFE!7=R_E4QqT2ixp3_1uI1i@rYnvbr!!@$%Y}
z)oWiG>GvMmv(nXv-~3&v56hB<W4)hwcA6L*%nx$7cdT-Q@elpz&9YbO_cliMe*VGu
zdHTUSLfbez*k?SqZ~lKjdS&M0*gfKG5<C)Cha*?M)SS~SRyJR%piD`Qr=cVuXuBA5
z_<Zv>UQ!w>pNsrEIP0j|@9h1FnhP3ACQRI-yRSu;DS1g_&y;f?Du0`BGn_m3?oQI5
z=f$UkYme+qmB?|t>aNjhvAtsb38pY%7MH8nMDyyMT%DJ_4)<8;>sqO@Oj)Y4=UnmS
zJ$sd+o|Pqd{!iZh^yuOB=UrOvZvTF)Dtkd_o?m<NsUPm{m-otDShaZS;aI7fev2A~
zEmif&Ja_ipomo@CFn`&{8}sMpt~jx%@!A``?|Y)}-ne13vc$gP_m>QQ^9P&tuEuS6
zcd>#eK;1KB#igGa^}J@6A~$ot@mTlqRM*KY&WN)6I_nB=%gYFU4e*)g^X}<g>pQ+;
zwvT=u`(OE_U~A5-^_+|@(QX@l@*mPqj9q5FVNv#heLA<j9NMcsZmM2AgGG8_uW2mL
zOkUYo9yJZsJ+ks5a_e4lU(AaA(tKjuCa;K?xpyXQNtmOu<gj{l@sh*pQQSPocf8ml
z-`Dt5<?5|>;VUmoy@~d;f2qDu*-BG&VyEQttGg5r`jkYkx*D!D`B|BZ#bg%ENXOJ2
z^WXa(YMSz4Wy9<qN#nKW&DLEO_VSGLy~=z1&dri~jY;0VJ8bq#{5~{qzSyHzyYi#t
zmd&<%w=G@n(9Zq#KIM1ZIh%j4deEqHnnCXW+-p|$UUS3r<Gp@)`g7U@9{ak0<=071
zPStNGt%bZ=%!EoJP0YTOOxkCW!`4@_;&^TMMbih$ztScpB+rhSoRB<6Ws$P-wwp_p
zl{azn^&e*oZ4FLnToP6ISK-~-h5MYoOimWM@@DcQp(`!fNhOIU*;`5+eAELf<hKR8
z>}bnh>h-$DVQG%GVXR2m9KY9dAMXo|GFO?|$@OkapSR0o(H8mdzA+p6U(BAtC9+8Q
z=!~?UlUo{nTVx8Ru0ON=p=Er<f+x&gQ=b{Kd$C3qM$a#~5Ml0e<_43ngCoN+-?rEZ
z8>YT#>XC}JDEcVGps^=W{M`++l*T=~6j);R)G%;m8LZRi`FP6dUE7)&5f_R+E@~`{
zKlmp#CotHt<d|4e%${`&Ts{Wx{>QwSt~>e6ZO#e2udaVw8)&x5>3U^w?=qwQPm1M}
z7Cp9KIBjnJES2-S@07g#<?`-m`}HqpIP+|3?Z1m{o+aXQY(w6!hS;>c&G!Rim^x-S
zhgfB7lP)@b<B^iWJcczhc#@}W2z}e+BNc5?{85PM(cZ)}<vG=x9Q1c99EsWUr=cQi
z!y<hhW7d|dyf+OtE_nXPm0f#ZQ|hT3abB#k#@i-5cvQ-KB)LiS|8u8w{*#-QW((}w
zQ{~F^`oM2LT}E+9)f@iLj^EyH$E}ifrj5;Q`bOE;*A_ih`rNi~Nn@DL4GxAIt3AUN
zJ}&D!Z5cOJ{*P>FVY<`K@3Q-B8|~cwE63j1wl4bg$LH_4!x^^e`z-Q4-PPSA)uWf_
zoqooR`+#5D!X-`r=4$=>y5UdR?b7G(?;4pHyw;A`(xY3Z)p7EM)11{;L>F{&ripIn
z^<(!fbyn%o-M}!5CE;3%#LAYnHR36EZXD`dJ%wSxOJ3!@$+zdRetO*`aB&Y;+x`np
z+k8AaE*yDNkyX0UXI<x|$!p`?d~VKK9r8j)rA#Vg)pH#c)fuL5be3%2c=X-(2}axA
zzEoG->6OViODQ2NKB9zc#Y*W-X*rI^L%UX(35cz&346O=E^6wV=4mDq=Dm=cu76SP
zm$&~}J&rRs4z;eHB4F@@_wmkT>nW^UZ<{6*P5s2&w&LKkeH$i;2;`ZkoIcXjxLQOu
z=O0&?QnL3%t|ZfK6P{?5GV>%hojscS__Aa7U4hJG?wkVxj5ll~k0~dvUTK=Tt>pHJ
zrTz1nu9zCsUH33@OIY#jwuAfS)gl5Cf|q{iq$sR$`Khx+H+<!XNRNs=ok#!O_~cd-
z5&Gh?Q}*V&)0Rwo*8lPvciI$@h5n{$zuJF#S(t3v7r*p>1@Dn(vr1QdJg_eK#y(Eg
z+N^6;-%Qp&*gaEvTJg~^U6Co5*DU>JqTlYk*xc;;mm_=lxw3v{U(#I{zMR$e?BsvP
zPk)eKZ^GC6?ncaGK2yCLU$wWXEL^Z4O?Tz{XZPy&wAJta<DDwicImj-?(l6rvavg~
zTGu<QEYv;x&$!qn<8<(zw=+U_9X4sSO+CdjW6l>d<wpW+o2Tn)PSxpH(3P@v`cutG
zIu;AMcw#xse``cCEb5Ah;W0PnFyL6$b;MPnpMjap(arbBD%Xa_ZUyC|40jvq8XA`<
zJifqj*FpQ9_w&_>Z;i9cUo`&-RmsZW3};%YBrSGWQ<qsSlT|WR?2xA5^Zl}pZas`v
zb$=T?wH=R5Q?&XQw#Uq=C3iyKe}VPBcjCT<+RNHMirAf{-`#GZZuV$vrDBfhB(sMh
z6L~nIS1$2Y4PC;obi>_qw#cdzoq}#sM?QX+59+<06ZQ4!wh$Y^Jk^=%J(c}|c^Wku
zrt7Dd*NDkEv*`a7tXX`lF`mWesz>t=*=rYgBTeHLJuPxz4rV<Rr+GVRNs~m+^ffzV
zS{RyUBxn^zAJN~@Dc7;%qmY`z1R)<$w!@P*Onuo@A*uhiz*6Cz*Q}2CcV4}EC#HOy
zWIUZ&als+!V`ay;>A!x{bDDp}0=Demhdu>4+_*czcd}}g9(&1#Z`u*_dUPYTUbKCj
z#4^44_D9W#-%ko`?(<GGirdApLV+P${qPNyod0_-_~z*bi#b*DUA`tbE75#f%gwko
z(efcJTb=J1GKx+~c)MZqgt}wi)%TrG-S{@wdSdsS)*Sa16T8)B0@IfFteE!yf52zC
z0^gL_I|i;xJf|-D5)iVa^Gh772&?oZCXYZRj|P{j&{YZo0gR4}u8vE+dreps9bFt)
zM4b2+w)?%P5k7Labb9T+uXm3>|GV#gzR_gs;%9S;)6ebwKBxG9vAF%d|H<NibbF#3
zI*e6cW*=A?eQ@<9&Jf@8mnKAX?C_dYXYj6uJ0jT1@#}U6PkXlGe<V&S%{_4A%Nc>X
z-K;*7m&o`2p3--vts~fkGxPA`Tk*BOS98n%w(W}E@y*xCS^Gw{yOV*-oqTsDAFIaa
z+kbf5mqs_qEL!NUe7N}=W7JVSB}v(y=lQGqta^k0N*BFkS+^{Gmo-=GW4lx)F3FHj
ze;?Sq+};qow6}6rgy}=42{HRrCWgqIO;*{w#W4JG?cMuMYroI*@b!2%Pc1C=M}fA4
zN^T9e`)3x*a^2~Mo-WLB*SWs^vMu@KE5vzh?l0GzuUBFt&n*ksa7RgWlHcRS_Ltn{
z9KCjFzjVDTU(;dZ^mfk6q#etr8o$a+RDRj;N=zmGQJ}uo`#Wo1wdMSE{2zIW<%#+F
ziaFa8Jm2`oZCvp6v~H2z#>97bon@peA6@Bj>v5G0e$g<$KK94oQ%^qLnf@d0_ind;
zDuPxy%YT->-NE;2{cqWB;e;cuc{*nM+H1b`ysP&0n5ob0>wEB~*6NU7t|iZM&z=oo
zkH{0Kx+bwKczakHN8+(_VgFtm?|ArW;*7Od=T)+8{ra@Eah}<slKa07-FQ*tpMC$=
zs+g_sAKm$Nz~T2TkET1*uBYW5nEEx;>Zw<%Xz2Z)pKSJTJ$CNwO~d2+xa!Xz&E!vC
zJ>`{d&z)5tlR`odq@~A|PKmw};L~6f*LKe|E8}$5iuLy_6fU3A-6lN6b7_OimWx_P
zl=N8aZMx;StAFs<etGS)enQ8}39p;VCUWeW@H(rE=}5&2o{JvbN1T5he6ab&LxT@x
zHY$CwmslPtnoW@5&f!&Y?`c1ju~)0(;{>x=HZC{iWxu5N$9JdB-?3F)_UBt>|C}rQ
z*Zkxyc#p(8ZeiDL{GmPN)q}{r@h>*~RocdNc!_<<-g<F=k1KoErf@6YC|I~T=CE)Z
zlWuYrv&oCXH4Vwnm2{Wy5nedK-jQ$1TNjNO-x5P>K`#ZCU4P~-*4txNtM2sB`%%c<
z&VYMNf?O%;PMpVErQW${?C;om=uu;v{kJ{mr&rv&_VUp4WZ%Df(~j57lU@}qq;aRF
zuKzUOwQB_*IzCo57i%kiU+Pm-t-oig@vQAT-lyi7%>6pEc#)rH%EHGQEL@YP1>fZK
z`)2RhxAiTHdW>&+Qd!gF1dfug)dh>V^S$qL`Z;)>TxEUGlUZPnLs`@0f|$04eg&V_
zN7m;p_Q^QQbv<oiwalCK2kU>HZ$5J4{mOmy7T;DB-HqK{SXJd=v`zBpq3#9WE}yxP
zRQ2KDznAZx{LfhNt5UZt`S*vfTOU5Yw`Su*e~0f;Dqp^Jyu0Q4|F`2I(}zsQP9Er9
zAkZYaH~gBKO_gTx-RBc|uhf=*ieG$`wdoPRZ@IPebBX516+J1F-=*YenYFlYZOEJ~
zv$!z!rH8DCp6lcuvzk}mZSDxEOxHXa$n1BWD{k_;C0Sa2&W{v!YI*h@wAd`RVOq)Z
zSNWa$_iyNZlXv`K@9xuU=We)ov^1$Ns^!hfeM*r@LKWtp>en9K;c!P@Hf{Rd!@J`p
zEdR-7`|sgY<1n4R=!}_p)Q5EfrQPnPb=eCQ1do0wUbwkrWz8hZ^L^Vy8n#_$$ThC^
zt(R!<`tSMV#7`E>tJ{QoicBq!=CCi;oe{uM^0s=;YVP-b_dAOnJ@2lvE_^2+;qRHE
zF#Y&)ai!VTul9wVoZel3#9eIBm6{3_yKC2LOG`_)*F?;m!8+YUZsW<n5$ts$ogsHv
z`1yIS*6L0U7yAFhaMOj;TV4J<4!Rp|9$v1klzX%OPK;`Adrr~o*9QV8dhnI)=&?A*
z_-EnY?c6)83W8OPICm&C7H{}-A#UP~r>dDY|NkEP{eQ>aKmE6V)ZaOC<KfW;Ju&%P
z@#<+iJ*E6_JSn@<SiDVthv=DS_hYkpMEpL#z1wwZ>y{&7A+JQQ>~kwB_wjw|{Pxft
zrJdF<8tNGn^O(GDKAZeAAY;bt{vfmG;jiUp8<^`Zee=%lwVcUcmgrAQQ$9ahckfQw
z+#ho$>8r--uD?B<!*Wxrp3^(EqNQ8cYwxsP)Nq^eSwG{m%lBuRGoG1SpUlTuy!p_U
zrYW0_Yt~8JwYh)MpJ&JHBU7$bDetgmx#KI*m9_W!PU|MM&y`$Rdr$AMZd>-L^35T!
z!#k}{G}bdFcGq~_+;t!{<Hn-pL1s_Gvqfiba4lV$6Ec4**8;uCL1hu!w|eRSZDBj`
zt$V8%_unIH+Bpxej(WepBT7QbEmf&`TKkM8wofKcshG8+x$t79N0CCxo^8TLZjTq0
zmOHtXX7b;kJHb##*7C|OVIw26!sU7GJyrQ^eP60K?KF5d`MS#TdiO3RmTPQm@1z>F
zwjBQ_kZ8U>a(zm5cKC|g@+0P(X1gC*F5J=gV_A;v>i?G>M)W9OS8$(qpyE~Y)g33e
ze#LHj^To$NJV3&_hhM~P>4dfwsR0fGwjl;pIxHUoT1|QT62!OkEkDMUEtg~;*SSNs
zX4cCe$wwk?WIFD?-LXp`kkeYg!#?6m$6c<DX}`4h%gS8w{T<4GmhI7!pRf9_{WOoP
zNp!t&@Dzvb+puH7i{CV*EOh5<$WF8PX5^i9omGzi?PMR9JBRLixu0{`XW6l2?SrTH
zms?FOmvJf0EW0Yp9%$ETmUjGoN)DIdpIoa=YPHATUuomtQv2EZzhU$}-NO#1pBC1x
zIC}T7q@}gOyq8%$+b-BjSS?7n^Gj}S)150uw;JSk1-l95l)V&=uj=;OA~5B8%m<Fk
zLQkK)Qu;M1IrI5)9oMO!;-<!2;qdBPcs@TnQNzvm=>78Son~uFvi~}r`@?Z6Is5Z!
zce(XXk6m&5>7lmXK2a@HH@~=Sv)IuDi3eNv1kQeXam};mySMGTXeP9w^L5C#?=f>F
zPHl;biHr3Kh`kz{llv?-`hM_UqgmT`&J2xx`gZ>=8{@d!X7^*)KHDB7^`<lG-RwBN
z=wq_?PI&B?9MJkTTKpBqier4)QC<oD8Bs=OGn+nDJpbXi>tM{I2!8?9txWb|vMosg
z7cOW2Js8yg^;cNjx{A|p_P_dbp`tdG=i$bDv#3RtKP4w_UcY*tinl=e6NcEmyZUl}
z9B33fU(5Kadg+hynMyzHd#8J?oZ#VNu4^<cqcZ(saALz#&WuC<gslGfxD+vdP0p&l
zE_3jHik6Bo|H-{4CY-h^u~ApPSW!R8GkVp_yX<MZh5!3RJytLI@jOTLPT24N_hT=d
z7nz>+Ev>Tkj{CKJBKj8bSxHZYO65DNB3sp0&a(@%E#8^+ZOzo{H|^GU)zz}iv2@<}
zXYHDjC7(WamKg67UsEjdtI5^M<VfYq?=P+YEi|jy@pg8-#KgWi%{9f=no$R}_n$Y`
ze!pX`)TLvqtn-%FO!7F>cl+dxlKUUFzkFAJ?AuYviSka}^>3g4?OmUl$nd^y$Cf1m
zrGMLQKH=?K_i@pybJ^kCtYu68nR?m0nN{#J`8(I&*-QTG&+*@prxTua^|CZq&v)4*
z)thr-TQ4+hyUwq(scvrYkC{K+{U1Gddo6Z`f#toY&j+o$hc0Fx?(B2mezyM+hfT<$
z?=vR8&y{#|xmWPV3FnnBPH)}%V3r3<{F_JIe^Sh%Ua_x!_kZ@C5BfgV|7EiE>^S?Q
zMfds?|M`|7_$#99sPMFC_C0b3=D(X&s#etES-s*-K}vnooD60QsSAH^I2wDNE;Mz0
zd8kp`ZR!!pzSZYlvK$sv&w5ev@WAZ{lc()&z5ScTvYPkQj3X-<+2`$CzC|W9I7I4`
z8q2HMM<jbLXCB<)ar&dl#mqyj3IY36&V}5$cl<>B(P=*=#E;5WUs`ZFf5i*FmdV$J
znbuytTCV(ebF{_AiSMgDTla6@99@(nv@GtK{rj@SWXD$-?@xs7O<x<zwO72Wu&UDF
z&Z+N{H<vS4OU#hBUZJVicvWRrmec~4xC5cT?WL>jW6s(%9?P7zVSkrvN9L(bdu}<n
zuqOZ5XyLnpCCpv;6w76%sh<w9I2eY+rE-X@6=zx~y{1OYR%F?lcCm|FM2_oaMR6rX
zItu9&uU6npn_*VvU_48<&4DB1(&hu#1nWKH)nqiax${bNoLgSUv&>&B|BXSIk$XS;
z%A;xgXOHj{-<TJ3iT{tqioEwz!%o~tiduXk?fl&ciQJaJQy*M@uCtLfayLCLV5hpF
zMC643j3qld_XYQy?_Xx%diLp!CM&L{yDs)x$8Hy27IWcFy`5De^22XN%kIvoVs|k&
z{@II6Hs`KX_YufjRNIwfcITJcii0`P>-(6FaSA(3kS$NnEa~9vstmu@|I)Y4qoQFG
z>l5`AvqVpFEt0gJA&__Q+#Pe@lEd=y2WGq}w%hjIqWzj(NW$K?Mn6Bgs3m?q{7Lr;
zkNF7?dBZZL6LIaEa!%QVo@Z^HbN1E$nvk6{+^pS&*SH#=Dy);-(ZiGavpM{=m-?J%
zE8I)UtOa+g1=b%E{x$Q?n%lB(<YPN8|G&fSxaojwLb>c7ZrLBVWt8@$$lafIvqItX
zgVu}hj_f}o{7Z71xRGSti@G``-f!kNO)tLKHRna*#u*ddNGO_pS?m2`C(9P!_IvzC
zR$slopzd?|UvsYQClX#hJh+=(_1bNVP1|>hz4bZB9H(h&Ru=Akn)7kR%(R0gsb3Q=
z+7|gd&-u~(uGC0DBL9}Gsll#AwTms{^&SM~>c8i((oa}>J9$@D_R8gxw`bKZsrjcE
z@F~fk_lC~&+qYi4=KByU9g%#3`@?+x&vW9v)EFL~{tz`U^}w%bp--Q^Dvhd+{rPFu
z`regVLZ<PO_Gvq&u!tYq5%cTPxhL<$at`L^uK9hmW80mBw<2;rrFOU$)``uouJ&Db
z^PFd+XL~~Tia$DP<uhl+%+-Gz@>IrJz4+7Sh`Zg{MT~wXPt&d)Q<}`Dc;9bVYeYnZ
zv~+#u|I_jHk9#fuwU;no&wTUs>Gc(Fl4HD_xLD<)cv7CH8}8bC?MYUh;UcZ&8_n2!
z<#@Mo+_bFx*DdJ#Q!_jH;mO5{V&^|?j=1yw{T!RDTpg32MmHYS)roE19q9XRrM}yh
zBa;>1b?;gpzbf}e-Iho9R&2f{HRZ)>t{XRUUZtIwy)G_(wnJ{G{v-2K!cT(CkG*9M
zV}G;u+I8;Pq0G;wfBN<3xw*J{lFr*d*~|2&Ej;gi=4<L&z4;y!Kis#8Xnp7XUYhsy
znz;B3o7+2OZin2MdE<Ox<H2mn#;U6u#jl*@YjN_s694nfB-7N&_|8U+=ACOgYF7!E
z2_$+ie$cJQ!Df8uj|1nH6BR6L)7BZ3@m)D-Q_g+yq#Zl+Q_e(9hss0#u_d-g9He%I
zS?%<1`Jp%=IOCgi^|jgVJ3{w5yS;jperS!;#P>;mESj`le=o7y&hPg$W~X?if42VB
zgIY&!PpJKsArzo{`eSN~=~rgE-JwZRjyzlJe|%vz3Db5y@lGvi#x;?iI#HcdKVF$=
zOgd;HcTvCZlh3tRvL9RSWmJmT7J6=96TB&Xl8UEi>FwPMb03AZFW&Mnai+)Z;~qr^
zj^F&&>ax_<W7dxE=7q~2EOIXRl6hib$)e{W7n&5OezVYh`*7xxBh!|Mbab=rQI56n
z685{<G%@EWPt4)j4j*<KhwqqZex<`q>(V{v^0um{VI>N(D%bsYO<ujyg5~%{Ccm~P
zRjQVk%uX&*oE~+s;HBR-75CB|mxWc{MXj4rlCH4MTm0S?F0=M0lgvc#A5%?L@{T(2
z#ItXYuhFi8<;PF#y)?Idl1y+x?5q#_6JydIUfKSTVfyJZr(0G#P0CV#lsuSocGttX
zCc7{HuXc4?o3#2}ga3={?OX0Rd-MdIWPkLkv@@@plf~<jNU5~=tWFj=O-~_p{fkE#
z;}}+MxwBB^oJh=GwK*~cncMCz6u5Iw%twjk)yyNFw_T>U&B;}@(#!Fj^Qz#s`iaKu
zfWXY1^ONQ*3h{q2{m32HnIBfX3H`Ry*eqf997*HVZ~mKqv0vyBv3})!#}t>Eid)Hv
z6GFKsb7uzo@#xvfM&4;s^ftPGUnPyByryT#1iesSqyA&18HX|zFBo51ddk;Q(PEp}
z87-Do6H@$ct4wQ~l6mxu=k!ggGglv0XOL%M5}mBad!#huu=H(%G=UfOjURb9H@z~b
zn!$d>ay$P`{-(KxJ-H#5Ps#=++?!h$?)pOeXWfdAJdr^=Uzz=C-geSS_TEZf{}V1u
zvo1P)Sbcll))`yaGbbPYr`9bJlgHs_!^>&FDpR;XPUMnY`V!kclTO{7bc(rfr{V1c
zL0^k%Znl8LA5!;tn*MJ+v+{IE<|(<LhRp9xmp!cSPgE0NQupv=wA^*%!lT)9R5<GT
zG}L_xzgqN!_INtKZb}QC<*?s)VSz<$Ok%;|*pmStzd8#nvt+RE7MjoJ?aG&@V{Rm+
zzkSu+dWSbx`(Eth{t$nH#r~<)y1sdwjB}EOeHYZ9cG$zq{A;`I0<&+AJ&u?r{hzj^
zvP1mE{fuVUwH@~iH`ecVN`A%tC4b+m43Xb=8kS|*G&N?a1_oah?@gM=?ZTiQ>tN^<
z5fsp4Q08!2I{%nt&E2jS?%X$773XB^`?bisX{SWuz6AzRtraXg)3z9tv9%<%WiAkR
zKJX~Q*tbwCg>8``&zk;aSGR0YQkwr*@XbL{$qp8__4`Zi{5~i5c`09!jexvgl}X5r
zvbNo2_Ql*4mDbi?w_PT-*<9nAH!&^Udr@dR{}SQgd5bQ+Y1|=|`{TCR22PhbOEgv5
zUU)p)-rzYY<GXpF#G$qF%AQMZhHejDIjbP3<40y@+0$hc1Y~ahpSDrV|3Cj;Q_}~h
zbFFg}Ef#cn3KXtaslBD9=>M?i<s%oLB}*dW{>pJqDN#Ltm!Y>u@Y;Swe`mcdLbZ<D
zRBB6qY*qJoV_InVvc=(!dhDY68Ek^;xm9J-;?p~IvKS|;zWgjS_h5Ga#Ofu&e5x;(
zKd{R@R4@5B@T5QI#>fe`Lu5S96pP$hvPJj7)VDkmxx(2}pDMH~!fwsb^>mT7o8xz8
zTBnyZ`=fi=hhN`1ux!E|b2~omz2eHXJx8T>%jOB)>JJy&kXviwt+{uLwXNsnzY<BO
z-h^_`F!4;O7hbLS=Tx%F%q<&Vl~wr)`!Sxh)y-XVr^KGc{j+h`AD+k4wi$3TJ`PJ$
z%gj{NS*tWNOQElQyJzca&sN=&?MpmWznavTC7gE1eQGuJFKgxJS?hOwvOCzC#J`hW
zE%S{k)3g<*M6-()f8NZZcKrXJ@4MT7hHwA8yM1T(?VXPk-#sep^69%gW&gU9Xa9b_
zzw^@)mT!%Bzi|INd-%`l+duCfzH{{Loo@!^rPldVkA3mytXLQLb=AMq`!7XnODdPL
z+*7>ub;Cys)8{k03d$~j6yCl}@Kopl{>KZCU*`Cew&QUC_npGT=r6q6w7>8^35_Z~
zec=33{wJzjf9^4;<Q~Wm_B2R~@SKo1b7^tLTZi<u@n2jT^^=-RL|@m=D!);^Q*zm+
ztzq2vl%lJb9@t&Yp{(!8lc=?LXQ0nQW{Jm5n{S10I$nJI_`&V0Ynax$evc{6a-75S
z=>7X?!bY5Th0jHs#~!pat+@I0)fxB0t}C~%(vp7t`EhV>;>=^0!}k8muH>9~dD_3z
z%qs-jUQT({uy$pG$o!WR1$REX`E}{kqh^m^O+9H7w{>e?XUP7eN4KqM(3<~q=|fY~
zsl96_etTv2NZsV{<+j>WQ}2ED)@a+eiuX^!vZw=6ueV%%_NHd}`(ux`T-K`oIHQGM
z@u$y$M^W?sAKR7ER`d7Qt|!Z!wk}Wns&T(2dxFO0)ka4OIy4HCdX-#4&hoJs@$b{i
zd(Qkw#cxe!<1Wd4LeF(8j%?gK%jULmnojX)g|@q&R7C&O&s}c$QMvDYb@H2QO6~tX
zt$FOc_Upbl0j<Ih4Edio^`C#mc}jG;d}6{2$+dfryieOOOY+U}WWhtT+9Ue%74q2M
zPqSXNyPR=y#lr6oSxauQ&h|ev;rt;+*+b#`4gS9V@qPYcZ=PBI)Ga0zavglScYckg
zlvb0TS3}$sB?&F3w^du?c5SjV$=g-=ztPkBS4gng;eB(ao!`&peCW&ni#cW6glb>*
z)V%+#X!lp5kx$OcIDTD&>-8fK|9hF;ethY_z0mGOHHpupE-pP7Q&z%d=EJ4yp7=U^
z!*R7QWy|mRa<ZED$}c;xzgGV5^_HLdXO8|ik7)J~zQnBVbAOJ-HGk*uV;-FEl*QH6
zZ_7shQ{S@jA>S<v(O<kzJ`^4@sFb<-=T7ih{ZpnpswPR7xm#3~DeT#0bNLlplVXni
z<&Q>398TCd?k-@-JYi>QZFiyd!R1F~_ZIxU!vEu~iDNDg|G$sh%l<EL&l3pkzNb3-
ztV_u?-HTGQE{1TWU)&t^<xH_)2TRm?FBa>Mk6ms};HocqGJo^Ude+CU{(iplO8?Z2
zf7RQ*Yt+w3J99(!w?<t1*|pIE>#v_%o9?;c>YFXGsoSmd{?yCY|1{2tj}iQnI4ifD
zMMiM__S@x|>)OO-80W>wy;Ypy&6!&HXz|ze*QcuGEU>I+zWlqD<G}pH+zE?+9ezIL
zzo^6g2M&@(#TlLIZI``8t)x3LZ}LZXJDO{9|GD$$)B4%F${POo2ke}5Sf^-5b&;~p
zargJC_ZB=XsGk2ytnfp9_etF)|Kk;u%F?r$%bKFBEaS^IZ7!TtqpBSnu_#PMJJe^h
z_DA~*iKneJgBES~U7M7(@yoxkFEV>}&)XyIes8XK<fX#}cjr8;GCy1@KTqG={Q2>z
zu{yI)xZf(bWtpHg+wSTOQ-h7x%O2bey>nS6H~jdoyah&ApUpiizS!4PWnr9)uECL>
zRo%V4>bIjd>{`_jB$D`#=~@4&d(xuj{~9-6X((JAb8gwDL(>cPW!0Wbt}5PFxwa}h
zZ5!{^m0^3AJ$1dIlOFInK6dTY)3>8kEuzEna~BEmT-B<%o~F*EwP~f#spZxmr`$~X
zscITqEjxA7&d+zV&qUq&9_8~h;tKCXt4nFmpG@ELc2W6~Gj&tm|J$a$!9utD&DV=}
z%T3nDzuR~F_pQY5vVUKHyj#9xy=B?`!2N!=YTZBH%K0wa$$IofU}^HD>n6HxjXP7!
zBQCpKxcj8oyHQlD<{Z!c|8CW5KOWnn*S8~%M<d37??%q^x7;7@L>$OpU?sU`+cL4`
z+x&j6Idi5`=+zwak8N4fw#Tl%wtW*8Y8O3sPiVIB>fn<nZ??Vm|1A9|-t<TPM(zze
zyM-bn=k?7wy3gMJ$=fZ4krS>5Ub-Wm_GU%(=8D7hS(6Ml^(~$q|KW3(%trAeF#;jW
z(w`Y#`ONaVnvE^^)!z-yxsum2IM>$i4Nx@Oa8Xb2fuOx{?h3Q6S8L+pH=m7-;*)jy
zc4L)~*qT${1={jbs@ksYs@2&4I8n#)%=yXLe?3<$`gP6m+f2c?jcV^=?&>Z4Y8ahy
z^3&3|>qS$(PcK<rb^GyWdA@^3-%eS#ze=&F<=pqT94UNOp;My*atxF&)Td@1-lG0B
zDeT`RwMEt&ZkRq;X1*!vE`RdWW64)aw#I19Owhl)G4Ym(d(n0E2bcELTkdg}U)B`<
zStD-q*LGuV|DKOR0Wo^QQyzTLXZf9!ZMOV~a52-K75YIoQd=umGKz4k?sDI=?pdN-
z$g!I`d+V($<2PK8xSvuPbSeGEr%Nk$tXG;o-9kv)bG_C5KeuWtJC7|%$)EaIdh?&x
zEF#a&F1c(d-^D-eNo!HfvInQVH+4=;3ICjTtLWM0+6O1g9{ox1jJokNZPL3L{BPUu
z?QK1lua+9KZgTk-HDTA@{d04|^-SjdOQ>|al>YJ4B<DNVbq-zK({se@eX^a=3Qy5}
z>-#!Rs#VD@vzRKn@nM2>`rk>1m)v<B-utIDGyH(!z6;$y?O3K{d|ex`d(XZrmRfsN
zr5xv59<S_T+PA!bO?cufLv5Cc-U7>`{yXpF_kY2qxOGwNEAi`7t8cS*H|>($yP(qc
z#Hk+#m#*6$e6(rl+#hn@wc+zu{!`t&<DG)XJhzE5sWZKPTnfycf5_ocXRzxO?U+R+
zA&cF=-%+@}hMVV1X!!dnpLHU&_lVA09Ct<YO6WQ>AKPxl_Yq3XMm8&#T=`Zgxv0iM
zJ}WBateNVaTfc7|c3+d1Ww@f6N#Jh#8Xw7+s9Ni-93AuCZ8RzQdh<p6CpMSgmlg}A
zlvOQ}pEp6)roQ+-cWL5H6R~rf1yz)cRARiQEAQ~ldbye1!z#u@<|%Wk+`I>d2~o?;
zFEYh-+O6cC;Sy)l+xo{N_5uG@x0!l(EGu`0^vS*IaShwmr}NUKq&R5WsiTQi=HJRK
z#5ty`#q(Piukc~Db#hXdkvO$zU8xE0ky}j*8edGDucvVK$EN3p_P*vR=BjbwJ)wVn
z(lh=EHHC`1_58JdPiP6Ynb2o)_D}DI3VZGo)BbEpvG4P%`!V}T@<dfVJEqd$JDU1D
zHS^b>+bdDuQI&ZkCuMz-Q+mbA4P7(2n6La?wVI!E-jvrioO=yCZyeP>C-TYv<c@Qb
z<M#L!g-mOiYHV=m(KI$u#S5jAo@m%|YNsZ!?5vV4y?H>c$9u(HUYCcrKi8~z)4_4c
z=(TwgdtTu^Bd)T<9k*s3>c~&h)L&X&F843$Wt#sEk&DTGk#SPyH-GQC;=Y7$zVMag
zyjSNM!aOf8%47`eQOdYhbmg$#vP@Z5mkTeip5*#^N49bA(d<3TTy6x-D_5;ll&_e`
zZF2JS75Bd{+>h|G)wv4KdfuE?cv;G7%F^lgXIQDqJ+%2UZ*BQ9@%e{?&iO^2d-qhY
zasHN?UrU4jc`v=EE#KraXX%FG#i<c5r`mkcp6_6zdA{<^)_+@dvjV^V=>DXi`Nl73
zPnDP1A60>}*jPuiUhkJVTU>Xvsh>TltZ}K@S>=7KXWP^KLvpLMC6*L!oZq|5cG2t5
z)9ym<x4uu@^5u?n{m$B#CBN5ykgL;Itu9_%{@_f<i7zuJa@rKgu=N>Be*P|Q^z81o
zhQ~3-YCd19{jOiOu=dG39+i!M&OSM3Y{&8EcCp*^ul>)sH=bJj&2Ee8{|E=GPfyiq
zHl@i&iiKKCd$L&jWJis{pNG<{&2{Sz>s{RPNu#U%r5<BrRdwxD4MVFX*|x{uotU@p
z`S%YVpQqb;+f8}OsqFoOf12Q2FSV&nGv&^4AFtKjnQ`vpb3?9&jfaih6n{-n-Y9-}
zCa0o%+Qp|GEC00BZv7JCq_V1U)|%<f8J|oe7ROKGjoG33ddWPU*=22;RS$d0`xXaD
zL_2@FH1|lyCe>z{OKwpn+m^p(n>qQBZ)CUdtm!uDKWbf><KKM>F?mt5Lxl0=@750o
z9^R}|{N?VRWPd+RjiKFt`^>{U?_>?Xrdt$roi{glqQR+}op2#gPnqlI^OTtRo=@g5
zO-{W(=i!_4D{Q{@q(!>MK7Z!=?m)^f^K^~h#@}{t37p>h_TU|b)_rxgA5#<J1)8?L
z60|h2Enu#4VBf$M+FbqZ`pIirTaWl9-k!f_vw-#2FP|ru_up)JBy)~8Y$NAfcD{7w
znd?R66)oM1AFU3suQYw?nCI|gw~x_FJt4m3{+z#~6rM~_Dtf6G#K%>lw{vsS0vU5p
zk=h0462E@=o4NLi?&6dQ(n~I_|6Z_R(i{7A5y!lq^)FuCdPVN_tQE7S+siX@>^S@;
zwEW1`AAFk)3M>{C|6X}^uMy|m+uw8Eo!q=6JATrVi#v`-xXkj@tDX2IHtnpXa_RlW
zuU?j9u5EXc@>rC@Tsq~-<(-$$vHEG9OPNr;ZQ{0`Ne+1vJhdkk*(h}i@n1;{_rLCM
z?Ow$*MJ|Q!)%N$SIf?PV%A+}oceJ0ov@`Zyf&cr~bGf=RgPYu5zW<ZrXS;XCzjuc1
z7gkSy-gKWUK(b1Dui`fK*u}GZ^zRj%j9ca(_dv0|e&<Sq%4a(s%@EZ5xbcho1odl^
z>LhM77cUeK<K62#KSEo1M&0$ftOgISZMW<(4mx+hnLl@{%9Vvl=iQCl558OIT9QBg
z@Vl3sukT$8vQVv%7g$^H>ZPrN$@B}Wzu$Ms)>_2Mc=dAps`mIjKIbcS-uMLZD17Ig
z{yVj5X>y`iXH;DGpPM2U;zB$A__ns^@8Hq9yjh-mvG1CtKN9{P`w>{jaNi@rcq8i@
z@AXI0k8;>N7F-l>Ba|(3_zk1Xx#I@ymG>`KudJ@-wb;WT5@?xveV(6a$&B+#jE?nx
zRI0Z8{~733ckRfnz4B|`Kg!*{G|Vhx>IB}5EpI{}u&jC;^nLBE6c^qD`8$qmH&xhL
z#J%Kpci4+|;oQAqleRyr_s{qFUZ%TT`u~?&*YKu;%C_sp*<$wUf1iIQd;eZHiR=F)
zUb^isRM}?RRv+*6fVcZ`{W`0=+k~d<sIk=lvh;CRNNmlwCkf$`VtyW&+a-T*<qrnm
z!y57`87%8QPBhti=U2mJM%npt-<IE6ZsJ#XanAGekC~-@RsKt_arz>1Xrs8`8I}hH
zatCF~Ud#PjA$K{>`RnyZ?DC?vEKz4<t5!wK;=lG}M@`U==|7a>bY)NRUt3wYR$Y4K
z^iZFS(`=q)ul`=xqs=zuoaON~mkfTDRGhc!kG;SnRB?CVHCN}*8mH%(=lJKj8dL{z
z?3PlV(b{-eaPMvZe8y?(%75R}J#cA$R{W(7i)Sn4&V6#5`OJv_^`xBv@fS|$20ea#
zn~nX-JnN0q=0#MCrygAHm|5~MW#=cAWA$sc^Msv{HrVR7xc18F+uwHGJr}cf+tbu4
zFBAW-HY-w2em?QtF4N$ybye!lL+?B8g*z<W8naJ(rjEb*R+D?uGFN|d+4OFW;1AgT
z^=|XRJ2lI%)MmzrXg-l=WEL#;_6;~cJ7vMe!aQ@wUt%1p8^3CQI<ohYjsN2M;J)9!
zn+|?I=D+ds56hmuIhUI6*hmEZx&8Tv-n+#e_rm$P5|^gegjZyq+dcn!MZD_5@1g<a
zZ*?AB6?=GXFN4vy5TkE*nN?0|-|n9AbW@Maoy+g1dp~mx+;{1A`}fIPWz?#Tyi5zs
zW&Td{eEwHNt4gAF3D37bFI4w#SS|UqbGCkE_3=NPuQzK~R_@hejFvWRTlsI^>K_Z=
zF-&z|vGI&g(?gwgo!5(+FIWn0;5_1cmhtr6f8DF46Zd3Il{T)ZJ$L2FKB2Z(D}QsV
zF7Qm(;{5FP{8Q=c{;hi~0{=7o@Y0=;c=<>M-&do!x0l@NdoDg~$l0uKJ}uj@h_SOh
zJZmbW)swE=kJ0yZmita#sdi7NwvxMh)~huhTe~@b1}81DTKbc{Dn=#zc80yF>FGQZ
zU-mQQ<)4<V2(8LLv+hb6yGFk0cf-}WoxMF*xAAU0y)@6qY;~@WS4h5}+Uci}dATiu
z6~U{n+ZE<<R4o6zEKhf-noZm+-wzx9cPT|$ZxB5YEj#u3{LIjr>%X!O%PnOWiuY@h
z|9C_H`z9lsd3>3QbELis?g(G>Uv*#p!P%$$m3Ewp*xdj5<Ch$XBAG1#CwF9dzI`t9
z=j7a7)$7u8#596_K4lF*nfZ;^@J#&`sjrL~Gs3G^&hs^#&huM^L3HKDoq^HjS}Kbh
zzb}m28WX#<<)>%IPtS}mFXLZaUO%huTTWEQmGqoD?kSE>->yjVxcZQ}|I_O8Yq@U*
zTCO*^e=zu^M7QAj4|lS1)1!QM)-*S6d0mrm(xE3XjyutR*T35rc^=t{g;*8sT5PvH
zYNfG!+D1FsuATGkzulhv#jcWVV_N0rQ#)3jlDMP3=)U?Tr;7hG1q|O7r=Dp~x+=8u
zmbS~3k5)fsf4OGwC9V+Hxv!=<baVcHS(_IPti66Os}An43%s%Uv^k5=#-t;~MG8u>
zA6omJUf)d(xU}wpv0~}soSc_)9$5+LuSl%z(`{Mj=X9y1|MCp}yQ@+^t$MmAe%_4u
zd2aI;7vBH3+W6p=jZDiA?_6Wg;d1-V1>1nl^CPa$GLn{BY4DIg=Hu}PYNqmQRxUks
z#I9hc{8h_8joogiHZRMWkfq`DfI0k&VMQeGh1Z^~oiz_Otr3(fTp@jz`KJEqQ`7SD
zirAyd7JPcCxMlw4D*5H1^6BqNu58ZS6)1nZaDJ3i>Om*fmGeJm+8<`m|1N*2&yKB$
z)%%!%-bu#ao5NqK%J~Psmf5E~>ErC0h5Rw9#~;KuFs%)d2>kLf>%mOf(|=>@-Wl#t
zFHM_bH|s#n)O$C79kD#^U4OxG@%cNe&fAn*J-@l-#)|&OJN%UwZmL)~>CpL`ht3-m
zn#;cP@4xUTymW@z=h^e3*YwQ!;8|goJJBq6V&GH5*XDn-7EUT#xM|~DftrAuQNrF^
z=f{6PU^BJU!AkqW%(;;t&;R{r*HTw4zvcG(WX=ltS0@|z<X>d8Ded#TAE<h8>1v%D
z=I3LA1UGcBT)TgEVa($7ch2WaZNJ5RqxsGX|Cik_<?in*GPGxVlaaEfPTSaiS1aq3
zS!y4at=~Db_j_HJgKPZf<A3k;%Qc-+6WSJbJtuEPZcW15+-VnP$()$|?}t`f$xE5P
zIZwVgP5WK>Yp26L3C<GT*6AzQ_?5#Z9m<}m@5bS~NKmWs-Ttlq@{U#6_gRlbpKAVC
z^ZUEaibuP>t7Ci~3tatZEY)7|_Do)<(XF+2ghbu<-%38!Zs(Wys(XjiUoU1u*WX+x
zub<5kKXUzSlKJ{Tr53fT9n&26?>xHXKH>hOT@5RihIBknzTdp&k@teu&diSBgXc0j
zKHJF#&QZR+Va=n-0`BHU$(nhgbFOXp;;O>2(DHl5+PkY9K3Tq2ezM|OisqhYrd5xB
znk-t)rx1C>Vf(kaiL(}msRTc(widB`Tr|Pme$J<r&oe#cLazvz7IUX<n`-g$2XA4e
zhNtIG>qkM;E&6}(o}0;W@O({s@u$4=R(XdlbLT5$-!6MFXW#AODfb`D*<Sdi&AQ;+
zf6HQl!#=XVBwJ>k<P&N%$VuGgGs)$&_Z+F8Z826;TxM_TjS1?Nh!DQ(z2V;V8Ja)Y
zR4c7SudZ*Ch`6YBd#;SqyWO_0H}RHuwRBX!W0;#3)oE;Un?vih`iXzp)*M=~kG7mP
zXStetXYCnTmaF=SXTJVAcc|a=p6tvo<`dL^p6PkH+*2Z0a^snAw#siW_4~YAC7RY#
zv+U;FKlhcF{B!G{pK#`D)mQ)bGfX^Nrq9s)&lY4gMP<?^=Ach^&#lT|JYUYC$hQ1~
zfAQKQJb%o7y*wrS<bkQuua}!vXWZYxnRZU4ZsP;qnVD>_SEnuvKh@W?JDB~^z1Kp;
zzwd7d+FmF)(>h{h{pw@udUp0b`*UN;`qhV*U+Rqfk{^(iTM>MlZ;D0RjKcqHs`u`z
zB=2BW4ZC~pTz>Mb^B(0|?-Qn6-*)-mZHd&IVITgOx$R`VmdfKlE1*CqY>Bs#M_r~t
z{=}6<twrUJ;+M8gW9^Dnc-T3??9s*z%T~4JJviUc{<Mzk=pO6G&m*0MBe$-RWxXW3
z<ojJe`=aQ7OJ-bh+-&`E|8=IK-^a!7_)kkYcUxuI?q*lJDJk<dHM@SBtoQWRK_zv^
z1##9l9vuzi$zhLv^Ml2*CYL>W4R?OdT}I3M$Bw4IYnb!j^0Cn#&v*{5v@?%8Vhjpr
zYMtolkvtdtwqgB*cYgncy9zYL*4;`tFU}e)JKe6)&c@5sS?%pcri1)WAJ#`1hzR`o
z80Hfz{p?h7YirH!h1+(pO_|{Dbx3yJ5zqYD;l>%e(^l?Y`!cV5mbJs4oz8LVU+&dj
zq#h=ced6v^-u)RTZl3sZZ(sbuS*A%dZL3VJx9@3KcBM>E`0%aZpw~Cu?ZeN{UG!vP
z+PlEE_l${JSr1u$u`0GL3`#ATH$DC8iL<LNHeT)7Ah~$PvsImu&!_Y2oGtI2mGgGV
zw#Cabwh2Dkm2uH5;@ZjQ`kZnr)Acl2<Q_8oG%(i8U_Jh8-L-hD>TLeQXP&S8ZTWoC
z`mZ{_EQS4YG_}{CH#1+g^2fUVoLs$YXSc6y-RQr3Nks12Ufu;p!OUju>E^ovSMHrU
zyL&Ug`1I+8lWbP*3DbIQ%zJjWH}f~f=+muxrmYe^J@;<W*|sd{%Z%B^%~|Jar!uy*
z&M+|D%60zd*JE4HrI}vsJ$vPf@3NOu&Weh>|8wU<#~Zh>>xU*7FH~e+KkFmw)_tpX
zX@=}o+dlF43+8(ar&yYsy>2GnzW?0bt;AR%xs!v-pi0A~Qu=uri}mWGoB!G>{GMa(
z(ZsPZVfn{f4jcYgrgp?7YZv-!XJ>6KJ=y<gc1zG&)st3NRW<8v0xx~7@8C>ibG<Yx
zYLThw<CQ+=7d_u{V@Be`4uz;zE*8tJO=h!9T29N?8dq#TI;Y-c?+<U&);04av&0L3
zZ@MKnHSWbLz1hDv+U+eU`&8Ac^!&^5UuO<QE`J@<ze3rbZT^P!l}f31e<{>|*>bYi
zc~RHzo7?>3H?FT#P5t}T@!z3`C!Hqp7oB@L=dR{g)ql=+)g%LM|6ae?ujh1`&3m&-
zrmeh{vaXCGM!S837HrE~zxdU$6l<ehzLOSg3y*VeWoS*mtM)M<TKR$8f)876tMFx2
zGwop4Sfc*_$f1=o1vCHeDg3v5?Tp9vd2{|}7C-*cFa3P}_HQ$nzI%H%{jgz1Re|xk
zS97nXK3*Gq@a>GY!atWc1?lt%@XveK+kIrl;a6I%H{z!!g*O`CxMv~wQTytQM<>sH
zI>KA`*hxFQb?bzf->-bTR<b-1=5COBxp@BThj$8?^xI_K^e%pD_&;S;+lPz)g;P8q
z{buU4o}e1){X%C`dam26jQ1r6<~D7(a*FwVRe(-*{G$2ZGu9vZ&(HJaf5~2ly?d*R
z{_ooIV}AJe3w5{8%iOBu5#+Pl^?lxxsxP+_rqy>#{&EdiGCv{vf$Jy!Ycr&M{Eyu5
z4l}y(Y5BFy9~L!*CLd$^@ortld%wNf885^WC)PE+bw0X6-tN?2O#|=y-B$a>Keosi
zmn=X0_T{(eP_^FZ@S_EhZo4P{FTQhfebeR}pZ_hss#u@4wQ?6zWz`Dl@b`0Uk}jIg
zVOplwG}qH%|JlC3Geu?UpFWz9r)#fsT6_QRq>t(Uq+egSKKFOW()RQUn<-tY^(r#8
zk1zB-50c*2FSSE$WnEh5@&CtOO%xBXUv!{8YwoW7&M*Jo5voXxoai-8Z0{1`Sr^qf
zKb48;P7*HWNqh6*ynFf4OMlH1s<@8cvj5@sUwm5WPw8vQcTRe3j<1qmP{I@9^@KA%
zN-Dtn?5a&4LNE9yGXGDD72hd8-Qwr^1vlyy9sVt};;*|uCta;6NYSS<puq30o!C;b
zrGE~-etBNu3Gbh}m}aZ@%f&oa#yt5jS1ayi>5;3YedeWg=T1GIvu;<`%lVh3cQ5za
za4>v+rJZMip=-gPBPTU16;JL7e$Hzj{9#`~$leJLzS>;>4LZ-q-}cU1#-~S4Pu%0s
zc6!pv{H!VYa|1ZJJ}$c?FC_LiA^X!#_l@;lg4-AVX!OgpnN@A`FK}<yq|m2Z1$Rcx
zoqBRVe`%Ne+|J67<4c?)5=D2EOntD=Bf$4u-K4W$X8o5~yiPV;<ogGcR{|YxV)^=S
zoj7=6!nKRv3l9HYe_d}|x&QTRdAVT~mFv%+c@UO%d=m4N(Aw+M+LM;et*owIRby6I
zyL75@u($X01#L@(nJ0!xPmVOq%3iI!vTpO?ttYQuwaex{ZM(;2cC@l@R;?D}ynnA}
zGaK@p+q-INw6SmQQp1(ATh6U5|Ln|@B^?y@Pup&f^oOO_t~3;B?`Qqiu-0nzGrN;J
zUNS3RK0WjF<XZ05&@x@26Bea~+WT0{zKCYM(KLGUa&|)gJ{H9mA=9!>re_tx!t49Q
zT}n1DDAq`PmcoB;>!#Nou17x0PMX4JDLq+Dz(Zowa^KXzi*pYywtE*I($Ko^<Ky_*
zsv*DE`t!Ry3(d{@@N4(a+=|GvYkH65)Xuu~;#sTR=U7pvlRxs;=IXFY9<yNADUbe|
z>$4`uC$u;#UHhY-T#dq_jk5|KcDCO6=WoFMu*`YuhSSQ=?|;jSSr&Qf%<a#q#Rt2$
zi+?+I=Rk1N)tmlnnvw#1mLK}cyL``isqZUSKAO4ry+x^(7_&FK`tl$1Rp-Xe<=Xtn
z%kRbciz{bv>B!C~k$NArN_(>3nj9|ur*jMIBPx#_I=-T*y1;9BlE#I_^+xG?w6iD7
zF8p=lf%2)Yzu(TSIh5Wtjc3R5rR=&I+DhyF!fW-^4EXo%+M}X(;q~`re{Kck&)q5d
zwq!-R=H)NGAAd8aKU^BwXHq5{_3X>_%$w}R3;N6~%=QNTjGXttNIXFQ|K@1bqrWuV
zr#VVIPVnt`-koQ>OmWkMP0Wg^F)mD}KThg7D06I2@xxxJhX?-Z7isrL$yJ7zKe=mg
zf49J`Cgo}8FLmGiothCNx+3O&Rv6D=o<p(uSxxUVnqHb7{MmEvMElF;{<_`w+ah>=
z*6r*uEV|=y?TmZ3#{YE_t0WbdtkLS*_$}a*<wM7P<>t;dhvS~#DN|ftXe*%P|G(+7
zN==o4x_-;w37cya6MtLnp8c~m(pR9?O4&<!&YZGoYyFO>tjW1!>~;D3l%+R2W;B2J
zIgjtek1THfVy3)P>pOxzcLY~@dDd<_<r>bn=iukR;aclG?@f8QX{ns$@3NeqiPH|=
z6`#QPbpccSkI(mN`MZy^%DZeRb$D;%eNAeg)r_;VI`bZ`UVCP}!b!~?eD(KKe{Hz2
zaG`?Gg9Dkj8?2*0gx=dIJ5BDOTm2h{#XU_cKmFb#(!NH2`rCtkYCH+8`suExa$cFn
zONvz9JwHRy+rH$ZRmy=&M~pTc{?U0z_Ts<WiqCJYHTLQf>Nh=6Bl2)n`drObAGM?Q
z-2ZfHR+7f<W4|6HPiIrM^bFg$`@zC?(`(BclYVQid|h?FLdLDlu=NUur2V4uEtYdT
zo=V*Gw|9|UG=Fu4!Q+!tqh9@5e{ie7*~T3@%@f2YupdZGtBQV<8oBIOKw8ZS1J)z@
z=bqn)o2B}U+fdK4xWmc0?3bdJKi})_69?1Xc>W&G+qsEXrklg&OpE{dliYpFAKM!o
z^2qo88g?RLkLH{c7w1ikYlslZJf+SnHeF*~Jf{=)$*0NsJI|(C#xd*_o$|T&u}jkT
zW65z+KlV1vko)I+bNY(l6^cFzjGxuc{b@=2c6ZH}qmu)2WR`R4TuxcvQdxO;;gS0s
zQ(pB=zuQ^fe3hwmo9N->c)sl2h0)CXHv?r(uIf+MSzfwge+kFUItk6m4V?m?8d_{D
zN<&U9F6&$4u~jks=)*TUZzBG@o{;VK;=8OPUxHhNa~~&9>Ao)#lW(wm3)0%g%p=@+
z++&+~{G~h*omf$wqeo|oC%jBP|H|sw4>n20E>q5_w@hrTk{?Fw5&H74d{V#U&ZB?Q
zWO*$cBviglvbc2O&_|bxnGw?zc>><<t9!R}Z{-}zqs8<6_2(Vo_i58mJg(m^>v%3<
z{gtwVQgeI~zMVfSY#g)5&*{0plXR=sT4_1kwkTmstCeOJiW@gAI^ooyWb;p&u{wN3
z;dSnfN9L#}Ew3z*dDWdNY_QNt?)`k(IZaEiaZg*vF^%td>GNdX3744s*V!C;@Gj}m
z*M-i7N}S<a8P`tYO?>v~goeZFCE33j*Ur&1lXdG-O?cI6Gtc_jA4lHnZ0`z<C(80|
zU9v=OLz&wA;th>A%@-b3F1+ipX18?i?T<n$+cdAXX)aLdd$a4pj}yFRI*)$e5_qQE
z_vG5~<1f8SV=X_uP?=I7bW0~B>vjU;?q!SbTGZ`+ZV<F|eb~nDu{IW+E5A;4HA~NA
zlvpOhKksY&pA{W*l0#L4R1y}o+DJ78v6}}rEzNFP+Hiwu&5rA5Sl_z2J~40VcRHq-
z9vRjDe_d;2Rn$Vqb@|UT4|(n}t$wRqz^BJj|9|KA-|PNl81xv)O<rAf{kc@?y=ce&
zR7d`tIYD;UYCkg05Z%D^E96bSN~Hg~Bbq;tX&#i?;hRvJJ#)&vc8<clYxO%=7q5Tf
zS<b<EV{wDjdAs<l7Yj9?1U^_>c>Vo#5%)>2oqL0&dl=5{2$kM3F`_E)$%9t6qN%&>
zc-QyWK9An_%KD3sYKY!P{zbgE;+f8}T<D$fzw(0emz@^3A9Pn-PkQa{v8K`DlA_R`
zhu+N#j;~t4uII<uS;|paAiXNSZ)<z1)S)*=CzgLL4)5PAI^%@h0jVWP_DzZH!Uwv;
zT{^35gK~cyOWt$wiBbaR{<{S)9@Q=0E~L$GkX&xaAEeE<vg)$p(#yKfp6shDeUh+&
z>7Af!mf&sc1=q}XI_$o&ZJmYcehbx#!^*-DH<m78U;OUyuTakop$^6K{srxvE;gxQ
zr$x-VTHfpp5%MBzS3El8`)xYsTX)v$KQWY^`O|XY1IvjoyA~WTh^dtPwzTD~<%7n^
z6=o3%8y__|%KlFI%yDLJGKaC4yIYhdw`z>;sV6D>yCwH^?_A$6pJJX<Q8wX5&C%eE
zI};?TnSRU;d%CaiX>n9=b7AE7^_zSX?wcncZJ*#^yi!@}^mAwa8+DHb)RQVy9?j-r
zaS6P6cTIo0@}ms>l9pY)N2V%oeBT^&_SM4n33uBkdU4KBQ%pWs-cacEcFK8<$_T3p
zo3?;wrkqu4II9@8?>X@8)vV}1W-Z6yjTHuG7GE<tJ$LSpE#AC|a*s}YTKMK0N3x%J
zM2gv4b2TkJS^f2AK0IdLH~9xonzZRRX8kpPR%bc$2R+*L!mfDZ0-Y1gCttrjzOnEr
z>x~W;{uj^LHioKP_#3=WYq$2KgT@C8#Zwl~(#_d2FDzr5r~T9?VO!So1lI3w_ACAD
zpmp~71>=n-$Aec(cwRf!meSo)ayC6~h55`4R*}w&d@M!J=sZ1FbV}~+E}oUV+6Nu?
zR9%v3K7UAi3BQ%DUD>UjRgcbjMqkd0@9;0FQNR2A!io-|m5b&Xl<PRGwNw2i<Fs<=
z6Yh=baWyNQRhuvQXP7>CyKDZxGrA{4e((4hIXBjETWi+DN$dVSnv`|@m1f7Bu$}TO
z|5o`ZUf&xgT=RB;VY<6a3=faAnW^yRrJ@G=nH$X+jt0#wkPJB_yI`62#1|#g+kT&W
z+_TNJX)eo0%PpY{)&|pl#&K}#Tk?JR=o_|Pvh!*BL5J{}*0G+_*;^|NT;%`HT*dHp
zL&<E`)+@fxkFXb)1Rb8A!=NU&;_?lNnS1~3T=#x<YMc{aw(p&zKh#cLs@cA{^U0YN
zT>|F>lF~D4*_g9`n8hUR=y9LnZxUmvJX7nOsAJ@_+MJ3Q<!@T^bml!gmo(wp?}P3A
z3G$6@e>^t^lvQUOo;Ay`^X}0zZ+ddw>Lp%YEB5QJx8t30;i-k+)iw<SofW_Sot#qn
z>{>Y6g;Yn&2<E%bmOAJ0S*c6y?_a{GRDSpAOFQ!mGdEqAaXa?P`S;57mNjOs9bzHB
z-?kjvFkxzwz{c0}7~Nzhdn6pGEPN?<<8$Rk)54ZRAEM8m<)8UCam$jam(G7OVX6?i
zJu6xC_))HPuk+YjOqExjm5ke!wV>6D{j|21$a@>rPcr+vJukKMG5fh*E>2*$FKH!w
zz;dqGbH3A=uYCU0+3v{k{`9@D@XzPD90?5nb7MlLc-B8Em)`BUQeXQ2lYFPS+PCGo
z9d`z-DP^wH+@9uFI=Aq)!?aI(a?dQ=?E5=t%D-oZH#K>^P1iA3HNE<f@-u7gp4uL!
zFKuu1)i>3g3O_CspuECPu73U3g)^S{bzZrXb+zH?v!hcZ54pVl^Xgff=y|n;=PR;T
z*RDQwyt+1zjo-y(Yu28tpDRy^3C-nX<O|#L?{u>A<>=EhIrl`r-kO~#7k!$0bMof7
zmH(WdGS=Ojy}`8H)O%i>{r#(7AKuz_W#7_E6I<-Q_kN9RTAcixCF{{&Bfacm?Tmf1
z57zhc-7+`4WA=2~tLJ<7?YXvntI4(Nb7no?`t4|GqE&+0`r4}4RoPmbjwsaSmkZ5)
zQN8{92|ej|Esy7}<J#i=>B>7pujH8a<6SK}Ny1m$%v(ixrnj|wDLlL5_i~%}g+;w9
z)cqF4?l`gZ`AaS1^V&<>%%4>Em$1(L5Lq{=c*pBywc(Z7T?qwl)BO(_WNRPyxNaG{
zbmnud`4gv~(GOjd?O=B~T2$0+H~+lPm3-$d4N|XXC4^29eQQ^+PFi8-<2VM-2`{SV
z`7M7FkYjSy<3YRi!f0pJU7IeS*RYPMcv7+OPe8LDAG1*``+v6BlP^Uk@J;M~!*Te|
zQiaEQHiu&+!oGdt3Yh6`wBhis3(uDxpL0*LCF$6f4>vb@acy|?<m>CjIUhGDg}!m;
zdlJjoFTV7rrP%L!b&n6r?#{PU%{s=t`Fpa*Gbbjd*9!5v>xwOI3rRk*H&%Bns@`}1
z{QE#5&D)Vm!A~NZ13ozmr_MW&?{Lk+zaVdO$Duhrp_2^!%VgSQT2hS^xJy6yU!1xp
zSlx8~v7Z8Qp&yuC+A3ym+M#3PZ}E#Oy>RN!>C-npeKha*SFxK$M_g`f`B1FTU$%vH
z?!$ZHdrjXfEOV06?kHl<(W~^i9+{p!dr|F)V+K7F8``BmY$=l4vb}3=o7&7(NyU~E
z`lcWKzVqoe=UgSnBh|;+@2ja^%E}FIKl5vW;Z&ZUSn({IB(+SjF3D?$n3J9+9^J_w
zp}6z=>Gj8q6*iZAFwIzQaP%BU)2;HZ<PGnR%XjB?ZE7s!U;F96!O#;CK6Xs9o9x}!
zJg(Gv&X{tJ`w{c~)vU2@1*Z<2VC`8iex$`|ZDP>#a=$5NGrTwYtI8g3Gg3Iuc|x{X
zHT(p}3llk4z6?kE#V;2fjO(*1f8<!S?}Y~6liLv!@2zn5>=Qcjd~L9$yKryiw~ZAA
z%4*9uEz9|ts-rF*G(SQyc;WjmUT+&%|JGHDX`j_qzM*u4KVaHH*AqJ)eM_#sv0;YG
zd|4;9ZSf5aA66`o?-sbyv`%T#{`m@aDVFvn!t9^Q*6`no>H5)ge9Hpa7sllVQBQf>
zH*c_UDP8B5cyD3ohOcdw&E@|c7-G{s_ZP`;W|&lbMDEhrqdMHLO_U}vemeC$ebGV7
z%|XkYj;%6nU#zyvVnRc1jozLtF}+RdCC}T0e{4SMx$(Y)z?&#G|EKGWzS+rHJLG50
zi(S{e{#AZJ`K82bbN6(;3q1PBa>7$)-d9g~&xuRFkh@UUo~XlOQ(<er-()&dB$Jx$
z($@36y5WyB<=*c&tT?T;_0u7nMFtMdrdoS{Np3hE#HMn<_~_@&%{xVw3;J+}Z)W-Q
z!sYvgV>3jp<(T%!Tk8JVZfss!yes8WXMU%~k>gT*wV8@f14^pmx5sI)8$}+7SP=W%
zj&0&ChK#975}Hji<+g|Te|PQHyjXbWh3TEAGR@1JCWbw`*%PyOYr2HxdC`+1tG}I%
zIkL*iq+w!K=E<vjG}Nu?W_|wI*6JL1r8Dn-nA~=y`*vSfzWn@BOU?D`m455!=YLM9
zI*RX1YAN0QH)^ry*#j|GUuf(V7c0O2%IEByfLRSYcCGt-`s4jP(}dYk7J+vEq9f<z
zX1!xs9vwJy&wo?nvpGLSA}%^CdBc)&^oo$$hn33TpR6uE87jb^XSmg^c7<7SRsV~!
z<0p>w?J|-$rFQGaxo0z2%O5!%ViHQpwfO4Ix9s_mveSoOHSNDqXVTARHP4%A>E>3^
z8*|tvzDwsjFiCQPrPT$+>h)}kUfNh0E@VBcbcz4DjLJ5XJAQ&0YrYCPzu|IVPmpU}
z*)OVCQ^Zkrr#<J^v*%s4@sTOPU%s7GTCqjeSLEKbN(UcqtNp=SF7T(j=FT=1-dRzy
zWX=;OB^ln5DlNW<dCVt{d&`~oN)zEfAW@NMc<)7idfb+MR;}BgX-#W1Y><A@wfgJg
zM~*F$0W0lKv8z4|^?fsW-f>m=^zUas&x-R|7Vt^`-SVPhn-G~p?}Dpl25_ExKSxg{
zmM>9xiNED$hHG20D%X}iI^?PvD!cu`b(hBuJ{m7Y%Wnzi{irfCUgx@{XJv(lNtZzO
zgZyBVC94;6-{=uvVk~jRGo$gz+PlF`acdr_e!I8KY3^Ko!?uq{&2!efeG7OMHS=k=
z)a-QmwARL-mGLLI?uaG4c5t6NWB2Rw4NgI?VmB3CXI|33QdQW1O-4_}cj5KQn@heY
zd2LR6+ROC9xat*y(2n+qXbr}YTb=VBIa!r3eOv!E`r?|;Ti(^4QQ0V{U#PH?^+{VX
zzg6#6`If213fiR$G`>ixY~(k(rP;rr@!tp8C%>NxmQ<e%J*u<D;^S4xvIw!v@T<1o
z9SqaY?p+mJa^RAC^F((4G~M^yM|m<H&OffjnE!9pf+aUq70eF16)QBe^enVG^D_Lx
zkwxEy^Ym1Xf8J}?-m}n?{q;mus~>gM>&2f1^@mnH;XiO_8~e`ubeRUb@RQ<ab(t4m
zIs5n9o_g(RCp_kgPGWwvX|D8z@4T14JrB%&nC>7q|6)Uj<tynUcG5c>k4{<tG-O@U
zZSN8f<(-vg26BlPze`TLRigIxLVwvYm*h`n)~CFC&))doK0&{+y{h{E{_nTfp175C
zdRmI6q+<1s!oZutiyT!_%s1uV-{h03K1EgWrhE6ni0%}Dpczul20}*PbRX5*&7ZmQ
z-QRonU;nSVd;QL4oAbr<o?D)`d|zz$d?I5{!gk^8lYH)X+@8GP_{NprI?4CZG#P_4
zEHd|5o-7T_RLKxteq-<V=enLD6WtPbE^3q7(RJeOiRuj({vN8!4coN1I*;RLt#?47
zqV$moE`O}@9Tj(~Jh|R~{?W0S0hhirZ4a2r@TFX$_}or^-(bc~N-JAJOC8VK`ZoEv
zo)BJPlH|iGcIUzij+4EYOE25;=guuLcwKCv^j&+KrA?Pj+inppFV_GU8KKvUWKBMn
zwfQRZuSp8lH1m_?$({Xr#Wjr<*My3HYD~rQLW2AgxqsiBwD{GN6vY?p2^p*pLT<~p
zb<cmW;==QeLyP#*tajR7?Ne>6{J47cjnk+9Fu%UDuA^?k(M+e=9*Qh!+;P|W`tJPU
ztZT|#wBPA*)mP69?wP_t1#0gv9sH5DVdmDQH&}`THX4?^%j=EV^t5iZdPH%ap0s7i
zjt47izMpf-P(AQ~+bQ4RuEdWSt^!k+zkTP)_WR%IWQ&k)lO=i$?jCoiMLFI}pDI2-
zd$;}`f64k>jh_eQ*6Vlt3T#*U`M%VuY-M!h6cf3?jhi?`mmW+v(-ZtIwtDAO6_@OQ
zmD}A9{0ewD?Eq_N>WTiF1!6(xexIJZRlvRL<i6K_Z`BR;9Fj6poMh9|Le5QFc5sEI
z^X)B5WkQ(`$4-(cx?*n2^L2;0MtjuV%pI>KuCLy^wIrkX`}E4_zeggLta8?w!d161
zXZod_rr#T)AI|06s#tMg$pW)139I>^gypS;z0U;H+CTVcyzhLi;DTgb&v%wn9oav>
z^%p*5ZdPe!_37cVvKP%?Uvdj3?0llw^rU&x@7Yf$7b!5x{5B2p;MngMzMv$?o2w|!
z<dtKz7klvGqkY_yWivDvO}@6qA?y2;<<pFk_B>2=|5Fp<b2j(Cv9Rotwa)5N?N{zB
z*8W}P=3KU>c*oxs_x}&=oiD$Wol`k;wbY%>i*h2Lf7}rF{k7NM?nn0WF`qM33eJf<
z>wRx`-{5NS?!WVEtLJa}_j~PguA0}CFY`jTe*aqIv5jrt-^aN(eHNCoX~+Mw&r5sI
zz39KwjpEjt?OQAgs$E>4|GpNXDgS-*1h=y-zUx<Xw%uBA;K5tIuWQ#%e3<8WaB5mX
z_Qtt4X54jKYnI2K>u0Vn=CkG3&(@>Yw9cfhZe`2U*(?A0#=W?x*?Qt4A>1G1qvPju
z3jg?1`?=cj@wLwzCS6$i_2-s{8+@I==4Z@)JJF<{o#|EcSH^`08>N|(pGB8*Uyy$B
zZUgsn55?7IKbqY(Zpyl?{qeA3;_CUES=+CKZa4dVgK4|^p|mXZU5D9bMTblKA3dZ0
zAx2WpuKE5wp7Pf>MRot{ZV#RrQNCP$Vs_|O{o05*8TTJE#M@W(WXHSCP~GPne1Aq(
z?2gANdBK6w&wt<BQ5N`n%KLji5~t_=etvAL^v+dwqPur*bl<(<oM^_{1^GKuPyP%K
z`*P>rl4_4QpVFNnv#vk={BqNM-MiIYWxGpj{j*m4SiY@0ux8=wDGHyq{`YDKbDcLO
z)pOn*&F4+CIHt|~eP_>&x^+fQ{hJuB9pReu;b5oYlI7krE25XLVY$<%VyYRRVUe^{
z`=40kBIdq?V~6UdpImRL-r=tK=8lG};}k!gmu^WD);!&2X7O&v#aDZF&D0A}`2R-T
z&3(hYa?K14cK5>`dCS$UmK;#B*{{8C)6q!2qe^Qo?B2iU#9BSKjt{yAQ!O3eO=({C
z%H~GU;otnPb{tij{ZZ$%->nBX7)lR+5v{bjCn`AER@;ds{gY$v_mWhnUtMzMTnd|K
z8a!k<<y>7AA)^z%M(U=}qxUWP{AYI5nQT(I*eYEvvN(2zh{@kA?+hmT39_uyDU=Nn
zePH-NS3)fD?JK5t(#!uD_@@LN*k#Mx<LdXo*H|e2?cB6uybC8j?YzuzJ9YA>bAhK8
z{JiZkBd+Z4ll3)h$BRW?-}qA5?yk1mI5P6~-o2lBws`utKbX${XqS+xg2E(4cCJOQ
zwi@0`NteC3F4>~s)Dlk@!K5?K_AZ*Rd6opzJ@y6GUvmP^w`~?v(^z=mCSy*cebrvI
zNBs|1u^iaRzGFvf$4Qy1ML$j46jMI)6&+Z2FY~y|3H8{kKWp1^rnmlG-?27R_n+PB
zvcgwoQd|C?SkIyKlj&LNY5gOIpBKj+u4-Aa^w#g=`_vE13m5ZjjJ%h(amltLLO(Ce
zGJTNhE-~+6m0`=0_{{Jd`>wYMWYoG$)@k`0kn+6!Wvl09?#L}{)|(g&8dXY~?zpz!
zD$|zO8e%5YG;dzr#3qxOs`n>OeRJT_yAri`x884w^`Edc@x~6HeZk*E4z%%v>%C<Q
zcj&5Ivj2A~Q$7bvw7F1d2K&4Sj_HfaqV_u-x)4|NQRNX=jk-(Sy~Hl93gMru$F@oY
zP0UYk$zN+?uGOzMk?pXpNz4-22h%bRT-V>e-=I@Gf+fuD^hOQNJC7Hd@fDX={${jp
zFq+`pqP%tW+mCZU==Sokdg_;~yxkDMJ}3V{@uB{E`yAS9AAA#z=M3<OaFklQa$4Pd
zjco4k*H_P58Jr$b&J_Ia*uOK}xhiWImf7&zovd$NU&C-@@8$hc0q>rjz3}R_9m5gE
zCl}qhw|YHi+x%LgV^UR4XU^mKr<|%dZ#>e9&U)Z{V%L+>N4nZ+F`PAz<&J(|s$Mj0
z!r~tXw9NWdx89g@O8mu@*&k&$AC7K4u)Jr(&)tpciQg|o`mFqz<oDD==iz%BK0db1
zDLqn>!WNCO(hUu_UjLrD;M2ZWr3DifnmGi!h)4eJnWD_sH)ZSn2a7LGdw<nvvAR6N
zOfD0S>yO?`e40Cbr<TQ(Lq8LLtLvqFmq;mcOLEm=jB#1HVnuJ}V!hS3dRwBb7)!Fg
zEoRDJ#9g@LydrDm{FP$Iu3oRO6li1qmlwFi@yDa8);WCgO8pP(R2j-E_lGuYk~|V&
z|0emLU-*wz(XUgBIUlW1JoQ%n)Kx~E<@{N3uNizzA4G0tzMkH7Q|D&oH_fQcL7VQo
zR9v0RvyN-=_K=x{4^*NT_)0R~OH0sIb7$>d+r(Y2A18WbR?ekcuU|fES&+}^_`r<S
zuhS+y`CyYd%L`fWEI*x(Z(Gjk@E`E~uE57DJI%j&_4<e2S@ji<zBu{&Jl$ke+cCXD
z-lAp&Q<~E3gDd%N<u5Vbn)={lhi!h&-+;$Kyr&d@{4$>NY{e=E!{U9<FD%RXS<!#v
ztkpV`m49Cc8X1@S1zGJmyjwb1{mj9)xl-a={yksFpV=gLao=9s#rLewm}gbbEpz{^
zm$~yt$eM|9Uvd?n=&b(|aVsR^wM+SC7HdO0*|qOpaJJa(U20bLV%6Ct!m00fe><hT
zPU3Y5Pk(pH?|aK0FHT+YTXBV!l<xkC*-wKvdhV*>-LU@d+09%p7aq9Fr5LDP^=Z$I
zD9uHke(vVnKQ}Hk*=QMVx@7tO+>(;FH9LdYc33y-9;tAWjA>k>dpB<5vbxf)#&s4y
zBAlKVOJ59Mdg{K{)Vbb|ws9UcTbO_T=*;&Yv@?=p{Jy+X{e1UqNPxWg+80&1Q_e0|
zzFAeZ=hl-eYo7O<>F&ELWviVVw^w7I^zO~I?Mu#YsgZgA!&mFf;~>^){^?gDoc3*4
zcK^-qL%rKujZY@GKJJ>s6tVk5(mqLRsoSj<Q!P>t&6Sf}YGJz6;`ELWW%|?Kw*}qi
zkYsY|T(K<W?X5RglMagiX#KRr@u2Rm^O2n^vhJ4LTlF&VX^7PA_(j&sCV&2A^1J+C
zuEL?Ir}k9+KB+$Y^OI`VFG)ecC8u_EpVj*sIy>n*H)Baj@S>#K5_Z{Kk&o0I+qXp|
z?oZ;lulneq=yD(F$FA!dw<=v;eQxflsreHfQy5YVgnn&(c_K<|>tC1aTOLeK*t^Af
z`t%HQPnSQB9rkz6v=TQo$?!2`ncf?}U}8l@S^JW=PSIyp2_*h{%&i&{>U(7Cck_Ac
z_dgKrZfMxBjsMbr^({*Szy4(GdEw6>5h!fhaG&*ohN|Yhg-#DPDc42z^04I`jcz>A
zUS?(GyqoXO+a9}rD?V#W{a$r9X9>%?jE?!nvm3w9ydA8?b(j5BLCSVj28l)Y1lIe#
zZC-DGzW$EY@df<~2Io2j4{qJ^sI>gH;hYKQ8k8EVTIYD$zcyogw=yJU&)gMG);1}v
z4^B(HO?|fNi-zl!r@MtN<=)SJye)riSpCz6ogZ4w{{Hq+JpAtWw3#(!CQ`p8o0`vs
zSMUCG?*`j`&A0E_x#P}MUHvfqpuF~~w6DB-6y~T%dS117tjvB$Ti;9Z#_@`HR?+Ur
z{(D^}`;S_m&MW?VSmOCHhyJe<ISOWdI9GXV+tlcN&IiA*4s?6;^Ohr<=+x%vAp&f_
zpYL@`pR@0CR%yxG=c(2TSN}f|z9(|)u$|oN<43<ZZr^7l9$2uO-TI?`M#aT0-7c3_
z{~uD^xd9;`{uHJ!{qDuh`1l$xL&dsVg(k}tg!O9-4@^j^UahpwDc&IRTD)&GyIh|4
zi3PQvp2Y5$>vmYX;HlHabU_(8FJa>aZ@u)tA7|wLm$vFc_rsaT=PmZ);PHBJ?5Tqt
z+jg5uE{lTBtli>H^4u?#b`&}-QV?L$e(>>Dj$bE7nU&zPI)=2x|1C{l?3lpBFzr&2
z;x5CbTf<q?Z=Rj=(j<YsaVno$g^B$)XKvXwk0uzek6Cjj@V1YkqCfk;ztfV{`Il}i
z-Ic!W-PI`G8<$lIeGjf$Z)o>0tA5IsiK~}>R9}4Gb=GOtz;L@Gu~$?o{g?d_t5}l#
z_d&vmDK}re`e7oaYoTo+eN7-_?_QJq&3kuR+D<dOo3J+T>EEhk(=}y}s~6w@_WkVE
zr(ZXmy>>9l*=+WO+@L>MkDnd-vHj`Mr%hqo+_Voymc9;4Z>l;W6n!IX^MegqnrrMb
zuGUxQxzv_cyq(0LmiucjFZ;r>ZHd(>40o2RMPF?W->%Wz`zo>V>g~zXrS|jnmztj|
zIWC<iJl&e>5$9iispG6->}}$wSj`Xntu=ppEpui>dJyl*%;GG!)4M}h49;d`rdGI|
z_$)BJDD6o{p_TDJ^PWR3+M9~fu4sMQ68enmC;u~v&Goa(w*0KS_^a*xt=sFGjr%`^
zt*cI4Qxr1!_LlT%MQfgPL|GYM^y}W3FBrJ*b-pHhdffAq+aH|Sl2zGZJj?N%=<dh5
zd!I~sYZ!K4D(u?5Z@0N?ITA{O_kEqZ<9^s?`%}lC$)r7A7O^An7Uy=SuL6p_qAz@R
z_*5sHn<u;GU5xoNBj+jeRr<oG7xAu&_g%&7xvDf%dQXQ@&3T2Sn<@EU9oOaxc$eAt
z6|-Dlcq%&Y19xSvmS$K==<1}{+nMHHCS@)4{y8V)c6alq%mvR23*uggeB3Q|aOS%p
z>C5r4acYmA-%Y#q(KB4>ZF_^CVDXE!vw0sq=Y8JuTVlg?{%D^(p`uK_lDuHoMZea~
ze^%XP=RMQjTT>|VYtG|@DXTjY9x_Tvdp)}FTw}+fKff&sHd}1kRhF{VTyw<)orbd_
z=XZNO-%)pJ=lj?*b3>};{+wd`?XL9g%~P(-%Qt9V!7%MK`(iG>*`AIEJslGQmQ^H~
z+$f!^Q?qv=o3yKnmfWiQ)1RH5%qVm9iM61cr}X=EEN`})QRA2G@^h<td$(~*q?GaZ
zBe%T7Zi?Oted&5)|4YlCp3af4UOiZTGOYfyb4>NpZ(sa9{(f}Q?GXvR`TU6Wk;d!4
zve(}hUCv{+J?=%Q?BnX&nl|mO6C!SH4*2{@_i*s}vxh5xHMzXrQ#fCC$Cgvscl+l_
z^S4;MJhDtE;>5LS)#-ZHM_0K-1nfThFX*A7U)D9N@GCpjWkeqJ%r5gvo_+93&$T@^
z=VwPmuKjs%>-E?P8I!I*5}v1Dzk}n4jmC|WPiHF0Pj_*B^rAUJf6dz2GK#Az?dp%d
z-&U~n%jR>@Q&*}49G`bZ;JI{No5f7ubz59M2GwmY-1qFkp=iIewy(UUKHS+`78m|a
z;^I9`mCOS>&#tX<F9@{bZ%;9r;+eAS^}RFyPi|ULUl{g!v+9?7HJ(DpAAOJKe{(PU
zh3MYul*(N<64M_pvEFw{G%GhRLWA?wq}%b&t5Y5<(b!@4ROX_|d41-ee~zp>WBB@>
zcI@KdwSh}@^!8rRt`;qn=(bI(U(~BQd(GOc{m#>qwgpx%JoGhJ#6n8tV^hE_CkLyu
zb4%a1uKIY3N6BL9>VzE;-XaV9)|OWAycACDIOVOn*JBmy_EUQ&?Ybbnd*iy@>Cs-g
zbNpS`e>*9@$45!Fb>7#+!iFfLxsD>{lYF#90#~Rt|GtxWI>cjE`|8stZ2zrXG<VsG
ziO2s27U|{w;*jw&^Sr0`bItGV%3KAucRgI57SFYva!o*^Ud=@JtkK;^K@(Q2zBO|r
zhjQ%q)?2&cG<sYMCZAjqB*-eWbH=NOOE<s!>nZ6W@@;P1J3)K%j6@rM)rpf;+Ww0K
z-`4-tyeIbI+g$bTB|HlEg{H3Q=DatNRdvS2cKNrbw(XK&;*I}b^7Yx%YkM;opPpa-
z#_-e9uPc}A$SVr5QhM|&@{!W@hfglAPQQEFb=9$yLd*V{eT>4jn@?&_(-7U768C&o
zd%|}KhCqYb-9kzRcUKniO}+k5<n>#%&d2BTk|%C9I+f<57C6cC+OBtN`!sxO*Kvy;
zf0&}DbJIK`WMTL+DV;El`W`d4Q{D<r#Vh8Ud2wmpocTQDXjp~#97Q(|DGep_BPaNc
z8)mL*tvUPesohJ5<8SAQX`eKFo|gLDtnQYYP~9}6@@YXDkJz8(^}pd0oHL=xfMcqK
z_my`hOXi3=-;`6$iV|CUaK&@UIfmC>e|i`k#F>)yRCb!7q;c%2<tm-EQt5X;hHX-i
za9DGPLA|-$aG&1V=?i%_dH0^X?*2FFrB`dkhcsQ|o%R1*{7-PtT)S_7Pww}&&sO_y
z^cHiLdF)}WzW6%%*4-z^ubzJHddXsrbBX;CqpRnSMs<JP*^#lLWz#hMlRUn^dJ45y
zzRWo((A?U1`H7rN=9f?ZZEr_yJQ1bDZgKBv$l~;SS7TS_ze)-#<6Jsv`GPM~Z5C&6
zu8~+Ge}3NMTK3otTvK=dJ#2H{`Dd(r`Q9U$O#KUP1(i5%>3Vdp`=P^^EsiheR;_$e
z_StlAPSJGLDZy=*P0Us<d$#bab7jWcKjjNPm@#yJoVPiAl1n)2jjj328(uaG?Y@<~
z`Cx4n|J1Y&qv=OnUnl)CywU9`WTt$+d2-g=+iUa|8Fd(zcdn0J^6-hij%1<C^7qSX
z<%)j(60}!H_Eln%$y~r8@2@uVRgl~1oA<9;6wGs;`NiEycXR2S#EG2KURHlk-@YcI
zyg_?w$NfE)%zNIyxO6P_($WmiLzdbB`(~(iX-^f&otCF~Z<%}&^TV@`-m__}RZp?~
zZnDk#^`eIi`{UGkzgXG4(!DpgaN4G#X-$G3cVBwOrnmUQq2G)V6XqG^Hivk)G$_|D
zE}Z1@_{aCJi8+3fQ<-J>1evd}=yQbM_05u9V6glnLv;G3g-6U+?E7;=ydXm8UdH^R
zE#LM|T)yMLiP?^mPH&D{v5Wut)W}65L7t210^H<U&N_zQ>y!JaUFNd&PP-6m=*ASr
zTWyD?`AB)I&3qB$Hp$CECZaU(@t#*7LsnclIw47}bH!h#rJ9nR^^8kHuHMQJ;xOD^
z_duoN+?B7-^fu(TaHUL^k63i$z)XRQFGCLeF6N1{ny1GlmDHBGeVw)3s?%<<I|W!J
zf+l{hXnGszvq7Wf>~0;SlWeD}X1vPxXWS7z@4P=}|GxIVHS?}q*lgu|LjS4l*SWiQ
z%czEy8NCRZaUoy(^#{qN$z_U~FJ^_g=Jd^uYBiL7SuykOwR=_$1`^9_wTpZt?P4O8
zM0d>9-#=aHl;5szzqo{Y6_=d8saoIj<CE@cg^Nt<x{PF%x9zoEkr2E{)^_jfQ(HNd
zk1A?PKH8DC*4uUK@6Nd2b26rO_`RJuRVH-Rfpq@j(3$Daicj5gvu);%Homx9VY;(}
z=A-Zm<*<u=;S~zUPO^Hvc0H{xd*^bL^mY9<ZSU5glJ5K$o6p{{|0sOWCn82aWJ}{w
zzJ<?(H#Oc7*5IluQ%-KQnib$A+A_;itJdgWTfI1g?6e&V4o{Mt@+f-JuS-*=J<{e}
z{^Q*zf#<VZ4LT1MJw58N)#CH?>TXVpN5Z+DcX$`@yZ^kq@Y~X}9(!!99ril>Sr-yC
zF;Z4!rcQEeszBDofY!c=H-3K-pZr2XlJEJgrR)BF+*}{y#KNV>QK(v|?|Mf1T=pMV
z-<ZRb%9kpB<<9?99qruV8@izPKi>fptG2kj%}xu8^Bb448cZ%fU^I76lE`|I*zBLX
z`uQd$v(__vo)+VLyrjo_LbI3bVa5|TpU4H@*4O`W!h5j*+s-YAtm~O~-afj&N#?+f
zTk7{eB!r(iFv&G|;qwL2TJ;wUKWW|+f4=B%xVsQ<@abTW+x!1_u2}i&`|UHL*01cd
zd)M6JPF<CGX0G(4+jbYkBntoRtU1HGyW-#6*hhEVKVI%zFZplrBhLrtW&BM3^Y6U0
z(fdgKU6YU6vlsr-H2u0()$1V3?QQiRZaw?MvMb)f!MFE~mCUZd2ix}=evFNcHPv}w
zef`_Yhrix#UHX;pOWBJ%PyR%d-#Av{I3p`!Mbb`%`%0OAKRpZE@oVMU);`WHA=?}>
zK3uz_6J`44chI$OOMDA$BvOiZndWRwuPBaH)TyY>%&jR%UbI!=Y+7rs_n`@Ex#n)G
zbzGbGxcKdxZ&8)W7k+)odHn0t1GnTG+qW*=YItz%omCIta`pe1df4*#_uAX<@*?V^
z)LmjO?NT`=lJ~V{#>I{0DV*Vo*F-|KZpte>oEsWiRJr(l*x!WcM&F#XcV{cUo|rz*
zlQS%n*T?dsT|$}qJ@1pvm#=AY+U`4b&g$q>^(CFLJB@k$E^n{Be>a|U?SrJ0$Xkn7
zr&zXy%q$bCelTg7N5xusm02?0b9$!DFS>QHw{?EVp{*6tbI$xspY`cU{KGRzD><{n
zthRn`)tO}ZYksHW$HzLh@61G53$^F>EbLK9ymbD0>5tX@w~dzz)cif_tiL3?dUnz~
zh1LGHnU)-TFZ>dn_J5K+>np#WBJb*Z&vg&a-?#OkUip5HQv1KW601IYM9-2m;$HO0
z>7sS1fyawiZ$5r;Ih_5&duQaYxAvdk%U_+jtd2+FX=!48Z317@=eP5$56ZubSG)Gl
zwsMu2bYY-RBTwcldE2B0%VM3xTV5LiPy7keoFjd#NmkS_`S-*{Z14W2mF_KQGX7gD
z`^(3-UZ#D@Mh)L-21kN~ujQP)^-OqLo|ym6z|5Q-LfMUv4VUN#dvLzxOTG2^H)p}O
zrpwD;oPRxo|B*QN<mNO-k%&Y0cWo6iPnn@zFtx9Ry{E*K??Kq-AGv)|-<T`ZqhBYk
zo~){MH+#x`d*@xYOJ$Dax^%w%Fv&M)+wX~YjwJ0k9dzILW`og<T>?*8>d$`5KKq&V
zqTuV9ichvr6nN75!+C<Fy2a+Qb<bxqrrqPb|EO+BUwx6fWSIHE)+q&dE8JIKyTkTn
z>+&C$S2?ifzuR<S)!mAeSzALaFR@?VuJnUx_A^`lGiHkXM}M<Dcg|Z>x4BO_cEPg;
zftR;V35d{+oGQ2ENX{?!1^;K?y?RoWZ8!g7w-|kQ{loG-rA|ugT~`=9j=HGwWAe5G
zM(r9;Osek6u2W}WeH{8UAje9g@;1Bqnp|-ix!%m6E}>?>1*>!}PwfaldeLch_6PNM
z5<!t#U#`aVge~`%QAxBcKRWr%ocj1+$>-`EXC^hvJ!3qsAaiwFMd%OXA9;!UCxvP}
zKh|KxUt3Y1-oRPIe<<qWy|<ck)XgnYU%&Y3^~HVO-1**akL=__Y6_Mp?p>-pKi%z_
zp6$&8eQ)}j_vL9_HhTSNfk|eRn(Uv9<h?i8{=a40Yn`wrA@?;`ue594^U(alO*2G!
z50(qfyd|WX*30)KcB{4Wna4b-Prr80f0_T9xjXCY2G)(e$z`AQ?}*IO{*jq=(OQ|`
zd!bA9qpJmX60fdGe^<=i@_M1BT$E{gtesGf#JwrggTD)}KWHy)aqd`{UFoR_N>Q&F
z9O5;sAID5w>gw$mT=9CH=nvWNi<Xx;*q<xcH9yUs@Mqye>+7)(`romci5|0`5%+A9
z1OJ6N57KHiH(u}5zEy5pF0iOxqkSu%^9g}vReVAlSPc%AOxPVdLBndA1>4dMj!`Br
zj+}}P@o|#OZn~EyB&v48PUDL9RL=Fn-fiz>I)8t#I{9|%WbR12zA4H=jT*Th7OKv5
zRrO+M{g!Tg>GP=_g&XW1Zke-HctxRyyj+x5wDCUvZJhlfjo(<zEk*Qi)K>@xFBFfr
z;`DAP5c=NZe13ZiW4eKo(5iovwx?CT{doC&w&#TBrZcX;vt4g^{U7(kqV&DL)^gtz
z4`^R{^82a)<E<vzdr!WV-nxE{o!y+u+#1gvU15J`wjWi}eDYgu0kaf$L34HX10f~3
zpf<M$;sHyHxsR4!W%ChqK2^Wry2^X4y0&RQo|fzWue;NA<GITM{wY%1IwwTkU;0KZ
z?U9G_NhvvT#_5q7JnR>jyjXo<e$&*5cSkFwcDwuzFkT(y)Vck~%)+xD7VlC2$@e&T
znUJu?!s9!tuk$6^*SICQsyzJk=(I2!Ptl6Ix2CYLKD>RwYT>f9!pA1<3*7aq^ro9V
z&3%xtga4IQ)68!f>z%eulD*p@{wdl!piph|u?a0{3w7<fr^S{rwXR#dZ_>rz#X&M<
zwX>y8W*l&czTmTj>zvV>a-Hjs6Be6?7QZagFwE@={GPjW(*a@o&pUrCpR(lX1N++x
zQyW%x*|tsLI+(8epEcDtdi}qr9j7d=rW>^FVcDyxd|=+RQxkp8KWn;N^j6Dja;D`4
zt9JoO+n1>6?(F7w*ZFpK>d}|ZoxxkwUrgQ<aa`W=@~tVmcU=%RIl>?CaWdnxXqDxj
z&rG>}p1S@xd6R*?_S0UU(=oez_AS=@`p~YkFMHwV^5(Udw7n%??BICE&C5~yL;uHx
zC5IAkPwx~m3(&ecVQy}L&ez4izU{k|5@)g2V%nF^(;GDY*R|#MnwX!NaALP*mB>Bj
zsr66d0=K)wY1z(8j*xbUER(+Ao^^sR=i<T}?Z+dY94u?M?C2IVlaJE=#QCqWN5N;o
z^Dv2tFaGX-FpF<l(Y@a<9Zv1g(Vc#KnvT3f$I3aIm#CF{Z!FB(t$z4rk@f5pgEtJ(
z$sUUeBp$33n%S~CubSig_N5QzT`<0=@ar9i#pmDRzjQRFu6@e%C0r`A^x~C0xj){9
z+A-`p{P%!M-kiG5{n7ceXY+)szBMgNJN5A5W1Vs#<2lScAAkHhp(fk%?@!0KL)I7E
z|DOB5xZZ8u#fUYl&I<Z1-NaYWt(d6s^19Anr+LYopE}smH(B3F;(6)nzJI>aKEs8(
z9zI#AGby9&c2Nf(YkB|K;4?K*uNI!3emv{I^=k)<es8}c&);|7Gy36$8LxN98{a9t
z>+P{I@qJpx(HSjbGxlBA(qC#|sa0cf#WZ88z@P8@+j`!+anJTH`Si1ER(`KnPwoA)
zOCO(G)x5H>vgWMw-T$Yv|F1k>R@oxBXQlj?p2UWaTIw0By%m$X7nV!Ph3M3WY`J!Z
z<H8v|?wxY6^Ej6lEnZn~Sa$S3`>Lh|E&R!mDh<1J`9E*=aFeS~=@(nxXYGFa;Le99
zewN=_cjb+_##)Bz<1##AKHl?~XFT0_^YjEaf8BP$KIw|tes*%R{~8<H^1Vz;{=@zK
z=8*;59ZPFgx61!1_o!TPxiG<XkNae=*TQ@TuOkF+Ri`YGS2a4la1#G|F~4ZNm5)lK
zFQqO>e-RQtB~9#g{FC&>1zg*gPP%+$OZVk}_itBQuH)T$eb1W>lF{*cIzP{EeRnW(
zrF~vo&H8C7vtII?$z}UC>)wO1-ItW}g9GKmEz@FdvtO01uF2K<^r*&Ovf21)^nTgn
zp`BcNb)u~w-Ffx*|JSbMdL}RL)0e(K$bNbuD)#w}z%Yv&(jn`_EPgf~{OWqAZmP>V
zjh8JSm;2U#l(7-`om3ln;+w$lbCqK9Uh^u0{_Qqj!Z!IJ6SMsLRZ*^W{PB8DuYdO$
zIPU*s(ZA3tRA`d@7PS)F-IbMfl}~=LJ6>Zny%u#m@r7zycDAbBnR?Y5`TKS+dHlDg
z@z?dWZy$<j7B?|1G`)TP8FOk$V&>D&KT_`7q=w#2+tFxYWNf$gWmBHqc3un7ZKdzs
z4&L}ta%0*5PhUPc6!WeR-pug5J#yQwxBnuyZa0n(bDP&z>c-pZbG2`co2ic9@78tU
z)~uX6Ru-SNxiHVn=7HOZxgTG4TDyv@dL?e7{Lf*|jKxchrp^`(NPHCc&E}Be%eTiw
z4^HT2s!sP>TzkA)z+%m}mZkgSvg|KT$yN(pzHP0K-^>e7mMD1YI1Bhk+SKaltp8CL
z{>)To)8TWv43E`PcW8feynIw!a^*T9!>NyLKQS8l9WQp-JnKgZ+p?mK%XKE}U#+u>
zI`wSz^5;R<mYd23G_Lqmn|Vm~c7N$AcY&V)l52MSjlD5>n$8OEKTo`N%+t9vyD9o)
zX55aaCbcWFY!V+>&HHbqw(0Bof=M^?=BUj25;6JHg=nK=*LN=ezooi8;A+aD11gg=
z*S`-4mEW7UaAM)4gagly`PV6I@&3ge;aKH=E-`P(Ro}{eE)Snp1U!`Z|MbL;=<7l;
zH9|2=>t4A}l=F(1uVQy?*B8bN*3i;a#kHp*0w-mpY?^SWz1(oe>uNnsp{XAuW;{4t
z9g}sfd~ZRDr^P1cj)Z=G%S6d(uT`e=c!nq~-~6a*={>8H4>yLenS6?Cvh@o1S@CDB
z*Q_(E1hsGOv|V2BRq$Ee;P{M_j~Zt7aZF!*a#!syb+;pHtAnGLO*vtD#zKrgcXx!m
zSct8*muRn#@Tw}S)?x=w<v%}9HfHU)8q#FK=kq)-+f}jX&=#J^eSPhLyPw!?vXQx|
z>$1a3TJcZ%-LJQ@C#Fml-oOzi<8;|p|Lm>Z*6+UQ&H0*lhuPQ1{m=n%p7RHd*l#Uh
zS!m)_<=0<)Xp8?LlYlPK@5h#ZTOND&!~(O6Ce3%>tPtz`lrG+SamjY4%y+k5#?P0v
z>+BCbcKp*qlZz*$=G}JC3`(@%)L&8{JAeMf7a@fc)|~af7WTR`>FCZ=^X!#hZGUjY
zgmIaZG1tvC+P{S^ov1L^3%o2l|G}gB-0S{z)q7&@o|H}aCc2DIbHN848;xn~8$}&g
z$XZQ*@#Q*a{y*!5=bBC>yE66)wQ!hB{-n^#|IqcaK>oiY#U2~C-ae}_vG!-+WIlJh
zngesn^!e3oFO;yWtzVw_Zt|l~ryf*EJ*fZwY}5QEeQvFe`FjqvuSz|Zx!lqsRDk)d
z!n8MO52n4ozG#d0rYI)&b+Yc04Q6!&@44=>vu!fFgNMHg&yNSu6<6P%_m@*yukm<g
z^SS+IPuQ$3s%(1n=VJRopNR)dRvcn7=@$I%X`XgFtwyNHPG0=1LtMz*nua?m=hhki
zY!LsX?Gq5V;_=Shr{@nfU3#c~{Nut4)de|L3H%IBnY_8Fx{{sK8&^M`^6`&X%C#-t
zvV2G8{5~O}_JC71Y>vUsdXbhVw#!+ju5_+@vgK~2Y^v^7zUkVRZvRj|D>>yvp;PFb
z%`eRld^ya*snzc}ZK`@|zshP4<)jrxl>w^{-2E1+Z2vXk80*y?E{?`*X%{|jOqH)R
zIc?>A^_0@(m524bJ99ov^l6NZE14A}<+p3S=g!ssl@FH9op)v0)^80d8>Q8|)nv~q
ztmHd3XHWjr+6i}-_WVD|=DS4MerGp-D8nAVgg#ck#hXMjmA;&dcz0&m$&46}`fFwu
z)6PG()@(1;-2P#{f1|t5gjY3;)7GB)qPYJ&r&dB*NveOt)c?-QUYoK%5B-#JF#qnU
ziqOb|P6oaAtXs|)N8Wn7X37Z;jTpJDC%xs;9cO-?Y*@3xWI@}TeGwb_ydwgit+9xW
zIxv5kNc-di6W+J%aFM_3el526g|%;{_@~(^)fbj%|DO8E>OXtygOw*tZoCb%<J_vT
zXR1-9$^7=+IpR8oS9W}}`nPJ`nsqvS5oxdTr!t<NW4bAQg;nSOlLzacx1_2|GEXtv
z_{T%;?6XX(l(<(}fv+TXnSPHDHr#TpEFkH1|3$Tfmk-Y8UUPTK$@6JCop-;!bjX;T
zu;F}q_siC2|2kvhM9<yYS$lKpwd|{>H??J-Z2G)<o@jLaSBvhjvz)D#b`u1G_Gh$n
z+?me4=+UKFmvbZSZ*J3y4Ba>Lx1jr^Y<~qlp1phD9heqcep@!M_|s4IzS+AACNjtG
zdiODkXWk?2VDohz>usyT4_^HLWu3;-ljRA&S{9$X+1y|z_$iy~;;9Ypg{Dad4o%L@
z7Jpjx;nl<i6(ZgZp)a>4J$L&5wXr|^*XQ-MuRL=&Vsz~187)}XR^z~<_5F6hc0rRh
zS$B0_-n%F9@$-Fy<tFz&aDTnG;?R_Ktw{d-u)LmQ?YaBqUuxus+>}|*TCgrJH+21#
zf-JU&eVoCe*)gfvAwO;2Zrpom+S+}N=U#k!`su>~56Nl2OU+r%`_H=F;+tQ~d&7v2
z`}L0<)!CWe&woGbac|ripY-C^)C-pViSy6<x2|ojmfr6EdE0*e=@m2Y%SmO$Wu(?g
z>04-Nc+0(6<g;&u%(NYo3K!Ww(o||YdTr`!)75XUGp`ri7VnyT%+xsL4A<N5^1met
z{p{2||6hNktUT@S@pW7M-+NlloP6cV#^rw^45D3r_F8&=YqOvA=U>e2&zc+WW@wxV
zn;m@RURdwvkD}3)eiya3ahy9|=FGFL-O~Q{Ex9Kp8)SoREtmZKto|kG+N;|PX4?Os
zS(Suem>yqmX8*@9+gg1?_O)q>)~R1x?4y75&u<WqT<tH$TGKvjcaxpVz0c{vGq=tS
z_#4%JF3MNK;^p~m)n!j6YsJf2)m)w2SQyw)y5eW*ghlqZclm|MT2)>xc07=<VyCW$
zVZ+)3moLxv%_(bH<2CQ=Y;gx3)9oywzc&}2?dw^k^!mcCt{GM(U$UGYPrvSX^)u7E
z(u{jIC&qUz63<(wcEtXc;OQmy2SVP-#O#>yS?KNRvRXa$nP;_roX-A|vB<8xTHxNF
zm^D+Z`+fg(?r6FG^nOw<SLKz}d}*5>ee-;7vUR!+$AWKhj{6=xn^d<}^s%e9+08!r
zy4YIpS=ld+thsQ%%Hd;6pZeNEH=kIn^J>!+EHk`#C%JvAsQZh#4VT<KN<1cCWA6Bt
zw5C3&=~wVV<@}}(CO3I9eg9u^vNPH<^OpXblOO$c9R$k+9{9ZYmChftCdKFH5-+iN
ze~KS{$iB1o=dYbBbj-xIb{(Blux-r){l&Amve+NR&hAm*HD0_kQEC1Bqk?zk6wH#>
z?ckH0b7Om4alYaF%B0Lm{nnS$d^}?>?XFq!^3X=E*$rtPtgd>Q4sZ6B^XQq`$}Z%z
z7QJ|vFVf*Xqf2qr{vMOn3%_gcE?5@xb-mS@%Q7sRjhD#&dhU>A!p`WIV*cv6#Fu|<
z9flP@?w9<#>8zV3VaS)cN_C@=@|!%j`@c&fN|v7d`2T~z*8RW49-n{I@vc5T>*d{M
zo0gid?9)wFRJ^<EuWfW&V$-1|^OSYEnYd5O+rPBhZ(?6-eCO!q`HChC_W#7450*yz
zont#%X3<tBxb|$p`{xNeeJ*`gaC(`P{Or!L|I6G$m}C}yxN)fJ{{NL7CRd#o%*$E2
z`Q)<iL2SR@Z&%5*tWT;{+o3A>&1w4*j?d-qoG&jt?f*5IFZ2ClySWLc&gHzXi7|VA
zruWWYeW|POZfS<v)ufwD{AK#IcjNh2K4-r#lzbZZBq7#$#`<!HUN4Uc;SRpe86L69
zH$t1kHXUbEkKXfW_H*_7{L1Fj+a{k~{U^+Jo5to2_7iIs|0%sLe(&hAz*p<e&zC>_
z{t~ah@YF2NOzxfTPX8Z2e{poSH0QJTvuZ@1-`jgdbh6YJ{ZD5eOqsNK-YV;t^|=pi
zf;1o5Ed80<kz{)N-PMWySv4vpKiZZrSI~ByT(nx(#qg7**M){lJYE)N8?Op(ic;g8
zyJup+Q{!cBtI~occ6KHQFEBZtR}u6&z%cP@qEl~6^5?}S(R*|GSAG*)GU0;OYNtfu
zu-Qf7&nx@?FV#MB=s<|=_h%Vb-}3tFJF+a@sk&s>tlYa^ZHp|Il}-A#d45rn>2dE5
z3SXMFUUB_&a{B+ragIy=o}(L6b5(A5yq(s^xBq(4ud^={+4a9Y@7k{OBW~)Z`fUD#
z^ZOF-vxfI?zE${d?yEnO?ZaA6$-C~elYM-&uJyg>_q@!2C;b71YhUy3F#Fy&C%!l7
zg!;mlw)+JtH=5sOInq{~ZW8(6#*{qI&9{!W6!*(kt@Y4ewKDj>y}-dQk-dL5%c@Cc
zhAo-At0;5<OJMzE?Xcgc1EvZxuXp;u>bt(2eNWkxs@%!TRH~9%oS*ybb7QKmf6*~r
z^FzNtZ}5*ZKkV5iJ@d+Mvtjt<r}?K_bYlKl%~@;81f#zHTV}JjqGtKJ<qr;7p4P8f
zFH-JZKDX~<ntXHj!Z$CI_qXr~Oq4ulTliw@CEfM&k52s5+;FsDiAd3d`#*R48Mjyd
zII!ff3H#r<p_Aw8>CSkiU?nebQRms8?iFk|9PM8pT)ynTS(NGG`+Iv?w(X9*yFc~l
z?zL0-+-L3XJu@e9#f2MNw@*ravc~eN^Sw8RJXY4f;1F${7Gx%LXlH!hzxA8}6ZdVh
zlNAcxbM!=3x$z&ly%tp&p6|l>|6X#{?|U;fXiw?y3^kJ@|I5x9?X_9^{Nz6S!=F{3
z9JDX<4t`xwSR6CW?{8xIP5WQZ&t+YH>2Ud@VC9Cgx+^nT7nlF@osuhTZ^C@#;`O8_
z|1v#-pQH=0id}#Htd+%nfxpfh*(&jqs)|RBn5_SLP}|8nIf$w2z_QI={~s(CdzroF
z?H0K%+nMjLPP}_*zX<b<e)Vgz2I*&aa_?l&SXlB}XLanQ^N*tHt?p}oYShb6{NLZO
z^GwK&zT_uWpY8viot5~-s_&#w)RO%kavwc@xN^&ww$)u}{weg|?3}Vi+lw{lPyciG
ze`VCnc6aW7{kB`iEj_CzuHUihr^GG2&eyK)1+4ukFYms(7;!^eKT$fV=1(d|oYRGx
z+_VpsQI1#7Rn)zHdnfS!gx-~FCmLjHOKWfaEBi6Ioh6sM^quctz5Rcpb05@yW{vyu
zZUx_;^@7n(+n(2RJ`2j4ynlUQm`3o6#vWdGr|jbg*03MFaDH*~Tbpasi>_QboM*XM
zOxfa5{VS{awm;5KKVKImX#ZsDh37}}4*l|({`peno11nmn!gT}?|Jp?`Rmh*x5~Zi
z?RC80Z}gRA<~xI{`y0+`J~N-Xr(o-byF2_NN*_k&b{^lbdb!B4U4{F$E>2mr`%XY?
zU2!hQ-l$MJkuI(iCJA>B<>-D|Ki^)=%gop>wYn>0E&m;>TkjLU%U;y~TJj=P;rf=e
zm5DRAzW&xIDY}-o`Dy-x<;xE)N&0r6hh>>xdfn4)^AlqaWc;n`U$fQ!mB^iMuT)aA
zU0OEZv-;Ah&wOo3fk9J7XvWgOV;!zv+$3YO1a8mi>3{DdAv{ZF%d0S+zhQ4a|2&a%
zr#tU_!~3Vn#;aE+f9(`L+h#WN9aCEJtJ}&MvzepgcV9I>Hp%#2eZJ?q+WVY$<nJHV
zySl;b=RU(aySmV~zh9(y7u}ffHQ`zF2L0#K`}h8R|K!VuYiCxuzYA(x81-__l*_A=
zgO?jkX12Gyo_#iF&c2cdA>xY}E`3&6?C|C+=e%D}`07IM2dp$p51Fdt_0Z(0chcIJ
z-wEb*1?qlRD?_ENWu0?gz7{$#O=5bg<ZgxZU!Q;Rf2)0bu<UDK$lTYxca3^0CmIJI
z+q3apx1r0X;+cK3XWi^cY)$_Vq#%8K>VsRi#Xm)zzPh%2-L};9pIjA37XCf;=lV7F
zGq239y)T}2?Dm95eH$WX%6Q1Ht=3UX)wsICBtvx1z3WCsCyR3~f9`o~clJv4#Pw$V
zcjTgNR;MRUHxB>ql$HPdoB3q+Hhx*wD}D8x!Th`LeY|<`>0`}5`(CimwNg*_(3QEI
zyj83FS@G51O3?vctP<zh)w&kSOq^b1bH8|pzs!qG7o|3essD7z({SaR^1Q0(jpyNt
zUmf>jb9H_jL@j-6^?q}Ha`p0?6PKDd%dfw^ZBJgn*4>-l%B)G-{UEzGM(Oc}cQ@Dd
zB>M$vci+E%YyPt{-?$%0^=;!4yYjpzL+O9u$*CJxO<NdK`Tj`NlEqUP)Q+Tf{XUTV
zRa-ah>*im7HZ5A0IWe^?^7d!zH66?6Ey?Nq^L$sh*U}YU!fuxy&0FcsT>oIP;F-T|
z>!)v+(x`vFPvQF4+<j9c^LG6Fb>wd4e6g@g@@4^x_uPKJ{d?&CscZh21*~3k*~s!k
zeDK{rMn2b1Pb+6XTjCuTIm2H>YhOO6z{^#k^9)YSkvn_$c<R)t=Bo~-u2X#~l>4{(
zbc(;H!OT0?-k#h4-`VfjZ=rAJ^PJ9T-#z_izOSU1_RZj4Bi323zTMy}G!xZY<;GHA
za@EsiM&9*_-t|{<_A*I|^}DjnF#hmv$LF}u^LC!{-G4&(IPZ;|waWfy-fesvtRFP(
zz>T!&8Fzk1S0DNseB!^7!F##I{%8Ju7wx|AF{#W?d*KYxm8xZa!EG5lyUote)ZY^J
z?8Wz4;`$u2>RAP!3*ER(p1#}oc45|%qZg-WOMbj`ZHCt?HIZU=CD{$DI&2nJ{P7Fn
zcs74Y`uSQxty0#sr+sVdELId=^m*~4f$e+vY|Vv_Ro|>UP<8Fa=bUX~6a8am=IAqQ
zO0UkbFEZ);RQT-jY5#@|t7Ux8t0;XD?VrDRx}trFO!tnga}yp`e$+e7CN%q5#<>eN
zyN=AuI(4Bjak{*v(xx+c&v>qUzH1}1;-o^MgYNbGi`AEZRmvu>OnbC(!`b%a>+4)t
z!~dtbYSlcQe82DVmrGBACVbeWbxVA1U~ufV{s~n*uN|&Fd2!0}$<jyei`gyT&$?H3
z(f8(6rOc_BR$eyxhaN^Oj`+tlyPnUs>&YXpmFxBtY*Q6#obaJYds1b@-P=iCA_7Il
zcdo_h*`{5Lu29_e>9C*Z&*t?$^LK@8Nl<c|Za1TCLA-oPwN&l%zoJeHWgniFUEO%U
zewuz7*Ojc|D_OJaRo__!T<9{)JNTvG3RC%yV{)H+kJcP`)h&G_`fI4}v`e#m+pXt0
zUzz^@O{PzQ59cR;yPe+@&OZ*G|1;^Odta6Mp-Vb97wP9N{E{3m%ALKW`I*Z{@twC~
z^v*HO{j^f(f8F(rZ2ymwPsjT>&)9YOZdF56<+Ib){yRgmJVWD60(jrph~0?%>B{Z0
zu{)Cg%Bl(l+XBtIC7o_Q8fR4<jGR&%c*^ttPO#Z_=J7h!oh^0ee%W(I`#e(GJ?;P3
zO(jRasr)f_D7<F1|NqviRjx6I0uwi^+E$VL{^-y95=s2`tyOG3h2Pn5cH;jOJHd3F
zeZ_w^_+>@JBsd3b|M@7PD0I(pw*%j&Ha`6I;Jq-{tLV8ouMRfe=H^!JmS)`?9UaYm
zUwzZ|{p<JS=jL?GjgNf!VS-%H>h<{?aWP`IgKFl={`$Mk-TB0?n5he*G9Hw*9}iQT
z{p;GDs^dpqt?_;FZF}p)jZJUb9$Y>7?&h(xS3e!=?Yz1n_n7OQZ#B1`ZGZ8$eW7uS
z8+RBh-=$?&mS4a9`>uWUvHLt$>S3vC<8|BiZ8>gxbb<D(NkS*jY`(^RRmO0^k@AM~
zK{<;&Ip&ssK4tRLFj-sPb<eN8n}oz$-(>zP+OXd@bjlUWq6b3BYgVupFa3J1|5f77
z&q~+j1aAM1>C=n$(u*#0DY?*f-Ywwtv|#JibCz%Tb=6mo&9&vxB9moXgbw}GT=V(L
zxxXBrKmCcDzVBY2hgSQYO+D?~kNh{$>Q*wm#$6_Mifgs}n|V{d?h>f7_DkIC#gP^q
zF@5FgHM6vyJ-rfkV9{*{jaC1DeEi(W{cr1`r;Bd%-PU<_mH+7U&Pk__oLAS{GrjZq
ztJ>1nv8yM3o%;MV`}yg+FaBIzWxeRY1fh^i7t-&Y_H21l`%*RO(w%bV;!kTeU7el<
zJvuG5>+4jnGhdWco+s)HUy#vtnRjbuek@1yiL0~s^XFMNc-n^Nrzjn5ZIJx(`o7D*
zK5m=XBTsEi@0s`|TWIyMS4}?eTjQ6tvnFwss_ln;uV?RjQT$chcgdceZw;;$NS_lH
z&xkovnwh1(SJ(8zWBXfS_3KOiJIh%necNCjRrGV2(B>^WuWU@UUNx_^Kw9s2%g!si
zR<^cnXZQNm{BcVE(<?uilcMi$`LW69%%s*e{nqjS{_PRbpD};Wy_fgy@$J)K?%C=m
zxUj>jw&A4Yr`-~J<$u)fGH7Pm#aJEOanN2vGb!m=5aYa`_cqlEy}B{!-pjfSCSxy-
zWx;j{OspPrYcC(4mi=T}ph7~{xvRU+?|Jg&*}8+QQBR}8*M5;;em<3X-Kl#o&a1Dh
zJ}eT_ZSedw`#JBW=KEQhx<aqrVViwt)$A`5U0Jp(tvmPr$@yR<`KfasHFtf9J16^k
z?zX`9k={{8GiGM|nH>6%A!z%)sP$GGBkR=}XK=0Wo}|?D=LECe_r+HyY*)R&Ty4<5
z*1zY+rg!%;`Ib!x?d)e>8UOx@N^e+WmB5m1f;>l5Y&WTEeQ*BM;=J+awVSWcM14B&
zjNRp<xchYeQ@Yn3F0PJrS-{42FvIIy(W&RYQk?gnEA6Y9u*-vQ-s<b~JZn<8m7Lz?
zCFlssX0fj6wu--3ye}qfeq4*X%JS<=7nT3I=O)cDb79)uNn3hnU(}bMum8UyE^F&`
z#^l^X_7AvBoSsK$Xg!U#Kfiq%`{CIlf?f-EzI3_99K>xQbGo}K_SeFdtur3fdF<s5
zF8VNO($eqFt3TW*vf_Al^~rD5%+k4<X+dgVxgAvuJ||3Kp2KX#+9mr)*LwRqQ|U;1
zck%i&#?^7An~t*`*}M5p8~19pxd|L=^zQCke@s<ASM2RO;eF36){A_rEoHtI`$FWv
zvij-H&#zc+m}z%iv-m@X-27ARyH6M1OxdZh=hVyW(<>@Y#;O%L?meeHWl`bI1&2zm
z{tw<~zCBe%@pH{jd#T&8VLb_q6Z}_rE&spDB<rWm9bW}Wy(OzBMb8Umxi{Zyqh%J?
z%W(NGm#_SPx~d@VrCwmtvRA2^;wl{<ZE|g{Ue8+*_wVPCyLVeH??0MT#?|6*Y+jm9
zcg6M>HeV_qUfaiAxqs^1od>6dO%mJDzIWf(?dRV2KjX7qQ(f>~_mkxIdAH{0H|Oo!
zFx_HlrHAeH8s#*Oo&yV~>0djMvA1zW8e`1N@3A3b3$KN=m*$?~x^cR3=e6T89B-f9
zyK~Ot<dg$40?RjS&G}%(R>qhgoNT!E$78;VxYshLasz(eyLZ}Mf!)3`=kLsC2^Ier
zR=wqn_1hEqT#SDM=RAky`_^ypoy(N^@_y?58(q`uue|wvEBH_0VdGDidOtt?Z@%{4
zOS9=yS*KmTeDS?U40lla!9CM0DpH~k)yclDU7&uvt)aEjvb;U4Id`2#>{W)G`CAnC
ztoW_YA>^7df2o_FcIkD`W|jl9uXRK**4AHYtvnDWav}5X)Szd)Z|lA@DDDvr+<AI|
zs*~xR*!^;zSGQ(8E&9@bZRVam^Zj=Gf8SR6?<}X})eXY$>iK+?YGlI=c0T#CbK7+5
zWj7YyUQ_H5`fhVfK;f=esylhzkJOcKT7J@7hhZU)YS2A(31h{UHuG8ECVFkSSnx75
z-E@8(XX0YD)qGpjmsanS>}0mh^r>6%O6b6o#o@Ka?t4DT@=d>XZteB&p)c#VDH&`r
z6z%t!Z#~T-=l<<`2R*`-Jp}URX@vM%tz@75MIm4H_%rdOZLBvx^{ds!+dEx5IGJfm
z{#~1eduFV&S{Eh$@Pb3e=N~Sn{=7e~PB>;>^X2o$Gd?H8oii$orW`Q4Y`~E8peZIm
zT=Y(ZfxE%si{WeMi;L8MS(ayK5|lC1o*`*vwfGX{8yg<%U0BOt>8VtxI8)wwJ&W_T
zs6%hM4J3LGx1~CNQeIl}dF??K+ji#{^VKZwwNEp7P@VUyX6mjbS2w&cvwB;#UMj6k
zDt}#V{N{%SRT~l#mMBWEzH%an<9FU-aUH$O=h*+-wONYn_LI4G@A<2<FAjcPz@oFY
zH|~bF@Rh}a9kKZa`%CABoIU&BjqB)zz?C5zf>uT=vdV~X1#!lA&k6U~63XCt=z^-P
zd9@u6yQYFh>$Y3V=0>?bOXce==wbS*<7LMeaJ6{i?SjR>e;i-OxyyFX)rFR?KE6Ib
z*HwYliR+z-&2t_}wpA~9#Gjs<mc_d}baIcLPHN)*oD=KS=Ko4NAoxh*=h?lLHd^BE
z)-g@K@;LmDyrsBXdR)t)M!WjzldZ4(S}!)7?>xG5z0TI#i>xBH)O@Q+c(g-(wPs3{
z#_#_1tE%VBvS2B@d-mA0_8N|}6RyfgMn_h>@9M4RJ7;bABT~<)S$FgH=8A&ju1AdD
z+^q9p+IIYq>Uzt`>5g$Xr<ikV#~+?_topd+`^x=hQJ&M@l<kd(yLt4j)tbg_$&os%
zw=>s0IL+#|{$K54jwj6Dnu@p2TfWJ8Nl)BS!7ja?IDt&A-HVq9xa_K*;-%GJB5tpC
zC3WS&?>~K;ZmeEZyLhS6`UL)rlq6-5`**~R_M|F(I_30T>_fJnq7KIl)+h4C)p>4T
zWFsro^Q&~3fBVTz@Y*?ZNl28(j)P0yKZ*Tx_fo3q+RpyOOQ!^<EGyg>{eNfU<i5aC
z(O=74+Bb2TpIG~x%_~@IeW@XP%xR5vFShL2CfIiGMs831lmFj$cQ-Gx-&hxL_wcHz
zkNYjRzL`BQ%5I5c{{5Ru+A>;!ZH<mP>Bs%b{%ya%E$?XEM6aN#CjzMnzZ+#gr!A=~
zJNCiLIl44CBH%{IgGcGkt#8&|o%V3U&sD1`wng%P&tYuba3J*j(WBqx62IO)ZTxEU
z+U(78$5ww_UB`7;@xGFD@-xo6y~WLvlFW(s#lxPtv*emJ-`T#ue9wIihxF=}u<MVU
z%&sjn-^99Y`!lmEhqtk9X1%hl;<s*&lh9_t=<2m*YN|f7*8cgk?W$*nbba8Y`n~DN
zC*C(+HJ=s15$R*JWc%4)?02#?YWBPfR-RM6YC>IynSZRAUSW!9hO<uPy}VQN-xW=E
z^y@wGe&wc5-N`GXzTJ^s*%bKkaP_MVKc7}C^DVcPbzGrTZ1c2u!ndxlN7fSS>pCuU
zJX&jbYwP;IoXK|gCWm#UXeR8c(rC9l%duE#a_5d}Whb_k6EEAWJXq|uC-TWU??WfP
z$~;ppcmMYGq4|TX|G~}d6Pta~mVK^S*!3|*#{P}a)WaoT3;N<_U1awcUiWRk$vbAR
z-{*wwEUVn#`32o{TwLSV6jPkP#AngP<l4gU1vl%Ctv<rhTbHKQUvo~_K;glTZT0=F
zYvk7M)_i5#%Pdy$=fvIBzrTOj;hz;TNASIX?T0fDlX?Z8@B5Y>lHF3&w_NhB=2K7C
zJH0&>Zd=)uM0RpdIkWt$^DJgDkpkCUx@-S86sh$-)zJB5-=pNgd;6Kkk-PS$5{VO~
zVxIFob$QVh&)#*v;|9Cr;-9X~A5X-tQg#*UnWa!Fk+FAzZv7kU?~I%D+plTQ=j=Xh
zaA-Mq?0L&g?`1aFd0qGVQ|2P1ljrsL&BWcJA%&f8@B8ko6)Wp})$3!WT$g)a&XIXt
zaeMI_$Bc**c20NxdN0mhc1-${(u2IOGZ~a>6((Mjm>TxL=s<E~;Ki5edP%#q=6nuq
zouGQ>O`7Vt(-l*BMPe77dhpD170U%HhL@F{t7D@dD~Fa}S1!N1pt4|Tsq^O#Iu}<6
z&1zS-+maW@EX6+K#y^jcra+k&>&_)h*-tsl9%=c>)%j&lh4$4?A2>vUnvd_h{JDzn
z{>0tMS2kFzUD$FWvF_P|h~y)?*5B<moV1nUnV<2kRK?Tjs{3Xa?y+)W{wpW8PFpVS
zlyLiw(?=}&nBqRozH&fSLNOsIrC0FLiP&2<O?3kNOKmu`3)j`Y4PRO1=C;7j$!*!i
z-;L9co0=4?lXB7v+_k0dgx8b+KA~+I^EK@cu8958z*7|T_{GHC8%`9*JZ~v_7j)R%
z=#h<*`}>*A7HfZq?Ra}&U-1r(ncKd|L<t7{{UiDDW9?h_m__#jyC*!X?kImfQS$tf
z+n4<L?@wK0QN_79U$KAAnF=w@`mM~>Q^LjGuROUdVGW1tYR>4X6O-Bkg^I6Edfuz%
z;i(pyq8D**-Id$}f)X$6Y!7j9y>&YKXVnsq87s<83TrJ8YK-FlYA?rP)~oZf{>q68
zwdbn}MRa~JC)`M@$Xg)f_W!Y~ea8L*qm?YPSpRF--aX3vTHCXtCT2ohk*+OwOsR0#
zHfCS_k8aaH1++`3-#eTCFZ0~`w~rHi=dpS1U_Abw%W!4Q?;D}^%x`2&-L44DdpGI3
zeMvR{+BMs`!e`#O^?T*(Wc95s;@zy9J*3aE&U>2QA6xvzJ}M|#GNQjI`?}u*?tF`?
zm-C;!-TJ=f#l>?!{eS=4FyXIL_<wO`|I4n-jqfwwv6vl|TQs|A^8Q_Zrm8|wS|Jxx
zuh=qa<g(>+ga}sGMg0A*YRi7-(a+5u-!GV2Y*FlGm)os&@oe$g3bx#!E0-d7b)-)?
zv_ap&^Gkc_RQJ-%YoT&ye?07JJbt{AcSUl@t9lzJ^LLB?T=iUaY{3+t@_$zuGuAD%
zWL2&G<+60w%q5!+wKYuo({Hi<%JzU|ey{9V>RG<YuKze8$>Z<2rIV%4ZrieN;TF@4
z7MFI|c9mVa(-E1ybVB9*cAf1kCypo{EBRY^?NV~_x0zw<yRXR4t+%}y7Aw5jKW^{B
zX^rZ>Z%&oYd$#icw^3kHcunO!=cUJ!l0_uHKg)c)Eh|*+@RpzYPuI%LxG%N8citmS
z_nxQbTu+WOn90lCHMm-A^DB08JHONhE?bd}59eM^iiuhF#3N>Az?_>Kbu^L{rd)qD
z_4(YLP25+m^vPeIx8fPsO76AW7f-)^HD^ht$TsO5n@mpI<g2z`Y|{C!R`x8(*m}S%
zTZ>cU{t3PP?u#w9wD$e%FArHg{mJ_?iKpiL-x%}aPyL&{>=sua{<qJ0?_6f4XmmPm
zW@P7tiAGxLDxYsu2Tv;OIK_98W8z*<kFXPQH><6;Jug1DaN)lH|3Al{|GazM^PTI?
zm(IWbS8o0H-*xxDKQF!~zwS!f?W-3nIMW_`X~)QEGkxmlezhfCAU|YkazgbE&7`Li
zQqmeHg$1&BUYz&1y6?;_KHW=P$~9_Uzg*WI`R29bphxM7B~ivfzRv~OS+CeGTDGWK
z#z*+I(lWl>s)eDSEkeR?e|hwFQE-vz&zQ$tN78nP^j>1fU-HZ9!Cf=?aEI3y5C7tS
z$lYMX=XrA0C5>6B*V%4fNn_>iSY|)_?$?P@>Wlm}62!7OJv0>}&B~rF>Y2al^_AT&
zrKZ0krBiCmthHM9xyn8BR!EQ9@ksvDw(B>xeA%?OZ<*7LE3=vYeomS*JxEG%Zj}wo
zNBu=sz250NHJERo`M9*plVN>*gdT6&xtxZY<tkyDetoTQm|7^%ruX=g>$({Z&e}CA
zZ+QHg*1l{h(`<nq;dPC6TUTq`S-rnV&(u<F()+I+b0fT?iq0g&{w~o{a5Fe?Sc#)S
zss2KFPuRrAtX%c8-TxdhV&4$6OL~@^b5+YctKzFyG^+$Q=<-UN=*eE&yvWf_LtjG3
z$*t5xH+I(CPt$iLS9U!Vjfkpyr|?ee)GVXtfe{8zc5v?ece>=zwcgbcdyWQ8G?(2|
zd7JA2zbQ-Em*#(VDZ&OJK|k*--LCUU>(rOzx8F9^Zn0Q!ccItZ9|k7JpSnbIzS&Wz
zd5PhMoJZBc3A&SF&u%GUO7Nc|xH!KvK2Ef8@~qCz?yVOTndb&DHu`mhnY&2$dT%$i
zQ8}I%By>D;-=X{Lb34NNwuQen=R4docMY%SuSKo#;cIG_cIWTE_-OLJyZZ%V{3<fO
z{9Se1AUW^NlEa(1<}G8*u=M}j#Z_X`wscnb&C48B5vd#F)Y4Z(h;o%K-yBo^<A%*=
zgH3y%CMET(QpsPld*Ow=)}y<;|37i7`+O#(qMAE6sb`K#{)F8NZ>3!@YhK>Da_&i<
z{VS7y{6G16?#pj|g})ya#MJK$X`B-N`dGr(FK1s(JCfDk+k8-F*RDg+s(m~5HkwVV
z*c<k^d|4mQYcA=YBY)G+6yB;nr<!8u`Qnu2bCz|lnvZ##y0=VxP&#*R!hs&kxN81L
zr)#R5ccaf)=7)a`73^B;K4)`~@rw6P{{6L6(ct+X>$i5Qqw+`78+viF%PrsJPJ3A*
zEp6dz7nN4p^EBD$l=K(t<B9Wg-gTbHQnilXfBDRb$$xV<x0@X-zIeGZeO0;Bsnez9
z#kHIF$yKm3m3>agt6%o()x)YqA+5hZ-nw(qP2e!sd*784k4*}EaP??b>XU++JvUBp
zZ0oq>_i&Bzwf?K?w(aV;mbZ0R$IODOw^n-`bNCP|HqF^Th_7_}w)mMlcj;x=2`e6%
zYyMrgdhV3skNFkqn(dEb#h&fidH%kDN&dTVvk6m_znJSxHZ$-z^)T@I(wgb5_wP1a
z+V?+u@Ss>pt!8?wo&Eb*wKB21oaw22O)5vSxz-e0=Dw(5EBqpQtl~mRr}w;TCz5rH
zZ#LAtd-URTpWvdG^Rz#%Ja{A`ZG+_(xg*!6%S5|fRn;n7nduPUmw&!f-qxmQ)whE0
zcW%erjl6FYF>~cKxt6nUs^95^hv%M;v5b;`v$m*t?YkCLFE0;SxhmOH1|FVOGCCz&
zue?tV-_^+W*CMn|Odx7*tA1mCa+8pIYtpI*$y&(_LDya1zjG{{AivUeuK9Pp#{t)m
z&N)BDOrd^y>$3%Or!-$*`?gu+QEkb=|LY4Z^B3B#_;T&B@2|f2O|5JzznDGneRcHy
zO{dRmzwA3d`%1h1re3zSU+PZGzS94GPw%&7mwpT8Ut*{`X%<ztbh~Q)B?X5j%YeqT
z%ooa2Ug!Gm+|?468W7yG|8a-aDPyyamvR68OD_C+L*eW>v#`*m=j(kdzHHr5b=t(L
z{6oR@;{AOczbpLz{Rrz8Uwbj`@5Oo7`L3LQT+#jWq{p0G&l4<`SH(K)zZ^^FxXNUC
zwdce2+v}YaLZS~U9_q~2%01q`H<5E)*?ifRNvjXv==J@1B`N26;t~GGajjcyuGoLr
z;kLB8@X*9lnP-}(6)xh9S@?fuaj1~gp%&Fc#*NW}$C7fCt|UpPr$1#@X7di%+Szt%
z>%)+i#7{Fj&U9?)oMdgRQLi>*VXjE%mqyoy{kOI-Zc8d)TB7ObDj_9WKS%bh&mH~X
z;5UM<FQ!&qbi2JRXp88xXVV`S3M&~Gn*OfsIrgvYGsEU76aSw&qOgDYli6#-RxOzJ
zdG(?<!oCUXW@smuy_&zjVR6*F9ouEq>u%Ub%}87+WUAYrJ?nG3MckZ?;-+(_F31sj
zD&Cu^IdyJe+mkyP(M>w#J0e<d&vfFcOgnKj&&hnBkJpDY500HraPm1fyE7qBynM%x
zV}{pHU%6d2$N%1h1QT8!4imp?9`_OipH3H8pjuVecf!G9&i=S{b!(Z^-`<%X{`lnM
zb7}w1ZNAcw8Sucx=p+BK6~U$L-}bkxDfq7WynK_;bp@+N$M|bknCGNlbPM{avc;*{
z!tUh8*-9;$=Pb@mj1Rl&`qKDhhti`JFD#{=#{Ef<3V!NPk<D~Z?cDo}&MnQ&ds>Y-
zI^uj(;^VEa^Qf>)ys*oqUm+!P3Ui8Y!?sG@m|&*Gk6y0i*^n%>^m>hu%Z{p#r?V_{
zFRG?I@Jv@#tJ*j#;#-wvhs!I=MJWmfB~6?s1djgVZE_1cB+s!%M9SrxvZSov4OuCb
zZ=QWeuUz>lD?5?7$*|7mX5gaPopE9sQZaWG!iBTtB}_IfoA>Td%BR<>c3J$jkrZ6@
z)m*FUv)j%e@;(6zRJ_l<&tufoQm$-jo^p$$gG+tV)obSzIiAlsd(Y~z57W;*e7r`D
z`oFGfwnVQoJN2=C((D7juKW>QdO0r2!SC1P^(TdmH*&B4DSOZ3c)hAZAn&fU>C41g
zFIMfm{I9Ly@*h+A&hU^o>p7d3$9H5-;5c!zJhQ|rWkS-sM&D0oTz0+_DYyUX`)JMd
z{EcsyupP<Zm%lcnGj3nANmxeb3!XlOu=M9PtvlAw3|cnpjMS`xDU0If98i~7`*5zn
zhvV!CJdOJCeh*CJC#x9;?z0a6aY4_9CnIatER$nju4S*Pu$3^Bk~*kxN#uOU-YY40
zZ@9Qv-xjlbWf7TUvy$USME83BxTxh5)#8<IYz_G$GW~msIk$~Q(z?F=0lllPa+<&W
zyGZO{gL`b6$L`%O+qk{B%4`fLC<#ya%<|)^l3SqBS)bXrN-HF~*6D87_T;)8{$#>i
zw;#2CyAErg;W@rwiIv^{U19=pdg?|;E*!tQiGA{osO`Q7&Mg&u%*X4Scwm=Mawboy
zGvA(%Q5+o=t*Tl@=ia-i1g^b3u`Y_EFCk$6dTa0Z1}{spPIqm1`oqZ~OviQC_bTPv
zQ3pRyoo`!p{g8UX+yvp`>z8^g*%i1JGVG6ddg0Bo8&gZuB>$b9`SMHLQx4^jPZ_Js
z+rs=~9-l0m{4h{yw|LO(qQ(b`+bdeHYF%yOzhcc%<{f|5I>Yak7rV`oQ(X&Bnx!=B
zGd#C>tM|Zdo!)$(Jqjj=e<noF*cs)yX-dUj9o~+)r_#+WP48|Is9kvL&m7wu=2HJn
z1J?D|7zOW}KYLB><b4SdL0lRYn`X@Xwdx?Fo!g()OT^AR7v$NIT4Qwd$CWcz74AQk
zmi3tU+Bv>zho~ywSJr0||JHsy{jf&me#q_W`8~!RTZA5;T_05+75mX|k=2IVHw0=n
zFz39<vR>hQ{m$<fb2i)9zkGJ(Xr!OxOqVm)HoDAuawl)j;gpaap4tgQ8lC@lg?as$
z707mZo{U<|s#`0w<$9)QNu<WyoV<ErjfL&)O?uYn_Z<8&LG`PiYf!me*WcIS(^gDy
z+_HkP>$Jqm?aWtp9q&EE8}K0S=$=bYz6SO1*8F%eStR1U-=~c#0r?TCLBBqH<@VBK
zo*Z^qYeRc-=kNJi7EF7WG|PK+eL57dK0{bWyHr4CYSljGC8kpttMj+547JS@Skm^R
zo@a0AQwH0)ucBkVm^8(O-KuhYeu{B(Pt2`DTKS59Ya3;q-Z8OBYHP-yt$lR6K+5ON
z>pNc09o^@y74v%7{Lu4mql5@kU0m3weY57MaJ`tm=(n;&_smqI7X>@dZ0KuWAdz`d
zM=>Jw4eOe%i)|!b-qr;QJ`8*EZ&qZFY`>38>a1xsSI=tN&t&w@PMp&-Em2?PL+kYt
z0d32YIf{Q6=dM<|JMr*IA)9k&=i58&6<OPUIHbmJ+Pb(d7P*-><9Rm5$lhG`{okwJ
z6G}Xf4*d;(8ozYz?Xu{3&+J#d^mrj4w<U_hK+$4NpxMv78(;QqQdXJy@J@61)F}ey
zag2{67c7%~dnfdjST?W3rWjW1O<~!L{PRBEd-1_Zx9U#C@A>Pc69ONUE;fog7PWuk
zjr!E-cm6*!_rARS>9XpZ68<#-PMMOLuk*jJjju1z6Y`deK6$zMsos=ORq=0%pLeU|
zO?vHqFq-xE)MsJ8t1sKO`yG0l<@eHf*IVt--`U^Z@wMB}nH!U<J8h1(3TL<U;l8)q
z`&M=K@nuO$v^}0y{IdP=TAs?!b}a@G?QE$Zz25b5@Be;7US(BT+5K6jTJJ>t(kI+$
zyeNNbx23GVdBu(?J8o6`m~%uLhkQFI)$^#>mQSDK#Igwi-M__GK4_j-{^H@W^htU@
z%TolV7pknb*u(kKL%HtnB4_QoX&3*mZHkOql2L7Va`hCAIRZPMT#aMn)0=8xu(sh%
z?6rQ$nEt=k_k{Qh)T9sGE$TRGG3lwyy%i5H1ggJ&!1c}M>15G4OW#Ot$$9nQsAt_{
zlb&)7=@*iV_-;++|By0ib=a|&o<^5uEq!v^<eT~X2TyMtS$^yBwA%L4AFZ2x&Mlc$
z_Wt6hlCt)%)B6l2KI560wfD)z6)DO>4Z$;tjm2K3tTonNW6Q0b&dq)3t)~?08e{82
z9!7%4qE5O!fA_tVImq+w_KNm5C-V;_ec)q!vb)%BUX}5*8vm&^{*PPuIO-Zdn|@VG
zui1Ggn)#4(V+Qjl+q_SEwR4s-Z9Xb9yZj*=OZiIk_ew_!%y&%5pS)8~-*;J*b*0Fx
zGY8f@eLhn)`mC1v*UM9~-gDhCnY@Bov-a}6&T<Lgf(pBzA^vNw|I|I{!7g+3c=^Hq
zxd|TsTBoewc*OB(OYn&`s>>IMFPwNY#ck2@#Cu21oh+X_hs%RO#?^1Cm`u^fD<RrV
zGrmsOTy@9Zr}J{qUuUtahppKqEv}r<`2Ow5*+1Ru_H*-9dh1B9PMG$3{>?8fDGmW)
z6GOcnAEwC1Gf0+jp9q^&V6fca*L2MpnJ4X7>JK^2nIkSZSLa{WdFw5LVc+`y_&(@6
ze=gN%=?j+(7dHXp+L|k0{G{*jvbq0U-MoUU**UJgg(3U7vHEf$mE~3a=U7ty{Bt^W
zoBPc5`>btuCWZ%04L_m8X7uja1LNlpsvqa~eYnn4FY<f(%gySu)-yZDJQd}6BHAE)
zVoH+4!iCZoD<Aq^(R91A<dYK@zwzhR*Tq+AK0gWJ3keB-AZYRPS>vqvvyLff|GjT|
zwc`a3yI5NOwUlM7VhqjeV|S~lFP~7P*xETWlF`G*G0xF1&hcl+tR5fp&s`fPh*`Xf
zx-vVw@0hJ&>a_O_Ud*Ypp6@ACy1!TAr;UY*fklg~2EVDLXvdtcsTREqE=$$dGpvbx
zcz5wKH9kALmM^IZ9^Y7>MV~nO(#6HZ+_rL2<zo?{bR)xbQ&YvD>S`wYhhJLUGnx;6
z2%S(m_W-*?>BBi8AxESRGT5rivovgCE8=Ps6x1#7`y0&h{7i1vB=&OQ*zRABXSd7A
zEUNca<hv1^`<OBG;P$CJ{x_cfyw8^&7g^<f_iEd1;dP2X$|6ozB;0@Axvb&if$CR!
z+79B&`@CKCWJ0H9#IEhWDr9-(lQX;bD^*$5=$D)28oPJ|KkhJPe0=qc_qpvkwttsK
z7izA#KaIW5F8^Tfs)}XB*+&IKb}}B%vx+^f`h9NLs-$@j-97JIQQUKC_azzQWRuS`
zBZ~5Ki<zdy%{!Ww;^4l2(LC2r6(0_M?t0!iWu0aGbN=$bUDDm%(%xUI-t(2I>USQx
zWyc}4?xnO!hyDF9pMVV>AsY-O<-Ddu?t8hlDkRb5q{2T1mb(QBKE@rvd8hW5oQ(Ic
z*<;bF_3Tyle2J{X4_re3OzK~fY2C7+VMh0_q}6i{9Z*e^@JY5_<`C`Fv$tmB)a}mN
zmv)CNb4xfC!{|Ew%5BaTCwb>n|6VkOEtxL-B7-}kpCRzs<yQ-51*#{DNXx&7mOQvq
zV%Ax)KN^gGeD1s|TGJeG;MwFj{)#IH&Oa7tI_6dFURunRy*_LIJE8txZ7<&XS2_2%
zwR!5~&J-`7w(|Iq${SZaeeUaPF0+)$Ji{w1e&_j`-Dk}2?Js=4=*7m$dr^Kb)6eru
z?=@#B+OXoSnUkBX*$2&=f!b#eujeq|=c4d_>zuo7oC-mc^g7aAHtgu1?kjtC>+)yp
zH`UBDmLC11v~ykjhqZl42FFTY#$<i9xUUtKw&S4f4#uhHvlrQJ@jcs@)W~ekwdhDT
z`|I1MtK*Iy+Hmpk-?>NM&b;w=-9!H4D$m?!X2<fx#a@-$(5ax+&bqi!;oyxs(QD4#
z-Pil|{M{8<PbKE=zNzx&rpkw`@B7!R@U-m}Td3ZY#r<U2$`=8STl1f=uYU0$&r0YE
z&o!;Kv=1icRjHwcRi5wO_lDW5w)5dXT<4%=a%G#6eT2u#D9@F@8iTerU0QtoLfz>L
z`wo7%>lGZYxHLv}=^b{jom{6D9p7WFrFs9`!^!Jd*6=)dy^f_I@4D#@!Rh(&FUpkp
z)*Xp7ig|N*=Htk{r*9<cW=Km%eY*4Ya_XmSy*ssh`#!34%WReU(eg3bQ^GN-(stj5
zY)iStTFSduN_WN{ZjWYlImo(M#oPG~?+xLb-r+La;@x6vf4ACoFFJH@`lPFt%!j8$
zAK^S-yw~FT$;B;tCV|@*?a)fnwwrzMUx9VT{(sf;e@}R>Ge7HKZ=7CT<Fx5&A$wz%
zIM;Bkzdh@_g!qjwt7d;|dslUHYUR4M@A=i%NyNqG%q=fsNY%e1?G~wUV%zD*D>V=Q
z-M8iLEYZui_Zdkojel$Pk!!=3GarIF;y&_7UG#r-B>w5wk4#6U@4bIu6aVt|(aylg
z>8q-%h1XlUR~hVl{r1ywo{ur{itQy=AG&X!!?x>jD~q<Wnd*LCt+g>LYIb=B+cR<R
zm?_^i_s?CEZntWS<T$t4Zq=Tr9rvmmT@J|ZUZlJ+wsdcH#--%!Ex%SQEj-Q5uyL98
zyj}f1(Mwz8?F-Fc-uo-nw{T_8%9$IF&#fqUAC>-PuVHL!(#>Dr@40%PKNhBF^LSO-
z_kB~n?5pm)-nu$AT4w%aBeNMd9__H1At&IWSoVC+%^#*Ur>yngs~VSFVGXjVvw1Es
z>r&cnT`8?=D-4y5{8vl(|2oHa)=_sQ@Al{`?mfP>Y{_<hQkprt#m=ucEM6Qv<xBpF
ztGZq9FQ`e!FZd@OQhj;Z9o=6cJ-*3psdn$8YMxkI3$<);zT&E)$#7(EhM8V3|D$dH
zD|Rk^r^(7cVe+h)n(4oK9JXt`$j`X1>-BuWGKu?3KZ@r}?t30SU-5;Q>woEa^OsNU
zHm^&+?x=9<=l-u+&u07l-*RbZ;?3A8Uuzg1PxEJA{_+j?zq9%w>w;OPPH=Dz+<9k5
z%H3`AA2lx0me=h)%Vu9LV(Y(c$wX-pE}cy(8)R($?{^lAO7YCL)G!K2`F*j7DWF8m
z)_>!Yz(4ZA5#mv56M7b%H~8`PxyilNU(LdG_DjB+;jvpq)9L}^&mH!eY}xN(`g|nQ
ze%mh<I8glSe$~Nyo8@D6U6Od@>JabuUfiVY>7G|=cmMZt|E>RPwP(SEB@h3^KS{cB
z!_w{Og8iJopTC~<@$l~t*G)sSt$zIf#{7RX$Lp}qR<_?ZG)ANcxn(NO|1I>U=FT4}
zueyAX$W^MJJvS^|6Yx59`ttADKPGJ|JNl!2>*e1^AFaLe?e{9aYptdI{OMAM<|Mdm
zxEH9*ZxtJ-y*lt@7Z-o~;ofBZ^^d>ax%VaM;hot>UT%nzw(qzv6UM!wBQ2xi%(3;{
zM-J;JF;uR;ly?75m;09Pt6OqDx%u3YnIf;)V)FW9$F>c#ruh{eJ>u)g{YCL2$3xe^
z#|IZys~Roc`mHWFqIp58_UuWwEOnSYWVL7875#AJ`Dn8(mQU+`|5qu=X=@6$7ne4a
z>R!#4JtzA%H+I*~qAiAo+jm~m+`73n_HEwlvW(4NA7|uO&+uY0yKKDu@5KYjx#cC^
zSBv+TrM`Ff7F!w~TABIuVv%G1)^_XIymJ|?-M9Blzv$TYA;_&=J={ylzAPd|z<y%z
zuTz^YUR-z}eND}k8WUR&)igVe_&uElHuE<ANf307-@5F1Jo7BO@A1tMvF9&^y=FQ*
z(RR)2f(_aKm#&^1Z|mW^<H_rYP|ZaXPM0}<@VaIGUD`n=`B7V>ueTa!(xYPw|NNTZ
zFx6~!nwH$fU&0SFJ^!yW-n69t`poq^Z(cf=FO$u+np?y-SurLk&bn_ZpUdIi&dooc
zC+xnEvH!x36X$OwXqoL$>XUmmd7j+`aj^|?i8g1w6ml=LZ(7+tRmAOauF6#Te_s+W
ztJ|Ge&%hLvCUu(a&W1UgzHhAcK9l-(?zF@Inp1Zi<y#WQE#AIw^|U?xTX$;P#HECO
z-@oR^AzPNT7f<A7E!oiYfO|UI5x%{F8!pYr;C|ng?j=4wxR|RaT1`N9S<{q{{<mhE
zF^bM^c01J0DSO3IHe^9W@P+98{QRx+UplizT;}y_cVj-qp?&+u|F7APn-11Z^<BR_
z<l5t`l@|{^{%NrI@l#gK&bLf8nr)>kpLR;CPAcP4nA_d>NS|Y_#fxcMkF*)R@%CWP
zz0q~-s!ouOT(75=mF<Gae<~(lu6$a)$8Mi~z#nFgfG2lU-#q2h5*L!)u!yNE?}6Js
zn+d6xvn2xdoiz+uVLdOn^ZAx9Ob!#`4yQ4jU;BTu^Pk@4cY&9=HBW@xs2AsB&5kxL
z5V5Z){C-$`Nki26SC-)$oxa3AoAzBW`2K8#{)0=KelAa%>!^8^HDmfp(UZp#J{EQ!
z51ZOES$2NGD^=^|(`yW#?+iP9=kVe$6Tfl&J2Ej}`hM&lh4p4yZ9UU({YXygoBplu
z*z)S4V%bMs`{i72_3HSZy=(KTVCt8OXJ1xrF%0?s?97(a?cXjori+!by1B03BXXm!
zTsq<Hw4lkSb(EYxN;p29m~7^!vgLHvzORQ*nW;Y7(c+i0_3{7YQ+f`OYJIEOG@dg&
zEwFL3TCk;Dsq=TgSCjqwy&O{mYEP(4v25E`vZ*t4qPs|wNNsYe@sH;pT1{i+o&H<2
z{+ndJ{#s(_HRT5rBX)jQzGhX}o_8f_O5Oj%ZoeDvd2Li_y!~+Qo=Gj0Zz@*JeyVpd
zrY$D4Ggtf7y!)SiakB37)U%rz7yL?o-J}hTTHmxhz7-w4D<jAD{(krC=lAD)$WEx9
z*3FmY|8QRM{C^i76u+L)+TGHAq$|bcre#g&n&$XT>qAfNO7_rg`}WDjUh99~cJ7K2
zi=!_JH1*z`Qz(vJGT%9F?G3^8@e8k~g`VB3%GSR}_QR~hHymcTx6I#t?PO`Q-9uIP
zhga|MlvrC$e97`JNmSV5N%-OPRk`c)C+y+VWYXlxW7#(4%&n9eY%4EZ{Iun?jhOwd
z%@KEA<x4Hu{$T>2y4i++MGG3u_Dt2CZTcmchp9f{lCy_QR=v!3%g-NFZMbJ@?iNqX
z-~abglJpO+rw@0#`%M*>5xw$D?0LW`4W+FNyIpT+e&;CinBIH8JL<XR8>wB6_ZNCh
zHvaoiyKuu3xpdx#&gWzO?k=jjt1G%sDWGBg{+hW(b8F^i&9%@I^knjGy;NyX#gMn>
zj85a4mzIY6`LzC<xmB-8shd+#pOmz*;ezz$l#>&;=w~Ktoltu$S!@_HWA=wsw+&01
zJ|CAelA5_*Jzl1E%fDBPE-wDs(Y-kBwg1L5hdNoxRF!Z4EI;}|QonNfB8Q7pJ}?}3
z6w@eOcUYQd>*1hX8fV+NT+dvH@Q^HgX&MmuLGo+BEd4K!+KVfj{nIkM_BA_eoQ?2u
zy7Y5Jz}3{EAM6@;4oUZ%3;JEhAgZOudoV1@Epu72PVXIc4tcAIKek<1XtKEN@;@oH
zl>w0(mMUuKY@ME0<)5Un@~_>7Ex|@zi=2*s`XK2YxFEePy>i7v38_cZ-`&;fnQ?%5
za?{6yCJD<6tlSDE-RI}935W!roUR_d>yKNI-sD~8^TgzC=^D=G-<5o32mASgTWQ__
zQ;yphXdT*<cx3gY4COA<-xlY1UZ1o3@Uqn7cKodmF>8dstjpx?Wlb!9b*x;jwDsqa
zIOR*c#xjpx8h0s~>lQUlVC$RU67pi@yWHFIR{0U?$<}<zdNPI+ga1CVH{J0fi1oa;
z=FAs9_c)sSEle~{J?By`)XrP9*5y*ixr8r^zb1dZ+_-V}l|#G|;v#<!e7K;qdXh(X
zyujbD1|KASyiay$hc#6n7rOqHDX&1kZClg(JGSxBQWG`A7T<m?<9XoYkHfqT54$AP
zIb=3A{9GQDcck`A^AStI<d(DS*J=dYj{k^`*<hj-v!*8NVaposL(^Y`TAi9{G5N%y
z1CjT`{wMQhy?$5l{e#b*$rbjUf&xxDEljB<>4(exT%<j}x24)#-~MgmhiH{E?(CaQ
zKepsAJ@MqQc6a%r{a?5j9W!T`f8FV0qwn8fW`~!*jE<<gZ`QEt=2;VAW#%5G<y-Y9
zZ_=Bkr}Yh91kaw77q-=x>(sY-h5S-RKV7F321#F;8g2SJW%Z=V-ZSc3tSpTi*fuwH
zbiK(DdiHRcgh!d0^#%KD1w~o2PH3FVn($72>%v06BT}B<8*QaN7o@hOp0Y^#zWCYX
zCe7L3tR%mN&8>YR*Sj*f+a*zO+0PRTi`*IJe_Hq>RLS%tli3@ETd!X@-uF|u_r~3^
zrNj5imDx|%T*~oz5u!4mS!Ty3n+pe=FUXm4tY?1BdP{58t1Y%W{B~s(PWmIYM{9qL
zdTBuYrUR{ymcBi(X@Vwe$d5T^A7=^M&k~ZV5%N>%yI^o*v;5+Z=Z?(&*KV$$_(Q%e
zn{(-F)|2Pou(4~^MKAF`kf(PvF8RnuRfqX2y0>l1XegLf_^9Q%kZr)1KVO!unJ~$Q
zr)PCWfZvQ%zjeP&u3tEOIypIi{qlLAb|n2weeZO->GQ?L$&;@r<`k+<PjpFoaYFQ3
z{IhL)>$d3Un1sX@Srq4(q*P?=Yxx%OV_WoD**C{u++0;@<+fh)v&>%Zjr)E(<*#rq
zT>tr`Mtn+snx@NMze8W0%onm6&wh8WdcM=8oaX8~i{9S2$ye!Q$}G{p{nDRJhLNsI
zr*d9h_#$w!#(w8JufJY8b!GQbPn`uTLgZI8Sc$y;W$tijUC+6$=qFFOd%cqqg-rw7
zC%O41ZRk3sv3jn<9VKJ=6?H<~s@0qS?0V06Xb+zwN49*g%0`aO=FRJz|15Bx{LAiw
z;*Xe}ivvq^67K(=w&!8-oT^E7$7au%aMxU#<@)u#3xzy&T;7~|UX?oK=#8lxBcD%-
zc(Ewx?}BeXyVN<9JIp#+ZMoj?9+jBs7`gFtTj#Ym#|_^-j#n>CYq*j4SLjk-rNBC`
zBy06W;ajw`FEPB#*tKNgdY>zAy4QFKg|#R?@H>#y60i8`d-wLu6)z9md2^uG;q{pY
z+e<H)H~(b~th~v{E>%(cZ-Vl}S0|X7f;au-ns7hzy6UFj;O`w>#{X8ZCGG#%b4dHk
z?E2%4hvgUY9cq-Ccq9FC?UDtzzkJ)5x;bu1+Kkp>pGD_NCi56gFB0t)72l_0>9lJ9
z^bKWEN?}usRUMqR@l3G#66eM`-<+rX4D(q_!PJ(wBGX#rn?AK<y{|Z9Xg4j;En0Ey
z&EpYMUDodC{3I--dX<S!k^R@J3-uavXBP^%=&Q&7d;9+Fm1?QijGLVHt1{Sl)_E`C
zk6d`!()qaZAN!)ejVms!)XsQe9<Gv7e3(HiqVM0ttL;M1XMbURz4xTJV&xyZEw7ox
zyBy_LKfE||iN?Q(znXg%<Z#MZO<gITzF%(Qh1Qh@kM8}}`u)MlqVtpdeSMA&-G^SU
z=4folW7j_z|L)#qkLn|_Wnq?T=Sxm4K7WYYe#!oc*Wb+kcPyRt<ivly^H03_IMZZy
z%!Ua6=l8;@(t|yFU1XGxY_Gd#AI8OJe<bCo=xi@7KOf)lx?1B<*INZ_$2&uQ9rCsF
z=;nE(_~hb0SM%#9?&j9t^Il$+U!9-*@<h%26~A_voB#UYp8xe+$iaUJIY0j;c;|h6
z_iLI+E#Lc3FD_jDd$3jF$9$>clk*HRbgboC<kTypgQb3)ex3S#{fmj~B=VL>e|&fD
zZo&6Y8XrHbxZ+=O)O(NBmYBVp_uLJ#6?^jSN`k8SvOmk7Ukuv1Z^`w435!~;d%Zjn
zQuLJTe3-J%^!{6Gy!IT9HOuVQ%-9`0OC&k}?5Sm69xPh+`yyL2%gH~#IBwmukiK5X
zeq)x#ktuGwGtS;mYP#9trWCf@{`bWdZ^DH;55D<xZM)odE|#xrH}4bKd+%A^hKe++
z6|p9NF5cR+VynWu<brkkZ#3^{&|7GFI%&xPDJ7H3|DSQUzS?&|z57;EyZ(;k?V-_&
zRo_i&j+ozjs;u;6@BNA&>powed$2#P<gd*Am7$v>ebqOy3a;3|=p`M#;zEJ?)69oU
zj%wag)7<da&-dStpGV(c5I*v`_CaI7?YUFf-&;$+oAKYJE8hOK?X^>4I=k0i`W2~v
z`fgm;%c<-)zstWBi`*W~@bJ;Y%HVf83)dI?{ce-}>3G$Y{`p}>J}LGt2YTuz9<Smp
z-)DZOa>Jzxu7_`|<QK8p*Ql3G{<Y@%p^vumGu`V75>K?hPh5I^TkGvFB{_>D!}(@;
zx%Naii!RT%Y_@&;MVWinru=5kgL+jqxjwA+hvXZplFOW0UH<N4JM9xaC8YHAanG41
z1~)igNzeSe<)XL^hxXEw4@H;E;k>#zcS?iw=NQqgzom43g-Ikj27h>LDXLKSH|KHj
zw#^$o|AyV#^7BS9Usue{wNC{8eLr~e{^euBGq$N}_}tHof4Pk9AP0-4pn?4b-49=l
z|Go2>C*wFPRqOMd1FdV=-*c@juCV72?>ceNcCElqmDeUK^f?q3tz;Gp?#O7kUs>Gl
z+wK3wzD_`-h~?hG-CoS<apgIyYHlv6ONclmY2onvVBT!)lwao_czyr0CdO@L$DN>p
zO^am?Pj6eiHnYj;08`3YKE{mGr{#3nyxfka_J(K1yxcZxYWBagWk*9K96z@IsETHu
zx>L_l@$5XSISHpO`J3-tpClz#^xEtD;>ews=Kkm{WMc1gFr2`?TVsNF5YP91e!np1
zU+1$0Edt)J{9NHQm+|ACPUEjd3M%Ra|LdBos*D6*pXuMv>Ghk<<<X?8by6A9Qegrc
zKTQl354B0y&UWpEndtPMmJbnnyswn!I;!Z(E>aJ;e9o-E)?%kpt48iG_Jblj=X5I_
z{(6mVm44(#QNP(I=Z9U<KBn5c&V^ATuCgJMHF8J%I~m=|t*;KAG|Rs~_qo9B;QtSg
z#Cab*C@3MLCCQr8Jxz?!GN9~7n8Mc&v6pu&O6^zp{+IvPYSH`$k~6KVvy`u7Z#;jT
z<HX6QPbS_><@?yfGBJR4ea35nJq|fqQ{uTfAG+LpZrPBV@QJ7CnCf%;iuA^q--p@0
z{_9iFnDBA>gC{j9JL4vtww;pvfWgR7sNqGxgRN(m{ckc5ozn4;=MR%T#{s7mV%N6Z
zT7AkkA=vxz>DafYZQk<mWSyL(xoy5)kN*O%$_*}oHZ%Vv)U7?!P<24*=HVkZVi);T
zFDiZ+-R`+$?t`SBGEIf{4?a_7?K$C<x7Xrx)FN|Xr5_vrI~HiVRwqugI}zlurF>Ti
z?@H0h9T#1#&P>_&^U~$SPd%kl`i;VwZkA`KeZ5(K;f#;v;*#f`zSl}lFf=r}MrHe6
ziMmzqqg(Ye$JsI9-Q<V|lht;7u~;7N7|5zI*ZWl5#t9Q{$ol?RdyDyWtMk$I1s{*H
z8J>JCShh|1y^7Mjb~ong?F%mi|690OcvYtQj#Uo(9+bNm@BZAktooVz+jX<THd-q&
zPm!5_v*z72tJ?Q#E;EF!kY3R0ux;f`@x95icDG7iUrtLo*E{P%;qkf79((J&j2{F=
zIeH!S`7D35s_RGBT3*4K>$mH^+_mb-ot<WKy{}fh6K}PXYW>iX<?G8Zm4C6k-t^Te
ze-&Eum#(YXJ$1jumDH+zxo1<O*gF+%vZ8E-%DDA?g*Da(Y)YM_KE<l$Y5&H}wUaIX
zzRFwNGWUgji}V)$x?La7{MoUrc3O8j+s8Lar|;OV|M*8D-g)=xuX_t;dKWCZ{OZn@
zzEuT<FJvy=lH0J&DPWGM+MgK<i}kf#tEL^ZRlX`FBPUcXeLG02$m#oRgWBV)))!B`
zn!+ddr~1Oqd0AKT@2$%HU-#Qk(BaQN^`31Lf807HXjxZtdBL>K=HnBN?=UixJrNN#
z^Ji&7r^A*5jBASi@^!D8aiV4Or$bw-_1QezO<9V6#&TD5CiP64URm7Bw_K`w@18kx
zdD6|q-k7D|>d#Ghe05n|-tX1!M~`d&-Ke{fXOD|tkTb9J(`7rg7f+4TJ02U%x_Gsj
zUi9qp?R)tanD_I|UMkey>*lt8*HoU+-M8PalfJwxU*_Gd!)?-%d~&ky`~*UG%Fg-x
z>BfEQsQVvooqv4w%bzK7$I8xruF&3p@y0oa(sMBtVQaLMo^N(OU|z%^RbsVA=X30i
zdB-yEUSvL@e)`nDuMg_`PoCOj%<=1)!?d%jZ%4mo@T&HiHn+NdUex~Z)n}HJ@jqHH
z>+_FEj@NAaFBx^+J0xz&RvG$>ZHDN{1m@WGwR+6Y&NRO&wtaP-<*LEa*=EIU$JTL9
z%CxzD^0T(4@I{7`*@llT=Urw!=lw~zxIp(||4(_2y@_$5CC7{}$$i}|tW@E^RZ!s@
zz$ka_8*k}{-REPr_ZBtOPFH@nvv=nQ3$c@_KAcZHEI->Ez8P`+-m^BxC&$v)c4^N^
zQnEZCTao?N`1+e0$JeE8I&XJOCRyKbXJ+rY&8=xRhRbejDctX`{VU8YWc}mIQ4Mdu
zoKCp-vV41Q^hrB)>Ebi{bSggV+2VKPVVlj|xn<u(?|$xGC|P;z^ueaf6~fN)C+2L*
zHw}1v|IKg1Z;fXxqn{s6|NFa8=%V<c6DQ^CRsY|~smc7i?Vf)k|MTy+*S~g&+41D1
zcms#!^s6sIm84eI&s0i2__e<=LR!j>m!r@!C`ZwM|N5^FKllFLII-7iviv)<fZMD$
z)_rI7w$R#sefR78o2`!)n4SA-cWt%HuID!o-7}Xwp5t{OSYww!+K1f7M$Do2HMjkA
z{jmG;Q3K99Uu7jO>U?c`GtH&O^Ir6S@q(`BKYpyaxzhM?#Sfbp{Z9)x9p}U>t+XtN
z{jxM?_islPs{${pzjj}Dy<YdlvT`fqDv#e0f9-sCWK3AILgl^fBpts;Ut0|hJS=Kh
zAhkEEMd|q*Z_W)~jFrE>=cid+y%*=^u{kaFSDn}U$NoNLlXlkd_;Ebq>kQdrdh_H%
zumAt9)<?&)2&kGHGOrNbKfQ5<?t@FeCJQrv``;oGnm0FH`Bqb9(8PGvUECspwUd6_
z*LbsAYlF~D4%fB5oZJkVZW^x+gkQgN#^)W=wEXqUfAlz<dMiA=F-iO6<=2X*|INQx
z`QwuDtQnyVLjTxP1QwpKe*UTGPHEbv$#+tP_X#_^+BJD@{fmcBw@hEY-e>Mk`5glP
z?_cVF>^ny&@TtY$OOH)Yo}Kzp=Rk_j-aEOwgMN0mN4HL8dE0VmLFhugY-`!SQANw7
z-xPB*Om=j0YrH4gzNjHqB!ul_#AjFTxf6b@Ww>qRYkj$i{q)T}H@}-*dAoJJMg8(W
zHs!IV3r%<IZ1}BhcJ5rx{xGhDjWf?mU;6s!>(z))Ou_xX3oY-i{(JMes`v->H<5`g
zLUK-t2ld=%nEM)EZ_t?M%DChF-F_XT^2Sba2a7t{DOaR=er?p^@149@<?~XL2kDcS
zT}ZstVC-Oc*8fpo>P*kCf$zKIFPZH$o$)gHYN}Igv5og!>5~rARA(JaW98@2`DnhP
zK()E0DuaDf{HcSU5y|J+Q!`^ESGb*gc~0(JUF44&9x<1kcBtoD{H_sHI(1uh^0WTK
z(<Lv&C%($KnejRDTM&a`2z&Ix{!`r#4B6H!%I6U~lw4vd*1*0duI=X<JFW8$KP2zi
z${*3p&|LGWEOUXMi~Zxko%iHfzN>D0b!qy&eR^G~Z;Q6DoD2VcJaJvPYky<&yc(|q
zZbzns3ePxjXyKE)XKUxxgnXOBWW8!v(%NjhW3|<1S9@<PXA{zWzFJk~#c}>=+c&+D
zliy#qOC#aKyeSu#PBodFSa1IL$AQYaw|5`UkZzjM_HB>k(h1-7G~J?iccuL5o~S3U
z)^*ClS6xOqSbpM~FTt1WE8Gn}ilrwln0d+Q(MG}9ofQmb%<N}=J0}Yy))cQl(pRNf
zcH-)u)9y3Qgsts;lgj_%{`%gNz89r~C1Q4#?(>QeUpMW@ZI6H%>v(?^eZ9BH|G<vf
zu@BW(f0&nbM%<q3vWau7#iz*${I`2J;~n<BYDmqS8y--}xRP`Jhts#0)SFeRzS#9*
zuiE^E-+{BHOYKZCHrvkm^6foW$zT=tLZKxtvErtR`{uDtR^S!B`m5;a%wOW49C}}8
zZeg{^ynp8ilXRx#vba;5{U2W4y!@!Cp>WyH%vSd3R}6A~8%xVfo;2SSU!+j*ihu3Q
zP1D0#n9^D-y1s0=DSt?oXQArMSMfnH{fpM;I@)KlXYAW6v&i!NFB5L{wLXQf8-8mF
zy}oZ>uzTW_vx>7ft|`3g{j_)WCw{)0-n*WQ>RDc~+8^how))bXdigLui>3Cf?_FDQ
zXVX%bW$$(w9AviO-5>jQ>KUDBF?OCuZzX%o+q=9-sOp*p`-u;N?=Chxy7t|zd2$Xz
z(w;3{Z^AvI?><@Kt>}3-Cr)Vg8~!_%)4GM%Kic*FtGu;e<uuthx>NGk+`X6mVRNj%
zt-+gBn*wr=)lEsT3#$G4BcXI|;xg@Jb8DWI?T@OO{_aNCPTk^Wp8}Pclb2Qh;GdbW
z&AV;oxrzK&*srd?G0R9lx~8i>F391H%9q8yi7WnhTJ-APo^{DmUB}Qa`f{;fz?T!8
zEB2fz=dl&qP-C&|Ou7BygwMCwdmc6YeLqVzv|H}!YL`{};@+^>AO3aDL;1q|8+&RG
z7qY3&dJt!vw4+v}UfA{McGYccU8lchO_Gm&nj|OjHswXK>R#VP|Jx?4zTX~d!++*k
z*N+2zacdec-96_X{_$;*d*H(LjY-da7ZvSnxt&<F^UtiutG+I9xqQvxV!m@g2*-QV
z(_211_nqhAG3!zGf+gD(Gqke4&nn#MQX6s8OVm$gU!v%}HB%}lXI%Vc8t~_~K#1tP
z>a(9L_Y`otT`1t4^304S_UNlP<Bru=+Cwe!g|w?)2>bGyth8=d`1AJp@-2rhP20!Z
z^33Jcqf6crtv~LDz2Y`>n)M@QM{4B?X8yqMD+1Rpx!A6k=rMg^PuL<Z&Gzu*ng`;K
zPbO!6a+p2ErB!9olzU&~lAL$@8fjYYd}n<`ZLiNNos;!p`g?Vrb8y{1?%-iPU+P}4
z-CPBqj<TN@{N8v-Ci?$<Wbxi~IseAcdzKpy&$PJuQ0W=}A#)Cy`k;g(r~d>gYR3Bo
zEncOtX<~HVud^T1{bB_8<-=yCTCP63zh<H&_u9m#8#jm-P4e@4<Rql3bnEe?u0L!S
zc5_}mnmX+QSLUwom*$l(KA3z$$KB{qLrR0{WQ86>ug)_vH)q-Wn3dBgapRCt<Q*gP
z$gF7j-_zO?I`+sEFJ|1VZGG>Y`fG!uUB@)`{#17t*1ok;qfYE|kKG?u!8!k3T69-M
zGs{N*6fFxE`^x{>^O&U8k8=uJAE(r-aGJZ!QE7R(lle^iy8T`Dl?$f}ZQO2Iv47{^
zX?iVJq;{y9oc{c`?b5{XN0TSk%W8NiJ+#+r<J;@%c4Lmui~0>GL{HfrtKC*L<LCME
zh3x!3bJ#DnMLRc^EB^d=>Il2%lh%zl%TFp_D|q*2k&uMCYTb@Nf8Vn{Z(m+KbYg|b
ziYL3jdfbyf|4}XHUFq!GOgD^;JZ{`Nartw_O4e)hZohlFt$54qikc19<q`AFB}CNT
zxc7CHq3zxuY02v^e!Nrh{^N;*hdvzo_c8J7irt;bZ<Vqd{q0wBzW07NeVTr|es_G?
z=UYb-c53eJJ7{Fy+{vQO-1*R?u7cIeI4QvJ$&@(v<cF?&Ds3|}bbdUHx%5-xY=(|j
z+cJ*^`FH07dT#X`brQ}~oXgK%?mlB;!k<Z7O;}{TgzcW#b{<ta6VY|+%-%!XH5%66
z7j8;uzwG54Fsq&^Nm1nK=c(bb>%6Y%{`$6f_5$%W_YHT{eE;;|>8Ia)-?#OrE&Tgi
zQ$uI9g;K`(z!{$lZd{xvw)yUN#h4Ad=LXpOdmBzx7n!0q>+jcpwTD{2)@t^>(f@AB
zA@7{${JJ?)Q;k1xKHt$xmi%v*pJ2O_eCfEQzVr;0&5Hu}ORP}$opgX*jOFFZQ!h(e
zzjMqvv|HKjlFH93yLB$rclakp$gK*x`zFZPW4)=tZ5O}g4VtU%_Z(kzGKNEE$9h8}
zE-eTB2F+tNuFa3yUswAt+8AIoZIMZ`)<+NLfQ9$w2Tpx)-FuIEW50d-F2|Z1YeG90
zO-^F6SJo4{7O%TF@}0~!&S<`p&HML<nEBcBIAsZ4H@~pJ%y4mIvwTZi3-_^&O%AJ=
zuIpXsxY{h`#=Ly((`{XqvG*2h%$DUYt-kmswAny1QYX_Q%b_H>QN^t2hw8})=QTSW
z6s~S`;FI1dT5{#-0m0y%Q=3vE>W}X`x~BcYvXq}!LQTY$EuC1bwP{b~noAeNLu1ZP
ze#-jptl(m<3I9|iQg{6CP_k{!R$8&?ulaM=MW<$;UYik+#x3$9HQd}os3+?h^J&wI
z=|{epd&qyd!+s-7R5;{mea7SjJGZGXroP?curk6-l~HByq5B*C3z#mtr%x;szqPVK
z<y-~ZmAO*4Ycr3BPx^bfc;Vme9!n>;X6T%>pSw}s=D%_8>jRPMmP>X0kJ`<CCi~!k
z@|PQ%YSYCT941ezRoJ7J_<!Q1+un~Su9Wy$I8poX>lvr!O+35Cu~tLpyZJ|bDb*gi
zw+F)9_`YvX>yWXET_b+FrBq_Yk)>MdcJI>?)_xLK*~Gw{#<-&4Sjw{u!`h^kf3?q_
zUj4mAZ}-*0n-&XRBq_6hGVGJeeXk=Ddqu{i_Kc8C%L4Xr`+p@Xj_tEF>z}x|%xJ;W
z4|90-aof)L#b&ykd1r4~ui2`1kqzs(FNtq?Y00c}{LIDDOfR;qstrXgIb5}y{9IoA
zQjk74OK!@2$JOrV{zz@)d>a1iw2A)ud-uP+{r;B!4ZG--FAJUq+z_csPkdF}|8Q;o
z@30V~sLy7+kN*1~-uvOvOhG+qA93@Ug3j9}pZ}0_>g3Y@UI7(FoKGI9nHzoj&m$xC
z_x!H^h7}s`H`VV6I5**;Wz~kt3s0}i^M3MFGpN)=d+V-p1HmV(b~dZN-kKq48<CxR
z^9H}rx!mWnwzq6LKFpdm`&7b;Th=nRH5GfVM(?$kH{LAwEG2i!gRQ~mH*6J4`%$*A
z?r-yzUsc;enlh%Ayt>%xbWug|&AZI^j8R`BN{X#By$_YIUGabahyUXL_UmmqmEo&%
zDk73|)sp@DKVH-@XlrQfpXT-HAzz7%m7MLl*z+;<JMX;twr1ZOp3Vp#_m%b1t8M)^
z$>!}jr8AQ$@srymrB;c+PrAQuf7vxD<@NeQhyUEw_gQ4D%Jz0S#{=Vpsae}t?wowt
zw5RLbuNCvHT+hlTtLYxU%=ob*PxC_m{`vR1H1keg{(fRzm~Pp^CAXgV#a)lCy<I&q
zcgDtVmcC^XI^P8+EZ4uf?pu=0z6*2e<`w-q$h!aV>M7mYOTX{ACHvrhZ>c-ykJ|=+
z_q>vfZ+Ubn<Hp|OvNOv6R{UD=HhaIiu0!?5Lx1m|4v1<vHK9v?Z&>ZEZ(A+%Kggcs
z{j@2qmiI~NY;le$uk<7ztzLU~BWK^qd2-Gt4<GsVOw4iP3fH~u{vAcv*_NKaqsrqd
zsi~;A^5y>i`)(E2k8M1^eZf4XzI7QnhWwXJUfAyOJYvclbnjRO&r`9&Ei3*e^vHXa
zUr*b3{`!uIO<{X7*1T^{kQGzT^D>m4cyq0R>vYA6-6y_X{m@?~nDNHs_l5c=i=F2!
z4pvD_pDg$;rhHYTq~r6(vXINaKE*#xJhC>&{qNtT)5~7pVBcpYV4O7F?ET3f6KB49
z9#L%jKkv2cJ8OOszU|L0m<zD4t$gw);kizM_xU1S<9uGV<u>Z;U&xiebCUbaQeCJd
zsLm((|8(rZHxn)_Zt2-sa(Pp$<+H5mi}$LYN-qDU-Pw6n?n~#p*N!iFj^{-iB)-1r
z)qi)I-sQVze;$lm+4WaGde@%gyN~Z|3;liC(b(^ryJ7guQ<Cr7qRo0+d>21YZr*q>
zQ~6&^&-y(FGXi3kcK7T^)XNCC^ugl2C(pDJPQT0(f~m!xJZjw1i#0CPWp6ZOdUg3>
zcWlB(m32W)<_0IG&C3j5IpZO(_JybSpIYYr@Q-J-3uc<ol72zDhmTd0)9~AWmJerN
z%H)(yODLIqEmADChqs^q|5O88k;$zdRkBatH2jhY?c5-8Lv)v7{@mS{%p>3B20Y*T
z;EvjnB7HahE3zjaE6?|J$aPCxdG~mMpG%~o>}QA79LfrI2gNV(EcMjNt2$f|t{Uim
zBkSAjeM!o>3^y-(zpZdEjquA+|9jzq*m4v5MQI#UY9{t|-PfP{W6r0VrZ`9EBPkcG
ztqhyI?}m9K{w#1S(|X{$P$|dov-rdOf8`B){U<~JT%Ex_VUGS9%N4)hXI**6Y$BmO
zQQhp+>Pb74ZzP>BaKF*?Mf31^jT>3tm%ctKpvSV*Aw4RrH#_p#`^vrVHh=i5kP#=s
zCRno9c-f8zY{kzc?|+@XpUH8b$N}+EE7tt~N$e>?!HZs85I){vu*LY~)F$Tufn#0w
zSJt0i^XrJ370Zr#cag=P&!zPC9Od03FlTj7zx3xFFXnVuC%xjXc73wQdDeNhWh{@M
zH~;!>{q$2}di)oq&nZoOuDZA0TMHh$_4Vkr`sJl_&g~X@vaxzaW&Cslu}k8S^1iPv
zW*$%3BJ}adTKj@T6`uY(<_Fqd%JEIrzxLTLo?DXZ0SCL(wqO5B3lD5RA3CAm#B=+D
z`PWbKy(&$Ko%L4nw#o5g(IabW-e#}Rn*4j(y!Bk0?F&8@OyjJ$v*m2ik<y~>C3m(4
zYRGYKT(wl{+f)6+CR_LGS4dA_VTyj)KjZQL%oE86+uJAX;V);tH><wbMT#xN?w{tv
z7WtyBu|>Ds_yiwDo0*<8z5XO5D0_v*ypYAYFVtjbDsTJRQL|0zZNzjz)t%nzoUHS|
z&EsbapJ%RCI#23@PjY2svs+#D8Re7D-_2l;JL)TcV`-@8nTcO!{muK~=r3pTqT|?u
z(En<@z3Vq+u;~}tF21`oukOuv$+}rHk`2Q1{yyAR9<q0l>9dKF43pF<gJn3SJlEe9
zFYr4O^-}8df^|DyvR7}>s;uzqkh*b2!9c4(?BBKzJ2T(1&rW{TdY>ab_VYa(w;d;R
zgBc&z2RFEFX#L=~^NP`flYifp<$Tl*I#gx$XU{wz$un~Q1ykOM{R#c;qJGHgPc?_b
zj?O*5AJ&yRrB7n!dEoC@Z+h|U+uiHd@89WMS)-G7;P9OvI=e5V`TaO8ds&Xx<ob$N
z1yT}a((ICMV)sG<jvbOa!y@@Stn#hg)*~YKeWqk3tiHE!&4(Lv?M#|vLQ2%--fXJe
zng7<8w>(w!^Ruw`GQK}6w|1yVd3vhKyv<ROOOuiH*&_dT&5pNx$D*V|cgtVPGWxRY
zV!PnicW<8sb!{n3erDtRI#i|D?!%EY50CxPd%xMW=Vzts_jym3+QjWSYEU*?+5gPj
zfGwR{#m=lsUbXEOr~CY^c4rNvdpGib=S;1YT6|IJX>HHz%)b}A?&`-qILOU-bM9g8
zhsR7x_WwBWY3cmjQr_}MmnY4YzTVLJE28ALY3=v!{FeQvHr(DaBksXemAh|u_w050
z`DvZX%G0-2t2MlMZMW>|yWW#*m$`cz7g;R-VZtP@e=oeEW&NEQx#usWF}b>iX}ETs
zoMYd!{g$GS&Kz^O4Q_UB4{|<OHE=(yym$3s@lS?axt%?C`98cht*`y|X!Y)+-u4o8
zG7FeuejI+V#rfxj@F{cFyR-^$#+e(QVVlhm#yziqX@{thhgrq0hr2v)=gaAZbv)hs
zBdT!sPwl%`!&BY%tA#8NxxA2*B}J7#@-4$1Hi@^%Y7ASZys$nZyutGFtGdUFT`oL)
z=2o@%QO@y>ZBGT46qFaZM6BAbt&?4{<q=!$jYBI=IX=|7Y;n0_$Jx^7udM@D2S`5^
z3Tvv{Z*@~rt@_ty=U=II|IH+0xi&87^PX~%J3i>J>&(29vwqw^Q0dCz-N}`aw#BUc
zVn*Ta<QrS%WMw~ZR7&lVNx9ZipT4B_uIa7{=2q6(fg(#KLp8UoscJqoyY+w+Yu73f
zizDYfp7ORi>$t7Dn!%Jg$)W5@8jske57GzTmO9URpQ7aJw7;?Oh03hLsaIl39@ri`
zxkk^GXX{Lpm`5oM_am40w*C4v-<~=6XHWARlVgkPw~44T>}uouzccCddtFu`k*5o_
zPUcxT?FoI^;Wd4)?vmCSM`qqhkxlKAU_Y!axo*<~?UO-PUelvJq$RH>+`PWx?)t{4
zt0LA{S4@!EGN*gCc5{9F;=UPGhgTf4*)T_V{ek)1HKH6oJel^3S!Xp0z7kHEb*q<u
z3&(P<18Pb=Kc;_ut`Y3BbX)J+Tg#UVeJK8Nf2PmlYmblZEcBmR%u=tx$g!UN7?=Hp
zZ7o6}TNWP>Jh)2b7bj2S^(AHdJsTh9%Q6J}&D(e4oXflUy4T;wUd}9U`dcMY;8<fU
z80DE>sJ?OHlbsE}<dO;!7l-rqtvo%?B=(fL?|Dt;A15{UZE0ouJ2&v6%ct-u(gz+U
zSg!cBa&pT5#imX*(sPZD{l7SoF)YU6b?1xcO3NzhJ+%`iZQJu<ZbjFcj^E4YR4S)0
zzp${r{6+W=Q2#W_>1EeR(PObf_j<Bz%6RfV6ddM=cAxl?Bc985rQz`>$4V@(ELV$}
zVmAF|QQ}I=OViq23LK}Y9TIX^;V&=aD@!^hDY2()x!SACogGWog~^}0(k;f$YAL9@
z<(L<*>^hZq;nkM%xoUFx@A>)Ur!8-ntX;})-Y|WBr&`R`P9~qp{rC1?xOwSKpx)-U
zdtc1{d(qR6<A&lpHARE6I{iP@8zpUHn4X?lpp(mUM~(BxiQiAR?~D2QhvU;XsfE8P
zS07}5I(Nmw)7L*ek9xLq*F2sF+L3?1J^ubA;!6Qjrk%)w+G)2BTerS=_|Nis{oNZi
znVt6UKVC22dvHa7k1Cg&twn<AF$affTqkbk&0o*O7oNY~>r%*;<h3GK-bMdu>i#hQ
zwY_1;;a^)@omcM6__^cnKCz;{p1knbsoPe+zRMH+Dy;TtLGFz}&dA1P&sryKzY~1z
z8$(gft=ISLk4h*7YB**bn$~f0xwp2%`8qfE$Rewkzte7n8~G`kgdH<YJ7Lr2l)Jd|
zP<3AH)ZRt~`~9stTe*G&xm!)_S-CuIt^k8X@3*Q~Y8M0)Do@1yRB+ipX~(r1IZ>|Z
zti`(X0vScpKBP@DyD|5>&oz%n0!JQm9}1s+MoFkukmbUJ3vu$EzA9(i%_m;Ey>9ly
zn4TS1Kc2GoHh;Co_y3D|*>RoM-uXMFKiGbI=C)Z2RU|BTzD(lUpZf1g@AiOoHzc<m
z_^Y6m_aXXcxOt!7%^%U(=bkjK4EcU<UEb@syP;dH<fXo6$6HNZ_0C`Nv}Mx1Rj=G^
z<OKDZRxV91Vo>g4I&o-U+>gb#-EW90#&c-+{10Q)cTs0&`R9MKE?-wxX??+;UG`J_
zqe3>_Ut4ow{qF-t5w{<nZ?qR_*nQT>{E#be<fM3CJ;{Jc|5xu>&)2=G`&X%ilN2Lk
zW=aO5=#r&8Rw9C#A-f!xEO8E*AmO!yH^B3yR8SNnqhnFqyLbE2CA{M9ub=n+U-A3T
zIoH?hef4H@`te_Hi)BP^UQ5&neCIClX`SDZD>_!|YWUpvCNI9xarBRU)CWOJzaQ3N
zImNOQ|K47tI^)`p&}~<0pB&SzzO=&k-t83^dL%3UXZ(83aivPH{#l6C-hB6;0yAbj
zR{nK7@<rmYT_<g>2>S#tFW#_d{<&$5OLmA%UggqxyYscdQrns7_jl~ge|k%y$ECb}
z$<C*-JKL|#x_jd5*B_@!SdXaBax^&D_~ydl(~)KW1&{fK<=Ah@VGiY7DE6r2(3)D&
z7n9?v>vS#kiyk`_W@KoV{w?U=wPLNE{gnp{i7bh8yf&74&zfsdxRg0?@^P^n9~x|n
z``B+-d(Ud@6~4joyH`g$n{T=Q{)_gyM&TSXkFSbvZI~v%QzoLZ^zAq8``@om4z|*{
zf7sxe+6luNC6lD+4;FTwt8dl4YcNu~V)T14V_;(5j04kKxmMn)l95jp5G&vl-8Cok
zSIA?AW))`f2|kRo<3IX!Y;ao09U|<zVdXu=`>p%pCPwx>(B9;~Vu6=qao+CWmXh7v
zx4D^T<sH0T9Up6X(n>z}$G>}5PyM)N@#x*EOpffoo3@IXJb(8hXqV{~^UuvO<(0{a
zIz~of`woX}+nBQT^^Wa(><oAB-e_RBIrR3P<1Q;)GB#f`-~L^@Zu8C@^VGcZ=Zk({
z`}5|AQ<3rgMap$gE}Z%uwN*!lVTbnB$ZLtO7Ed!=o3`oyxy8ygue0a2O6mTUFutYx
z*T;CHM660=NaO-Nd4^5md*)v1I=lRm`kKe9y1CzRA6uX7HcxPcvfBA2!hfbduu<Rd
z+vgeQ{B6z~Gs$PK(hj}}N&Z^A?M%DHv-}GyCY|#3ZcQ`%<<-VrxH;m>&#wm~>u(3w
zCj1I*i{$^g+bH>Lz4p_Yo0(?6YW-zz9y&kJbMuk)y5H~bDBJWR>uC4w9q;O*XDYlj
z3DR=B@zNxz>w~dEu)fJuKjrStjv4YDH<rAA)fBMP)aYbhpsP-U>m8dc&ga)1w<MLD
zGge5uv`?8@C!Wqa_wQzw-$E)PrW50W&hCj;>@+Op*LA4fD8B#n;TWNrKayNjE*bsZ
z+hO!C{b}u?&Pg1H=WjIF7F5~xX4YTbs?y*iUnWmExl(9PL;co}MQ!`@WIxyLkFySK
z*!*2~_36n!wkL|t|DhQca7e~I-^l8*<;V1hyNA|Vtx4$GFZP<(V0!gWj>LmzD?aR!
zQ=ay7!}07_X9Nu9ueFe7%r<?o*nvqi?8X9iZc#zi8TD*y%ogtsbeq$r{cI8Q{k2lr
zW<|wo-x}_2n|)B`im~d}IS;)%&i2as-|_cpnEOUK{G_EoQRI99cJpiNkG_1985HTR
z<5Cw}8{=&h`NUDieZ`X%{WfJ+cCP06wJ6H5{N#+i!M8V0k#4n+E)I&`yhK{l@@a*z
zL_u-T?9DDx>fU_|e7xNDa7)^jT{XT^sI_6oJd1c=R=bV)Pa0l*oaoGcqalz-%dq)|
zt>uAexnGy+`TE7CPP5kadf?qMQ|W2<)ASF_QKvfN*yo%+!u-jj=g%R{XV2=>W|(iX
zQ83JSqWAL7>z7h971rHXC@S{9bG3-4-s64Ts?f7-dezb~kKfNU`Y-xKTdQ}P$=2t!
zjXpQC<E-ATmi)u5d$?M#P-)Sn(~TMbEY_TG`N{e#lx6i6>s(vG$j?VoB2R6$)#WaK
ze)er^=dE))?_QnLH}&b$SmEnyCADweUHa^ik@)nvZ_mDsvai@R|L~l3D_*~Q({X>s
z?{{K}n{V9v*7ARgxX@9>=Uj{iikx4cFKIiMTKVF-Wr9<mQJ9MJnhN&PEwZW6MHQ#^
z*58@?^RI=c>EQ|QG`RUHmh~N)%x@now{NPGXwJI4FS9wnPV4G%ct2l%0f$#5&-})w
zrP^12_1_D%{4vX9_Or5x^A?=R+dn@1TH|WdSMtzHbt*p_Yi`r5ua1At%H4|TSe?$z
zp|bYmBb5xpSNG-@DlO%AlHT`Nu}N5mH7Z5dzS(hN0h`aN=Z$U4-!LB9sl#{3Mqr<s
z=LW~ep<h-U%oAh?-1I<Xfvne`jceC8?3i(S^+oP`a}?DbZJaJoa_3Z?dBlF<d|vy>
z9zON_XWg$kOU~|)Rjm;yYHN;oFBY|`q_fJyQN^w5r?$g4>7PFH4*Xi_&K1$kW*GUd
z?8Mx_!&(|$f8(@6moqm^{jl(GV$1!lrNv*K%x1Xt^!fdYg{xU!KNL9aU9r(K{@4M(
zMe7w>|E%X!y{rH4x!dnUrdtmc1zj?a%g{PiWih$jYTpIr&5EaY)lFMdbm`mj0^g#a
z`<9C~xpHLXzIM=KTscjl@8hk{r=2Zkn@L!+#^pr`CB5V<$@*{m@#%7h5FXL?uCllP
zC11b#H_<5b+t25Z+9yxT?^-b_?e3v@QSbP_TTWVc+W+VFyI$<qrhPd5XzjJ~m_0Xp
z^phW`tA<SYwD04gkj#sR7fzY}<2}dmpP?PsHchxMsW3bJ+Pbc7hqq_#4O6;&Q^>@1
zmYw$06&F{|N&B|)@vXfpmHuuqHu^2|bo%li`&)Ycu79c%lx6X7`Ba<z>n~d<nYXIz
zo}4&Q;@-_m0#Q%3S5_+rzWm3!iCgydw{1_aR<P#JIrPk>q4#H%K@T5WrCOr?L3e(Y
zepB%%mIG7V9ywPWyR!e~#t%_?xeP1C<GR^9K5ep)xGWKIPD98c;Y;*v1@*XJ3eOS~
zPA=g;B=hg3qLZW!Yn+ML^0ha1F&yJ(Kl@QBX{NhO<DYoJJNZF+J@04m%yK?|<DhdL
zlhv6?+csSJ?C`5($!4y*|6N|%$lP<YVJ%uOet&UiJkx3>!^Wsfm$@|$OJ{BQ-o~u&
z)^*INOL+70#>4OPO}5x9H(aN5qO#-aT+VGj-kvnq^-G;E&U|3!JD+H^j{?70G83Qj
zo2*&OvP3OnebIsKyKME(1-w^(Wi0Ty=ggy17Z*vd*rVFd7=HfnLTSP77y4@iw#^7%
zqLmpe;$k;9>+qb@mJ=p)I0VkBy~txC?jXg!ZAS46ui5QVQ%(fQawgxJx7nQ0>%#|5
z-cz<uICqt#^1XSeYVvM9+w_HBFKw5c`$qZC3htG!KC2v?P-)u2xBdPR>6==1$5Z~)
zmgSV6KQH)Wij(bw0;ex$8=v2KA#;7(sqTjnvrXMo-<->D{F^Tk9woF-@85K%L{8>6
zJ+BxmZXMFET4a;{u;9!WspN7WQKut97HZAE)oo-L!ZrqS+^JAFST?y>Q+(OX@FQB8
z%x7=D-RySw3d16nFFGO_EywfR1x;o*)YycqI52&pdQ8ghg}N>++v+r3TK3t6+4cVt
z{1knYyRfk6c6PV^-RSpkLN;m5D$(oI+9o4w@??|LToa$|QnIF7?i9~^`&nAKrF&{;
z-g&;9b<^JdD(O4=eYa^&h;SZ{+3P(0FQ$6EKP?sFV+%{~#4e1EiOW%M$=6TYG4<`)
z^HX#CF1_S4zkKkt%eQO68|K7*tWSNjX^&=Yv{SU1_TJ^&_~y1pd2<_N3PvZf|9tYB
z<)?wo?#~H(jx6_lXQH@Q_F|BQ+^gG1Qm!{#wYXN$z3{So_l<91vTV-jSNT>SJh1pd
z1h3uYbt%m+IC6RICa?R>_HV|XU-ty9UsbxrZal8O+BkaQ*^CK|Pyf0+Iv;yQPJwxe
z&x+j1YsJ17u-~muxU-_P<lf}c|36-^7rm^}dZ~Kpidg_l;<E1J95Yh){Arr-b@c%W
zx4qo&KRFkkO`m(XA>@gI>HAM}>g?WH?lnHg;<If@QO^R+J3B-+-7|i&SK(8f$)`Aj
zot7dG<r*jSvrpq>=XKGUnQY{?zjJvrn_f|m+T`h57M^|TF->Xy35BJ{*#dGu>{}OO
zp_{{TVBeuRpSyOjPx>XF&Tru`gK16X*U7f2tgqP585NrSKb^_2V!rO9|8Y_i6uhb;
z{%==l=>B<s5`#m<1eS_9^(W6e_T1t8CfxjY`)3CSwFbd^4;8c3{(X#c(q6iW^WSor
zGu2WF-?nT`OZ9x0-8nHo`bnJ!=ePIz$%hVH;tResUFV7Km8#WemL8BgDWm3o>BGnM
z)t~>*+o1Yye?p5$*qaqavBwU0c-`>lnsc})P~9ygGFx9i_E^QO?bjOb)LSMQ8ofE;
z6?OG_YVW_pz5n)W{o7vqZ~uvPCUy5_3AZ0S|Ho9YzUHXF&!A2xflS*89f$Aa|D1o`
zPt#I7$@u!-sF^}Whg43syo^a!JeYiIFURxzPUSth-$O!M4zUzf$K2m=ed5PgNk08w
zFHh|}x3t1y5C6Ko&v#6+H{SU%`B=WUuD!BzPI`Wp)_t#@Dn38<_pP~Rw*%NeUSD@!
ztUL34j^D}t9h?<6nsseH*?ivf-hW!f?dp%78GfaY#Y?J}{OO(J>8^dial`y1AI6ON
zewlwyE3*c_mU_hP!7HzN%f#z_op+c)<hrh@dMSTT8@D>V)_lYr;k(;GdD4ULDr&D*
ziX43YnVrYLaN()=jHYVGuO3Q^<EqYk+!5n`)S$x8J>mU!hj+0Rt>wPY_dWWfoOWE>
z`^#A+p^KbzbYB+zvDX#;5d9<S!h$O2PczNV>}T~jlf2<4$C+l&6~cNql=?G;H*iK>
z*x0l8z#h>B+dGW8uF0MGbSHm_$G-jVrTUmo#_%QGTc6PX&n4dIThXK1A6^}DySMPl
z<|WlP{%-g@>+#xxif)0hgr||=DuteIo)fnh1g$?~Cx5!e$-Y|r#<`WwW?$l-#XM%2
zkX&)>a&O3sAhxf2A6^%K`pEq}+wqiBnq9(|x#VQe${PgkV$cpwD14Ohui@abPYoXK
zZRv-!(hC=z{ZaR6>y7OKTh6E@#OReznA`9A#Z|Fo<~7lc-k||&!#~dAww^E{;JWZ`
zmBoi|YE787?UF-~g?zQfN3K%qZ7x=#+v7RdOgf)OGN>lrU3hz8Ewi+d_{%NpO{}*2
zopCF#zQ`a{fBTx<Be#T;*?;G?@Fu)1Yxwp@Qb^I}k?r++6N^j4C6c0VeZKkOaR1y{
zi$6{2;8#yy6{>igd6SRpzwJR&C(UQjpD>4Y(o`eq&c{WnYN89<4Ug#+{Qt8{$!%rV
z^E<XJ1_z#<I$9-l=J=x>DvJ+pe9yP&p#5~~4f0nQzj1%6+cROCXi!zVo%)@_>!(#Z
z#Mo6o*VflE`1z9S(iMFVbI+Sy&OaBQVcnm2DS7)U6ONiUp*_=k@*R0SuY4Dpz2HLA
zGPj@)+y01GS#ADmdR`<)m$gOHYf0C#wzWr7Wb3*t^VeD}Z52GQKAcJF(EfzhM4wch
zoSxJ-7Z!1}oXQOnT_&dg?TPSv!S@pd#PsjY-@7A9jDhKo_R5HLbJoeMd$TrY{hzp>
zx_fW>h@Vp}IXT%uZ(&!3jc{#o@i+4wjkm<=jxpslnijWx{_wHjsnIKUsmFROzb#Ju
za6R?o>FFP(r+%zG=`!i@iS{WhFRWc8?y#6;CjQb3ux%_^`t|Uf)BGA1LEho3ep;W1
zmV41<TXvTt;^$H4?A)wtyiu<w3$Uf>x&^i0;t|wsGdX<s0l$=jhQ$o28-0oqUv9|n
zU2*p)^U)XCFPqvqUW;YzY?w3e;ew<F#m?w=j?Wk$*)U(TXr5Wjc3wi{&nyMU!yM}U
zf4`^i{T{b&S%gS-PPQ?p2`9UFm&X$055?SzZ|+I9*Sq>P-Sy4J`*{p!)%yQde12cQ
zc28#Kex@s`ce88Cm}|slF#nLBc7jJX-Z46ytGfMbN#zSAPeK2X!;dyM{Jj+UDK_SV
zy4Z;)_7O_;)AE}ooacyyiS`wl?hs2i_!o8Mu8LAgv-^p!<_2tUR5h+P=TENXIB0N@
zJwnNB)9m#IPI8_#6$~2=|B{%u-S&3Lmm+Ilql9%_hqf#YcjLWh79Tb3(cOu^4L5&s
z&dje)Sf1k-l45;*;q!-cYtEf~S3b=@R_^}E=ksK0kB3hCms4K0xqriDN8WYOZv~bZ
z8|<F>X8I*RzkL_YpYOY7JnjC@W!G$`=Pl*dzIS(z=#2Q+Ta4~%Jd-)}cHhT)9esHb
z<>yWrG1tB9O-Z!>95mCq^`q>S3oK@0I&ROck8WIBc$anczV*wswio?bzjM7c&xEHz
zlFh~Iz6qB!@5(IlDB7^w)M{qGnq&UIg3O=70mf|{Klg-fdi<^@j(fVs(QU;YyFH>B
zR&NV<e%whf*UI=|$j(2@)m9eSx|Xfmc6at+OYN`1!3%Te>YSAH>e}_u@b6rC`E2p2
z+wwUzcN|ljs<qA5!^|`H@mqt1a~{?H{gT@|;n3q7OV+bLlG*ih)!M6HS@$^2>am#d
z*lov*%i%LloI5|)BX3u$mZA3cNqhO1N?&`oE}Q!iw|8>UI;CZKtmjwEiD{c%dem(5
z5wkigm5(|<`{sGyEj^v}KJvnzaE)b#kCw@;Q@NJ@HALZA@YUZ}<+fSJ99t$YXnk>s
zQpudYa_ubf4%s%Py=w|;ADvxLc>PJ6$?W&XVy6oi@89w>S*>z?v;CFE`>Xb|gl#t7
z^TzPSjl$~aof{+{O)AS>6L-Sq({%>Lg_9f3Et)m^MflZOf9k%;#aG_b->$c`cJ-$u
z)#qo=N}b{VFVr&o(*Md!hqlLPEe)|2P+WW<Rc2!Nw&0(A6YfS{-)Xq`$hj~l)^fdN
z-&z~Kbxr=ee$&~z_q(<){Ts1qlhKi9*C&PMs$FpxKk;y@c^yyclpLQK_K`F9ZGIGg
zb;g}_EQa5!7u{B3)7BC;-5mP)_MC~Oc|r1jVlq$OjrnuORZq93WTVG*)%7R%lEs(j
zz2Uw-=l-PR<BNs*=X*zV$DfRNw0-v7t*u(?jK7q;s82OBKCP9y%;<Dc=!4f+%Dra2
z^L!tBO5<*5{J*lhYd6&7CoFuw=z>sMThnTfrrD=THyS4iJ(kEX6<TI6@AZq)N2d-S
zQ8z5uA*@le;L4_LpIs6UpSmDzBy0Tr$9C(bmxPq3SlT6S$@jZ+u4c)U*V<8k5^QeU
z?=ji)YU$OY=)*mxf0;fjOyuq4{mFEZ>AJ#gg_UQ$yJS{P-{;Hqc(2{^jz`WN{=rQK
zc{<IphhI;;q!U)#YL)S!k}c+B->O9$=J;^^ebRAVYPDLdC)-3pE~(YWV&6Obh~;E^
zCuscYTk?v{=MJZT78W}(e}Avo!-emyer3iy$$#YZ<bA?IvG8?|L$AfzaPx%9*?P=w
zIQm)dIAe2+tMGfy%i>K7+g<d;rUo${Np}dm^X)}yqF9w<^8w=%;?@7$Z?c~_GNo#V
z$d%rkwKk;})4JYxH0ZRSzT-TbC$a6_qsEtq+Wc%AukkaxI=AhVWVsdNXjHl`W7|i$
zy*gjAZ?LZk5&F6BiEhFF8?9cCHme+resOq_g39TLp2`CgGp;j8|CP7OJN7t1U$W?;
z0?%>7*(`m$jPvt<M{|1ls%w-yPLWw+<@4b{^$q#=A)hB4Jlv4emZ;lu;p_ah?yEX~
zo;$%(To}OH{pj|w+w9VjYBKqPD`Z4@rS=?+e(tq1zcF&fle@EZ3~#&bXFH|GW;fBY
zXL`B+&XtmnQv0T?I475P_VM2B_3gZSm>6<iOx>_(oo)NgPiJ_O6|O8zPfGgMt<n9!
z>ZQe%`TvAgYO4Eh==sk6WPY@V(Si+oT^?+h^_pwyi#dDmpPXM?+b*hiO+KFMwn>Yn
zeeEXG{dT)|?c8H?eWr|yyST)YfZf~7w!dDb+TOyg94uK~rX8Flna6rGNwcQ&+aqIT
z`Q;AFp3FZq+gnAqX18H+rjO(T8S~uQrP}cu?w^^|QgG+YBwgiI$2mQ1mF(E2xqO|W
z`0TgJ>wkv+DxV*4JDxBJ{Hu5}%j!|>n}g!|0#~a&lJD*D;%9Ar;5ygY(9Y4&<*VJL
zGv@1!95y;XiGShk6yjFM$QESuSkd=G(hm_%Gx2s6J$^F_?vC>do+izxYkB<S(QK~m
z$=i&#3)eJFz0sPnN5YN!;-dCP_qKeU@=R&f@f{Hwe2VpqJAcN>Jc>V5YwRu4)U9-6
z@5#96?`vMN7+n@z^t^iVW%0!l3$D$c#hiahdu~eY#s?N&Yo32zz)^Me($k4Iy(c~o
zD{#JW-R}MEch;+<qmDm5vnpiACUK2OhFHbo5Z+5ovNIJ^kFK<FpMHBs^)nZNCEwZ<
zt+Wclo@a)(uFh=cW)=zfne@k*HD(%H*MBCVWs9$dzVnJ)z!GQd*m3T{?7v<ob|)(4
zDOx_hcvr*y-_7!a+7rJQNj&>}tti!tOXk&dho}EGymnBKP@Twn-{4~M(c`~g%#6@m
zyfc0yNB%KxM|+|7)r%8FWy0RoU#Vs6T=_)!fzf8a|D6m^Ls}MzcC^R!n=Y5gd(^eW
z-@1PCj}z|~$s9ZRdWk95-G-0_s}yBi+|x9KV-ix{?7p^lndMTZJvXP-EZr;g`i{f@
z5XRhT&lb09S>KjOxI5!QGV7kb!f*B3ce2{g4P6%07bfNx5u3A@mFGhxyYj-f`!t$v
zZsQ7H$fUYvD`$&_uYOp}l!8W~?@5}UvTfFK*R-r!vO{8DgG}e-z1!b3JTx{a4Twy;
zKe6S{Lw5m<nw+!i&oP$Fn$XPfc1vl(?FRL!Cw3qEu6UWBca!7;i*3?tPvkjTw%?fF
z)6^qV<CqjLxuo><i&O4vL%JTZxlNyvdf7hIp+h?IfJye(=M3LBP1(IjcA{gI+#G+d
z`59B@Tl&VFalQ9trs*5|PW7-GGq+9`e?H;xzb#p7>gQJ*9BR(_S{PxnU~>rH>iB8@
zOTLC^TJa_I%rb0WrS;?F5B;6XJ~-8QEVH>6Uj5-h{5M{|x>r-U?w&N*Z|+mtefq1e
zfyadF5@}!VIq3&{vT)2>^sHO=vgbp^gR774@zgmzS1(_-f1g_Mq>d{)C(KCN`uc^4
zXR%Up_>Or>Re$mY7QH&W?!<!|n-{8|tSLBQS8ianXIr0V<+huQ%jMsFTfW-#iA(50
z=I4(%L$i-~p4Mx=p&Xvw|04K$`h$;?)|nKp_`{_skk8=gswO45cv&*9ljOtgxr`6`
zWF{UK`@ciB;m6Bn{iJ%8aODks>}Nks*_|Y2GCy*6g8=JysmdJIir4mT@A&_{J;6}F
z;=_b*39%9Zilt1~8tY%Rbbn*Mw&8d@!@F1g7b3PSX`lN2z%`}fPq*eJpH-J?dNoP;
z?&ItACZGQP(<)k!ecGy)+3x=NMRNpQzOk>hN<5IkaHfdSZ2ucsw#D1Gy`GTr#g%cI
z?rFVWRsUARMTcoANnYFMf7>=}N0`^2lBLmGYaO#&w%)maZS&DP;n`O|P0kL!a&1zz
z%!7|Fw=6v>y_&o4-Rx`LpZi>^g?ny)K7GgQ&g%P9FE!6#@Cp6u?OQ&5!~9+QzubGa
z-fwG9ta`ip`8nTL6|-*tysEck+3K$B+e*sE!>@!_Wr&z&J<{dmy#C^ej(5nzsQd0|
zb9NXf%HLU>Al_<ip3K7{v+8kde3<FuYs(|ve`#BvTNlq_ol<LlxMJD4GqZK`r!6`D
z`26}wCAz-_{Y$r0eKMW8K5+jc`|W#8f9mc}x-mCd<H+?eA=O!8p?N!W(|=rg!rs!q
zBtGuqnSlQENA3;3`V9=^{XM5}`t&ajSJTp;v{qr#T9Zk+nO9EF?roWQyeazVtmvby
z(W;rp7}BpjxH`wtna^h*liGfU$@bgwqW5-fESuW$&3Ezt%^Isa<h{JD?YCWfF=a<`
zme(`Q&CK)H1eJHc5lmNK`r-bZtMBJ2&Z>TQOgQ=cUteRvzPWeG%N$E=_8&UpxQM0t
z^RCkG0$X47^UjDYH`|(V*exTUFHDi`?uTs)p2i;I)A`-{R9^L_;@R(N>gV$HVzNYv
z3^pBGb9dgR+r>4TjIFn9u#CPv*=OI=&ldkKpP2XC>Pg+rZKgkezl~%KY-1~(@j@sg
zC(<_m^Q4P6?=9N9Dsr>T>+ij?k0$CLycKihad@xLgsJKgQrYkJ$HwP(F>gJVKTmK+
zgw)~+%V$3<?`!tj+A6agy!CU2YuA;>=a?VOUEwAC)PgUfnn(Y*kiGlFTM}t=KWy==
zS>;@#b@j7dYvCG))0d~r*Jj*aUB=mMT-O#HnQ<+8Ld&;;lhJPN-=4L8|5PaQ$hq20
z@u!&2Px}<zz3rb_UZ<P9PG^wLt33VV!o5o$;sX1eq%zJ+wAk}cp2X5UX--4ANWb`l
z&4!x#+xI-oe7V$wZQUB>3qqUEn+HmTciBsdy!Y9CcW3aov#y24eVV^JFMQqf)oiuL
zM$`YC-L~A>JKWn9esrt-@HWeJobyb8FXDtwjgi~c5aXqDZ`!o@zx{mf{FJie1%bIX
z_KP_tPntXRiMc0t^y81Ulka>qD>s(nHI}M1sh;)RDSG+3x_5sH<IBr--n=WmXZqi+
zIlZs*I@kFAP$=H|ZMF3JkhrV&9)1!I*?lq8<)%RK+*;S#lARZdmTp+NqGxkzjK<IO
zi~5gm6ittNe8cRq+~ubdZ{KG~b@z+iSS`8g<|_Hwy?5h7i!SUvWpjCV<^NaD*4^|g
z{22Bnu6};T9k-v8Z~O7jF)_3HG;NjSnv!$(z3NK?--dad+HG}pecby~av8Nc6Cx#A
z&7*R69=B^du4W=WspfUZ()6;KkHq}`FYDd;>x5d#gwvC|EnG#fo;#ra(IECy{L+~C
zn62+~MQe-V`<BYTJ-Tgf5YM^1O@BWaFU$S2edXLe4*JKFN|&wa-O~F;)TzDlb;T|I
zu#Yh(L%Anw{t5eNEqyW6c3pvR`S-8SW=?Hwytqm#)VzPn+gAs>r^UC<SvkAv-0rDb
z>q7Qj<L@thk$64wamJm9hVuR?Uv>wd(tGi0&y8r6)7!a|b9elj_;GRaXSok+eO|V1
zF6-jlllSMCwBx;B3rv=!@h>skZ~ddZ=HP#cpAk-ny1t1SswHKMAGiPF`Q^y;lQ$cM
zia4%s*1HqF(xu{;gfH)nqj%q>Rwh_(xxag99>0RXH2?6*b<r!=>Q3I1Q=;FxbC><?
znJd-auaxWTE1Plot+uA_-RQ={<;UE@>qI{v-kR7Ne`05OuK1}tJ6~9sS%s%<`(a#C
zz9!r(eaesLMr(|ig1gvb=hqgL`D@McmE@9)iG6=Mdva-U!_DLn{X3fl13LqE<teRF
z5<0)@_TkC1?{mK2z3<c{@9mra8f#42U)(qQwANv-^y3#x6PN6|<uP4t-?oX-Z)WzM
zbqm-xHMMHy<)+i??YMHMUlrWidi0!rl528riR8Q6vuCevmfRI}|IgHC->Ry*jviyT
zc=+LK(2g5z4CyBI%R^sYdl#}VBL3yIR9o)5SB||p^fU2coz+tH!d>N)4{vY1cj@jn
z(bqGs?6_k1>BUEB<$KRRygnSInP%I+#WXm0Rlfdh)BR>%`j57qPkDJ!bnm~7&n^nI
z@9gfq9Z*>ERqDB_>gx9Be?cX?cW>D;gQIavzoF8uk7@k6`-&!JzAAb)_1zN(YwM%i
zo~NsqR|T!nDJ|dTGyU(P`jE?98cTD|{^@%uR(4%Qzh=R+|5v`gmdHIVyi2l-dv?42
zbSrQ98Ru*N-u?eWd(F4Mb3!+MR=%0I?a_i;H}CYFRk!~5$Fo)UV{m8ETpMfY`D>Fr
z7qwpz?J+sqS|zu&bfW8Y<KR>cj;mAuO^aCjZ-yNA^cU~`<-P0IUbnI|Enwwon{DN1
zzGWBZ_$|D7rT=?M{?a?WndSTXZ_N;T8hUy5Z|^_vK5W|Oonez^ddv2Q?P96@fe9Vu
zIT_wZ)G|({@ZSshU~Ty)vLre0*0Qxn%h!G}k-DtDVb4b2#=SzG@8W%(4b2w$?Yy4;
z<WAV1?W}E2#M|7%xG(?SH*4+BW%0{(qrN`4;%k1tgInTANa5!+?bRI3uT3Lj<sQ~=
z_E6p5IDboR^ijv{?lre~u2*)q>`6Q+w`M`1{<1e|Zx=jz-e>bG<=&k2y1I+a^IXj1
zPjiNvY!{!?YjpUn_>Jnha^K$t{d&E$VVkGu`V($<qNh$$oOx=3_mUg+8)A0O)^h5N
zdOSTVUxM@1(kCfLqK+2{2NcFfos!(T?&9(K$y{7}mrZZgy#FxJzcNzxOitLk_gOox
z8|j$Ws;lT)8$~T$aq8H;gA*Tp4!il@I(oOCF?TofA7AMmTS`o4zr6jjSLwE1b<lO|
zvt`d$cinY4Svo!Lph&e*EVtDvIleDzU9R{nP2Z{aJVIxNOYr5@ow+l==xn>{p&9s7
zJ5V!r`;B`JMbZ9N+>bmjsZH;?TY6XQ<*Y|5Plata<6UvrJR!Qsur=o6o~+7!_jL-M
zr<rY9C@&pTZD;Amv|6v|VG7f=IQt+~vy1#9%lO_1mTkVE_V${Sr6T)G!*!i;%Wgd4
z_g8wGlE44&guljfG{Y8tmH%&>`|7dnodC{-F>#kWw_RJ(UsSYEaLb%IQ{GE_Fg4p;
z+@m(@gMQgX=l+v>KHJ^lulx3g<HP<7;_VDC)7V}!p39Gz)G{Ha$BOCy^!>>#F;xro
z84vDVQTNp@aPM@Jn`d>ZG~7D;A3gavktxvjy1+d}{l_=BxfZIevRC6NPS@wU<lOlB
zNw<N6rykcl6|KK_%yU&0nIj|2#ch{lcD_0&KKF=Xi+-D<qSBXu5TiR1edlLqC*E$K
z$$jeRxuYkRGAcAaTK<~zo5606-pL)}bAkmmU!Gn0cJ6F_!LE0CPB|e*T3vq3i{5kX
z<BZL@>;*PUgvC#0Ux{^BTiwnfE1#*(n@}tAKahp<zTb{l@s8F;ryJ|OPWomQ#@g85
z#H^Ot%jDkZe5u0k7`x4nw#*4DCT>zVq534`FoSk?n=XS-{?(tI^NMb7f0g#)(}Zbr
zHkjTx?)z-p+4{E=>KSzU*M4f}W8mPP%Ty&bSN>zCup+-BPpor+!7I+-l&C88UpH(!
z4sFb~<F#<Uav|MQV9|m|p>5CX9g{so9yYQmRy}{^c}AkCu~6u;7^?z*<hRO|q1%}I
zor@O#XE1t||8U-v_rgyuFff$7oIaW1=LCT_$;UkSCNbYQ^8U*E%WtpxPT)36&0HR;
zcTIEBZ|fwN7x60#&aSHeC4MAht$6pLyljS3xy`?A^gcy$eO7GwUC{n>i6hGr>3fCW
zSE|q5-IsH%&}Zdy@xH9cUn{>HFO=MT(m!su#8u(nb}16|Nq7HxZhGHlllzOAnb~Wb
zWs$?l6+a)yGe`z3@)L5Cx^V5rn#bQgx7TU)tt_xUxIE%%`Xl3W!Z9bBS$6eSlx<>C
zJ+FI7IjGL{V)1LaqJWSckG`l)pRd5N`%JB0kyxi_sK6o#_ajv?uN%1Ibr>0DD@;G2
z(B5Ewdzaz$D!~;Kx1L`AaA!-v#yu>m>_r-KF{}N4KAHO7^_C60o26HCd)<{!wN5)F
zI^MYSL>{|tQooH$!Bf>EN7}@<&TF4PAN$eH$FlF&rnb&HByB8r_r}hMw=XAdE}!`L
z$BzXsKKQg<Xgz+=YG3`17xq>ePJBJV=Mu!GANG>jWbJ2FUBy4Q<H#3@2(!>*99era
zXXUiStl7KtjakLQ>%nJ#ehF<>f6~_H<b83{d@KD$&R;ne7}<P(ZYh3AMe?fZ9=HD)
z7N6enw;#{c$=fH<@$>ki!e4tBI4>VO;3RzDPG`(Szr|mF+CBVx>Za4;%jZg%y4=~t
z5|it#gpX}`B=4TIw?RhPeYpV3y9jk<R)y`N@{=?tOtIf_a`BumJH4Y82maWy`{3_x
zne|U%=PnIk7w!33@!v+na)y!3g2~^DGmN&>C*+1_yxyvsFWYZ>I{4wKm<v7o#99Sv
zg?6dU+41V)-b<@;yJD0)s(Sq-E59i+c(;~3vFNf&ZAhru6TdTI_x>sW1S{*@UTkQJ
z^68)ZlBL7n#p&Ia<L6ooExGDlF0H$1zwhStKxGmC?fd;CDnD#__BrC%t;xLJRUehh
z_tZ^uZgHCIb;`f|f^Mt8<;gRi7@ikp6j7_J;r*%3wk34M5{=sDWft!;_kOuvrm?w5
zKKGZ_<JGzUPT2f>*Rl4Hv!E?=XSv<w`(|Ml8}wi5|F2r)5tqU1$Z{i-#ZvoNyM)zm
z)zV83BMsHVQ*VDiw$`-N+{{fn&Q!E^?UwW^aregK6;<WtTk1FDlpl>y$x3fK%{1xr
zrlSFu;`L@LsxM+y4-74Ol_TZNXJz8`N2}j*qRGd*?1Ah52UKpmGcD%~&%tL;PVsgK
z<f~4*<}i8UV)KJ-1yywkTx>SVM;1OZKj&04cguwoi6+ngDgv^y%N|r`7_k-F%#-g}
zdedLv1k*-^Sv?|uk3@2u4Omj~+A?$D;Z^ESPJOrd7$%gNZX&7rt8>MA@#g6l7q(Aw
zpMSyT>&xbk7Z%QY6yCYSkT-dQ;sfSR|M@0=S#vlRFSk>%V33tks{5LCY-jT+b5Yll
z7v3LNKVLHQ;;Z~i?sHrB$Owt<nRM_i=TAwuOV86ZmP~l^$%FZ9z=pCLlV<)qwRv?L
zZ|DA(&5H6ShqIQ~w1wT*eR5eO?{c=-!8e<Zo{3D@&1zC;wbNkflYpt)R!T-}zR_~u
zO((}aef`hn_wu*r<%#}#_w?$;KmRoMr)x_&@7|hR?YC#kZJQ}wU3V_tv{z=gyYM0V
z-;*Y`uRbT;q=Q#U6xj5B-hch;tGer}r>yzBi%q8Uac1O=>ovdE@42?e=DEeHy^3$d
zyk;6ZoKsH<U3y6U(}a(cEUW(Kwj??&6IjUHy1t@eNm#>^4I<5Ns~4RwkE==k8*rAZ
zP+9fa@k-B^)y57%>JCLAT@O$GPB0C(=DL6A-ExzC-rSc9CVyGKTF0CJuF(^t#RZy`
z8T)dVtd+cWsXOgfLC4#?FNOaN%6D!0e7G`KJ+<`H#)6ld_wWV2cCucqFWz*iTCC0V
z`LpZwUnEjrbZ}VzozrysdIkTTO|zuqr62P()z6yS$@3@i`kss8kAE-jPWbZs@%DxL
zn&#Q3aB*+H|K&EXhRv2sGoJ35cVxc3{i^h-_g24D%71G1VezW_OAYG(`PQc{UB-Uq
z`n9a@Tx)Kb|9@lrf8xJ;$Ilh*2)nUbkat7p@pHX9!o<Urr4qlsPxpRyZ~vNeb(YR+
zJbthKzmCCqb<h0AkCt=(y_$J=UD@;nOO{sbewM<1Vbas(f$Z$h;?0@Dtd@V-%%0ow
zYRb<wI)*1@&0@?tciz1f+d1i;;Y$fCiw`<`v%@(m!#&onO?h$4u4x7z_v7~&7thR5
z`~33riA9^{9TU;~rn7y?`9+iF9gC@{+ZSPcf4jy1=PTa7o5Hl)^d|FLPm8yoPnUeZ
zdz<H-eL&)mc_H7s^Yq$c%d2MH+~9n0cK<1z0GFZ;|Luy&2Q^Y{t%H3w8`_ojx|T{;
zN1ZHLoSSO?eCmv&%N37h-)`039rgU~Wns>wThEVMZJ!ph-FzPR-rdnle<$?)zQ<(w
z^a-<MO}TW$&E~Aw&;IXUuFtKHxw*Ic-rwS+1);xdQl5t`e)a7{vEuFdu^&X^iuOFe
zIo)IOmE+;1O55iz*<;xCx>K+3i>Fce={XGZW2fFa@u1z_JnEA8kGC(FxAS=Yh>U#M
zc=&|g|CgJ#Kbh*U`(8!0CjQ}t4fogBi@WJIDwQ8vk^gt<jSXBv23OVixLX2$JGM{B
zU75<9FT-)4-?}Z3-Ld`Mjjj`HURU)ua9vu|Vkj3Nlp1Xk>H4uMC(Kbm*!z&duf`9H
z);v1<Yx<g|=QASi@!sd@u4Gi*nQVO`$9q@e-f1O|n;f^EbXvXIPj`-RTHx=(+>N^n
z_D!59a5_n2gY}y~)?1FP;$SNe+hvpgKg~+>uGGI8`_4J(KToY`wQjus`<mSHOYt&%
z6Q9(dz3rZy^d(uhdC{#OIa0xWOFp)4Iv|$rxoY!@(qQdhIaY<OuZ}WBmTaBQ@vS(@
zCr;CR?cwjOXIOb(WCe1R3F$Jg7pZ(*@aD^E(N&wL?oVOAxuxW6YhKo#gMB-0SO`zL
zv@3i4FSkbvJF5+=&#5cTl1QnIu?##VUh?nTUhxax|KH2){C_RC;lur1yl?aGmfe_s
z!$+s{@PrK#@);{O>26%JC}r=N#sGUAH3q4w<KI@TdGz*IRoFppAD#CTooqCceVdlp
z^Bt=!E8Fd_`<}mzT`>6V?uYD&SN>f%UY?_WlI`BA|EIJ($`ouQH0meJkxu!qKkfJb
z{nPrwns|*LTI^Y7b9cp*?@ZI#MfI+iM(lliedX(JlR$0Lg(BDgrMVv!HqpKEKdUL<
z?0%h6dQ{8g4=<l*?h$1!y79&J$FrZEUVVAXFFst&a-EyG>hadR{T#Vd*aV)>nQ&P<
zAaalE!8w9gp9H60v)m^w&NDw<QP0+|bLYf8>k>i_@-LRp{%d)<=-t1?<yqIJe*fNG
zyS{44>GdmocApJZ({6J6S#c}kqUiRs-wv29*6x|{>;8+YH5RO^t={Z^xHj&J%o}5_
zhZc_o9)xG)osXNN=Nflom&M{~k|+P^|JS%`w6lJ{RMiF%<)pn4;r@p%)zn$kp4@g%
z#(eqjDmL~l!PUB@OZMb#s;Oeq_;z{9{m)l^ofEBFVqUA2d`d7yQD@VySKp_)pVdEL
zqMb2Cd+)lp{%39%uKilAG4tbznIB)wczRgh{?DW4wTD#xxBX3gYMV1j<)-=cQ0-c_
ze_;-Moiq0COIbB*X|!~{_PtG&zosv@EzX;>?ZKII=l|Zj@AzlU@=%-D?s?W{9F1oy
zD@9i8Uh)5NS3i62&V^?VUd-MmE!zG1aIy2kVv&!o8=Cg$ZmVR!RJg_O=(Wau=dv?j
zEy|32qh|l^^o*w`vr<-X+vnR{HlzKy#Il+l*M5AIe<~g88g?M{>+O6!!&B~alJjh&
zvl9IO&N*V<to8er`Lz>?*G(rLoUYUyf4f~fY~J;<fYg>H4_vS9+qkS+C(`?3+N4hX
zzYi1tKPz6o(lROL*zfJjHTOx?ezs9BwQf6<R`%~y>&fGKGW>f^njTo1&KrLs*89TJ
z!(T<uHSDX6(cYRIm)xEZ`E|#_J@afnFZ5C}vTfaK_P9H2M^=T`HSy@HzgNBFjh70!
z8p?e$_{LS95O=PS!|m@@vt_N~ijoUWna!gYGc&tt<5H20tHZZ!Hh34KvgfS$lRwG(
zK8CN|dt$S{(fM@;!~_nVEP9vs`8KQH?qE^x>ZN|!k#kc686!gf{VjAo=&S53{P#?3
zNs#33V~s4kO!lN+*<!Lc>EM2^Hy!r5ZzSLOcKaUL@8|zs?#G1p7o?U?S+-^KHTf4g
zs?+$TvyESg_GM>f7B?MSG>v(;aazM1_i}$%i*Kvt&+ONJH!*g<m}BsHw@YIFC1xJk
z;fjZ23t}Cn9J8%TH+cMf*KX&}7uI>s?3l20_Kb$h!pGn0`)O}hJ{zHvU3hi>^|e;*
ziO=i5`bBTN@^0pffa1jCJ1=HE*Ger&EIqeH^K6M)f9WLk|2wwleCavAGGq6XT(_``
z{uMDfoILj!&7&41%gl{ilM?l~Zky@0rCX%-`?@<SKK)kD5a7+sBErDHz`<Zx+vR)W
zyyTqSnj8!~n|v4q85kJS6LVAJ^V8yUGSgD^DpFF@-ppm6Arn4zn|9BejdR>)C<q@v
zR&?ORyZ}?%$7@oQb0QCIYE;a0+hoAjfAjv6E#5{m<`kc^Y;SF4ohBnbZI0NqJ)JvF
z3yIy>@At||H1G8{pRLPw*B<b<UB2&j=<_dg!>)h77ksz&x%T>9pI))Qzq#vwU3gsK
z*Lk`8_sZ8FUA_Ls{^x&w$8FwH@qgvYkH6pUe|GBV>UA|Q9{pXv=l#|6IU6g^?yHXd
zdEoEk$uEB#`SIn)lP?w7Re$=z?Fv4A`rT(=kd?HlG|H&%lh3BY_fx;yr@nn>-J4%`
zU}|`*ot>$nwXvzGW!?SN$ItJ7cIxBW-`@{%E>k<_G1+*T`mypi`$}Z`Vy*2g?W||d
zt+0{Jw=GG^N~%e#xgQ#?ve|Q)?}Z6}>a&v4(#&RNFBN{g_M@+L+54MYO1;(m`|{_^
znLTsfthsY$O`N!Pc0x*)&D?pjW@IhBDfsfov%Aq|u|58YRYgWK&v41ipQBiM{;mGq
zl7sVPt@ZDjO|?~!yl-J@YHn_Q*K7Hvxo4-(tv_fnC*kpvH*dbYx%1`7nJYhZPDShO
zeX^)fqq1z9sim>?+;eF^6BEw6&OW|yn#oM>^vN%mOtn?JoWDI)+Ggb&ALC$78=HfN
z^sMWDpYxd?%zymyn;k6j_A~#QPxedubmxkUXbI<u4@c5BuMzglRy)~uV^ik!rPE`Z
z+<kiU=gjt67PWcpOUWPIxhK7D?JGXNOgDPl+k3qHGvwyanm2FW>>Sn2H(PS<ZjwEs
zVqH*WHalg7;bpy>+p2FbHDEYjTU4Ypcjk<_ZO`|8edJqR_I3};@w83*y(gtizBFrg
z%dBm2o3i_VJ>Ig(IoddQ^2wZUGG8qIcB*YYd4f&-(#@MCeDjW6ySsbR&D_ZbChU=$
z#RF%h_*duE-2OTJ?Y(W!H{JbkXY+N>Y`yBD%a?Czr<DEq^Y;1sIZGCAnjWJ)bM~B>
zGiNn>C8kuhxoz^C=@)&u_~xE7DbKC{Px7_i_V(sB)_Bd!ul#5Do@0&H+f{IW>Fu)k
zUFx%QB+f5OE3@)^wro@8>At-W7R{Y)9=oO5dXK@fP0LM9EhnBy)pz*wyzt=C?YGUg
zrk{Rm7F+YttfJEAY|8n<ymh9RXU&=0yr0)T;d$R1QTE4cbHoyVrCqwIX?1YjBhCk+
z#<Oi7?wpyk=q1mOj&G8iF8gh}^zvHFtg}+{9-eD8PH|7wU%O^sNm5te@j}ZwsW>m=
z$uIAG30cirzl2+FueaI0;?qlKe|VAeEKNP?gVE`Kv1x~IZ;rgVtNJ|a^hf)r=eU`r
z{hzaGfBZN3Jr3K`*SlPJC?E7~(##n?o5CZ%Y2DjbdfRpOv!C*3OTH~S#W!o#*?+q>
z-`uYtx77IDf&DLUirGBXteJS?!MxAg<M;3;S44c{Uvu@;GP{G}Z@zVx#cinNp34vy
zCVX>#RZ&$|m7e_UwvCn*vS*eA-!z_gI<D}x{ypaPlX6skU!QTd*vgWz-mhZujeWxZ
zB-JN>keWMt#*Epg;?8NVnEYYil$7^;!6$vbotoS5oncCO!@RS{-#q!^b8FUFzNd^o
z!l$d;-2dUt-YmVduMU6qadzbV`+3$(k7W<;Gsqd(_NLD|`Ojpt@lENACi63@r2JX_
zuS#3C*>nE9Q~$228Z`CKKkH|9ch-!vX>&qqzQlY}pLKGc=$@yJw_;~+ODp0!Zzk;#
zH93=8{+!jm^(r5V&i`{w(f{^#_x9LLrq$XFoxTrQXZfWUH#&>w&Az|+>7v}(5ALT}
z&3!umWSn!caPf!h_ckV<?t8<(E%UW(qCvIplC@h*C;x43{k}(bdY+y9dG_<Qc}lZa
zrf5~qo+*2O=6<fA3ehtu*IQoRH2J;Q_gQmmxZ|w7Ny~#O1bw$ZDBWUQFL}WJ*(}8w
zUqrHeHVdEk@i`Q2=YNW4&-!y}x)rZJu#~TQZf87m@1wNz&D;UgjI3{_PCv!1zFWb^
z?~KnjwP#U3vTkop{k_dLxcWhCI@>*=sNkEw1#Q^nX5C}HzFBg{<drFTX=&?N^9y$8
zZPz@#E#3N0_nCXz=I8uWU!LLl*FE<fd!^InS26rIO08#1o0$`}Y_oVyS>=owZTs2n
z6||+@9?Cy?^Tb8F`t;0}@_#iULI+qZ<s2oWK75<E_ui)cvEQ1`7{_ely=N4C({c05
zGbLq~&Dj@H%x3KkD~qdnscQXjetou9_3C*uyf>R~c_TW<_Gh<V*8T{i`iZd%HfNsW
z%TN8!Q~uV$wEFeQdn%i=RrZ`$TW~0T>C~)Bv8T4X-*fnHV%1;Zxk71oTgr7-iQ9Ab
zzCIlAO<!a8oV5JP%{RMK*xX*oS8LCn@y0jZ?Dz4G>BnMsM=v;3?e;Bi>e(``=~LgR
z%>LPA%pHC?%*=bT@Z}GBEY3AYXSUXR-eg?+hTo7~-&H1Lx=O|F`7?Z$Z>sm79B}kq
z_oi_5Gv{)?i7~yOQ+#>z&1w;Thr1vCSx;Q@q0Eq5FY)`**?K#_7*%Yad-j=PwfBj8
zy$_@ejO$*0%e6k=ZtwE#*{r>nmM`Bd?)}Yg^52=8yffahq&-}lqUWjhP|9|)@9ViQ
z57`zrAGZ74&CT@V{;tFKD+SpuW^DL-LZRaH_q>8<b1ypgz2B8pc>iDavF&>I>I>gL
z`upvES<UI%|F0CU$5bBO`;Pyep5*<KtD%o~RPX<o{Vjdpr^es<_HV@k%T|4Su;Fl=
z%;U)B$9;3=)t&x+t@h)wE!CHmKiqt`^YfiIf6jbqn;_)A_@Uxr`Tg%~_uCpt$(}DW
zdO6|Z`n`s??Nh!ipYLv2?GvJZ{Oc!=y?d6$*)55)+Y%?Yb~^v+>DRBFZohuo{mSVL
zYbW-vn%;j*(zUXrBt<7?{$Jl)kIk1z9^>S_e&~bm;<v(&O{V513bhET`ZR8E<K*OM
z*GQCNnPD_bah5>Uzb_>(Z(f|d@YcpFIo55rOLy#L%<Dg>d!<TZp4}bJ^!DRdZQ?C9
zxV0HyJyGyV>Sx>6Pra|6N?$*1zH<8Y>!*)jJ8iypdVZMx{XKE}T=&+TuKF4F^;5-O
znFp_5m+wFE?eRtNmc@(PQ`c{l?qe5l5jrB&<FUc5$yp;}wrr^~ml9_Zr<+QI^TCIc
z9u;^N?pR_G6C|Uj$v0iZ-J?rc<*4AKM;xAoElVtdf@Cx``=*Gvdvz(R9-TPpk%njC
zlqD8kK{BeEeUn7oy}K5x9=$l}QHE#XmL(QZK|P*bhMtCMn#WX*&RAlR_vYB^e5QjR
zh3;7KU-K?l!(zVl0ZZi>Hcr1O4^((I?f-Og(HxsHV=ljmpXVr@$~~5+cTiWuB6Lcy
z>&~?r+eK3sYe>6V=DwLGbf=-|^6@;?gS{FvB&4S|aL<;p4rzL<F+<8aj>#^o>diHw
z4U<l&s4QBNtnRVFu}N5ShNQJ;(`3~L$G05woA|&?XpYyxH#c%sZ)hCM6q=)V&`_vA
za&xlzl!T@xOUv_f=A`?bGgkj>tp0rF<j-eLRz7=TliuU&b>O+B^SR=-B^Jp!UQBl9
zC5z@6Ke0(a^<|#sbIag!#mnYA_pv-bvuK|2Q=9ZtmFJ{pPir_|JZ;W%9n15P$(<}U
z^EN-RNk3V6ZkqkOn?>_BKeb6eU3qTW=Q+C1Eu+sBZ=3Uc&c+ZXyYrSs^NOF?JU{W-
z%Kcn%-<;<%mgjeVx?~l8u6W&?=P{P&cNWbneroglROPv)pJj}y?i%0Ye!us7==FCE
zd-x+|i_A)F-JS;UTzjz8!sV|458qMlqK;pi)}LgI6?>`WA#S_t*hSUebqOU07rhpa
z?R)X6S$wuYxBT=67My+4A6)x!LbzZz<978&-&F3*>$x3VP%V9DUf1p0kIIzu{13l<
zxnu5x%YI%4st32QaM~Fiean*<y<_fC_LR-$?Yq+-eDk?8ul4rkr##P3D4%!zYjY>>
z(A%^-c?aKy-N`%r_UDeh4BNLKep`0up4@ivN8gn0ygTsr=#IOcw_|tgWzN$-_%`oO
zT-WW>1=a3%?s0A}KlXM{NVWK#z1n&2+ivfE{7r7h-R9e@ck~{W>F0fa_^s&9yW?+d
z3#(c4-m9wb4{ECRE|BM3Hz~ohX|?Kt>E$&iUU1)Q)h|ETKKuSIHhDE3`Bg=qe{4|O
zEE8ID!l&L$;emsSBU6*}8Wt9IB~GCU!W}9e9z~NB6yJ(^9(dWS(xdW1Lz$DON2Nza
z!(fuZqy^r_aXFl7eCrP!5o8i}=kk%++H|_8;BRy1;=PHFGMYP=B|bWJ`Lw~rGuzd8
z)m9aKHYn`iR^vMv*qG4NqSDSOBw)^==HYNhVTJ<Z%cg{;mM!51jLXeu7|d9(m`BaP
z0K_<ua45kkA;~8pY3_j|yew*cf-`n3JaD8ptRRwaUA;kJa{i1R8xOi98x-0cJW`r&
z;3IR=z|ivIto*aN4Zjx{<Tt9x+z_~Sx^QofiQ}giFBYoKT2Mai%n$jfJl_cmqJ&je
zTw~!}W$NfO=`staCdZTijt2klumAVI^V$EGAM8H<-&_1UR6V}*x81#cUc7dG`(FK@
z{`~FVH9xpycSyc}XmpP|Y3D(wj;Cz*6^{K@6Mbx}cC31@#QWr<74p}5pT4`6^Zi`f
z<b&VLFQv@eI#=zvkKQbwufEn&FK3JOZrR^@uQA%_e9H2ZPLo5`vVGlV>6qNi+;kyj
z9)HH{>FJYhyKR5lc>CLqS95N+ReCmc**@F)?RuW}+O6B8K6mbXEVZ+;+~!r$@x`g-
zK21f(RWu-!%1&!F#j|$}IiB^MmAxGJtk2M(Jm=jErsCtx&-(Jy-bLK`c-DH?<Jq&V
zk0p3Eby+_<`q=-?Djhlh^XJvl`d1fy{wCq$zt+}nO-{dOz_%GJsbH!_W&3fJB|B}|
z4!+rVGui6Y8$-6V{<Cty3EytKG0bDXD0hF+V%vSKH<Nj$sTACNEPsD%Qh)lh^t7{b
z;ZL8vHM}uBs(Q~hB2C;e|INo4F>=>;zWu0sR<7H2U#NR^jrQi_5;~p_HXZ*cDq20~
z-1f9H5R)w?MOD{S+?1QV;Ef^YDG246*YD|3T+P#TGkNpzk|{TndCthqwq17MO`-kG
z<oQ=07j8bD)ZhO)qrcy{y64~|7QO14Kc=G9Hp%nPte!f1`|$_5S#LiIrdInjZ91-^
z0ijfO+Nvp@eQU@8^7iGxw0=W_>YQ&gm^L48PV4VCuh#kY<C^T-k897$C2vq^JS~@B
z<#JQb-|x)%Wzax<<FwY+ZWEC~P;ueSN6xhVqjLE#GWyMD*n*?V_L=^=8^yA>lYc(y
zy7}_wkvoOGclKDfy{=npZMW)8pJ%|k87xo1REtVED7LKG4&K?gGui6Y9YZ!yY6wnv
zS8?Ia$IfScM`h<<xhOk7<()*cDyP=F8RGr_e?>k$`ndDt&uKS7DXW_2`lqPsni3)d
z_Tz$^j~|2L%5LXkTf3WY4B5PecD(uM9T&Cn_}ZV6n~$4<($%_CTfbEho321Xpa}|s
z`^y&F?(2o6EdG59!71xac7OJhXKz1RO}C8;-v*B+jcOmKNw<@QF5Qu92B%Hg)Nc~Z
zn~$gUYZ_GBWPJM(0*d?m+ZW~TUxT@_{9f$F<CDMb&D&b^`P&aRz3Q6gO}1)|H<N{?
zK&VM~<R(ve_DzBXWX?;C&BrBX*qT-QG~Rq%x9NCtQDw~YZO5g-zF)i8*6u9W+>keh
z^+xac<<qKl=AW58dv^ZK{-!G@&hCDk9P{qSj7`>Rjyscura-7kcVs6|c=k?$rTF->
zzLy%s$0cT1o0a=C?tJW6e0=$AYq4^hix*}6XCQLy*ZHfXZMNmxuA4Y}`*HJ<n~$ZZ
zy@e<LQ*x6hJoz@G#bo<&1+YWiXWAY+0Lr&qXXN;2+0HYm*7;VkYO(D+BUti3pC9t(
z<B>mazO-$)CYQc^#qKrMF~u?Zvu)KXOTM_NR`aYgWm2zRj3ro_7&g04b}e=ec09jl
z_I8PaBT3sHeo5Q*`)bbq182-+@2RK#w)nXE`15tU!@up$n!Wnl?yQToJU=s&w@-P%
zCK7UXTfb}kJVpQGeiIU!S=56JjLRmmsCysi&+MJ6bTC)NVk(n;dwma!_Lg5Ya-aW5
z@JxKJzFxHBGrP3Pxq8WY^;N9XpDvL8&p&-dT+o5s0&ksy=sS5w-e&HwmEHcYXTi&c
zipCuZf<6i<FAi{cP82kIcx}E&2VVzAhj@ob*@I~kjV~HJ8abLg63z2E#q|!qWnB4i
z-HyG|dGGsfKP@~Wx?`=9^K7+SZ!WO)s&d}qd9<iAyFOxJ=ggneYA0-T%bTZ`7qh7I
zThi~|(<Y8hi#UZud?zf(XlhYe&M9=MW}__2=l_3S{m<RFcmJRI_jl^9o(#XcuYddc
zpyvL`>wo=!T3Kzcz0Zj~?^yMYp6^ZHlYU$E^BXO@8}TmhY}xyqGJUbz=~hxNC8KkW
zgGy@KXVzQezZP#h{`P)==QH7AP@a1CLrt%|W-<xMX)-ADZ@1d@c-l@-S-oOMa-7Y-
zukxq5k53N%yw-EK=u$27{Qm3H-x%(=#xdv3FKkhMp*uS80VI-duhsaQy6tV42+x}^
zk<WibcqTsozF+j{@z3YiJ=i2u^7w!I<FF}~@1p~sy$PFgEYJ1f)^CfiGnl{F`pvR|
zGdg?yiL-}~Y*d|*v3{$3bQ`CTh|h!t2~8}l!YV43J`*N9%YLgc<L~?M-}%{Z|EB)0
zPXD&rZC}>6+BLPJQZ+p_SO4jszxCVlqo`ED=eviW?iLT*aIJ35k9S`m?LPnFSYi3=
zt<}#rRd2jjm*y^CyKL=NaLFv2FQdKtab)rFtwo>T{aB_~UUQj*;9EY+TCBV#%)Pt@
z5`G0cA9Lr2l^!?#Hnq_dWMG<JdCg`L3`_@Q?;1b%@|r$ygcR(2{Cs{$(eYy8&$_>l
z9ROAGHJ>M0vt5>IoVd60rtIVecMLgCK`766eV!hU4L7rYndMsSt$bVe^;X&Jvii#0
z-M-SyyM1r#*t0sP{5+Y(dU}`d?yR5ZV-KcUzBu-e|9I}L=au)D)y})S?CzJ`Tkq#;
z9dyy2=^wT2O5D`h?VZt65*F>fQrB$+j|`0&3W_Han_5ibv)B*5so#IAK052Y{_p$N
zo8wJCg>HVI{C4$)2gy%Xf2*&&cJF-W`U?%S-^=OU-@Bn{>9?;_``z<iA!6gozMYHv
z@8x*E1y$!o$K!2x*C?O5Q)86+Zikln`@Z~_MaQ@6?1q<(Q>@h#Pu?};%zW492yV#q
z8I|`m?o2K|E;7Sf&ZK<L(K{P=JYFk%_hVQwxP?%3{P^8{HOi;f{4GgcduNaJzR;7h
z+w)y^hGGl57e{+F3aUQe`ul3@Tem6yKHVx)=3*;T?uxAAYCG}CyjuCxHqn@A&3SMB
z7u@M6+V{Oz<N57g4d446MPFq>&D!&Rl0G3%pCu;UVHJLyIzz$nAPXm#nn!?vqtm3r
zES#C^6PWz+4H69;-6eez5<rZ`gDnS-Y)W|41Ty!vyw9C;(rS6>1{Y0yY7+7#)zY3t
z7qbb6?yQ_)agJ5^_|!yjX3&6`?8zsrvSe=)r^VibEeBa-Qw<tHrm?WH39|{GZt8ri
zy+eony8VnDd(D0B+)G%LIpfE*ptJ5NZ=D%TK~3)(PPG@ks-OQJpN?s&!i2xjnEfr_
zP=E9Py_tVkO<X^#Rz5qvl}%nbe(V3!o4?ih)wHtRsrg>;^BwDZe#V}YPYdV%c4iPS
zd%R=!*IRpwZ$4T7x7MWc`8j!}9V-_1?>k|~(g12+mDfCSKJEQh`159Aa1tv&zFlwU
z<8MK~*PezqSwJlpkD_uPr(3I+mO&Hqwk!AI<8Dv8Ww>E!*=A7L@-)9}W$ke;z0;27
z-|8)<-O~RSm$m=Kv|F>|vhG*qe*ImR_BK5*`^mG!M=e4=Tc+Rg*EkG|kESM0p-D1o
z9v+{UmI<8s_kH!>?MuJ?+w_00@$XROc+=l@*Y<Jo+PUq^`aeDW?cX^+xOjK`eE0Cv
zUG96{Z|(L~dz<&|j$VJ)dive&rQhbt)_(De&N`mp$u!-%?wIi^@3*?qS?edxE<Y~q
z^=`-BEBh8=X^!oU%4)wn`}(`^^|{_}|32NCyHb`pI?MdD|3QJsy8lbRZ9H|?H1zcT
zLU4`t+g`@|?e0C(Z<XH+s=n;~Hov-1QtjEZ=xtZxl+F2t)u(~8Xp$s2t%3X|;xl2w
z69Y%5S^8U>6Ta<_f4g6I>-Xt@-_O0dUh3zno8LEn3(fdo_$l=Few*y~&yR#>95`Ff
zKmC2&hq$coAMchU6_;1)Jl*AMJ9VMOW&Oj_)bcyC=Fc=OkC{5V{J8qgJ0CrX?Q;Bm
z(s#Y`PKQw@sN3<b?_I@qa3Qy1$K%H*W$(Ya`=+lyrQF8S&~*MSefz5?&fb1J+56j$
zTJ=*Wv9!Kf7mAlJI`Hyr&iB<fw^;}NI-PTSu7<>oxf;)YYDy@be_yZeb@lW5^)=^D
zzXaukyFdOv+#&ol=)HBI-d5|tS^I>a#>s20->Uw3<=;=iy?1PU<~(ZZ3_fMx2o1jl
z&`#;4xPvTn?j;;bXzDYXaRAi+Y+`EWZ0<}wcqA2MFer;HHut%6F=3J6j0e|_RNKd2
zpEbi|){0YSFCWQ`F)5sF1a1bfxC*Oi%u-N1nF;FHnlLUmpJgy>!Qvh@0|OA_K*phr
zq)3yE$*j|#KKSJ>T>fcUr?sT7iJS19SsnXBvwY8-JEN}K+_?(m(pOEL!KaCKDVI-A
zsNK)#*3Mj*dpDc=+_?!V5-i>wfBJjQrJpIs?1E!JrTy(`2~GDRjPBRp#w_neST_BK
zR>1Q$-w{>dpBD+Q{hoN&;$7a}viC7&KeOxQeeXr?&NMogvgl;+`SLFpKYyE<1nMR3
zd|X@~S$2H#hAVmRb|{&@?=#<Z=i@OFs?L==lM9cRzkJd6J_+29iFx<qUHzKE<DEMn
zue!6x+V1SrK7VcEYm%B(VDsL-=zE_9Gq1P3LGaqUiZr{8>ld#9<?rRw?-<Uw#?kZU
zC6+9}%9ytP<?SsGzdYOe`)c;>+*^O2ZhdQ(%k#!87gBtwuNJMiv+Qm1&*k9-k$k&0
z{y$te``g_;`Iq<2hE&D#<HFlIuhkeOdcOtL0W&lXx>T>MUBn}-0<8kL)I2<jW+*70
zoqo&F;P?IY-|kPl_51X{@8{lx_tso}^E=|VmdOu^pR4}H&)fRF_>s2BgJ-+jPnYXg
z=x^<>tg$IVDaUq~f4Nw`eQ6obG?f)QlK<5moqu=E-NJu=yDoqB%=)$i)DTpU{Z>J2
zr*+5tHyh7y`XUMLv@Kq2yRRMGX<Kn4InKt<Pg7s+{4H^|Yp2fce!Oy$b>96>qtG(=
zsD(zkkJF^x$wEN_RfXzYbMEaa+h3KtTX^aIJ=^YfHZ|VuOqw>oNpaJkv-dhT!Ar>U
zMQ=Xt6He{F+j;56T#Y@~_F1ot&fcESvu5J#<()5ue79V`wH#Z+d@ZbDzLuDVxzpn8
zx3hO`ymNQf+j}?P#I4z?Ut)5Jd)u|XgOgZ9tIuS=)wf^2<(i$f@qE9VQPrV&_hi*o
z%kRWx`=7#Axow44Zr4DS+qGMU8@9qLx3#r3u+l&KZTG$Gx82*W-MbNGefUVbp3Xs+
z>^!@fo0onA6$ndIXJqJaU5=&6vF{3B)6M^NTmOS<&u{z7)AnaQS)F$O_^t4T2alf!
zfBXN_n!WRd;}<fT-?xp~SH1A!(r=e{7v8yB_4eM*H*q>!-@kpa`1`FOYfumV&d2ii
z5ph}b=lCx_D;xgw+1-ylr)AGixeITBo|2tB;mNxhEhgp16~KK~_nFqm4uA$7xX#G(
z&$6~V3~HU#%HI8G2Wy?x$LQ>STw=F%y~|2ahSHsGoi~+u1Mh(cu%61^{rL1*pJBwL
z15f+(ckKEzRpVrhRp$0gup4tu$~I^A9yoDs8m74-EHm~l%fH+Pt%$d0exH7Q+wCoe
z8*Xno`zhGKW!n5TVGjytf145UuQc!Ueo)!GK>D}6%=XOp-}m`e?}ud5^K}`LYRldj
z&)-_~*}(95Q|C_1vJ=NpW>Ta<;VUk^(+9uutG#>4EBxJLMuwEyy~<okwPlQIZ}!dY
z0u}dxO`KK9Cu{yoVn(ngN6LR_)_-^VB@t!vgWCIj{qOfJl0JTS?e%waPpj3xeZRQ&
z-R+&>?(((zyYtzbRXJnc{qXkJ-~HJ0x7(Dcot5P^%TCMAkHpNLm+r_mC%n6H$51x)
zodh#zxI)vQ+$Q7Q50~QOkNVcXdC|Atq#Qh?R$kLReahXBEIX2S3oZqf?&r03KK|CL
zk&Y#@A(7(J2#pl;MPH_0-zFVsut7TT3^-Eu-;@qCTMOz?CjW1L>^7yk`hG`I{%*mg
zFQo&|)ztpvPI>k~dDFHlaT8~^cW(AJxEPmZznh2RLepf|mAW$IhTrd!FBiXW1Q(bE
zJ0JfnpL+M>o0?ljkcQFo9d|xjVyP9*VjGJEHH=O^Ej;tKFBlwhuU_=6H-d)Tp9TC=
z^Ic}n^A|2Y9tw(#b*E+LM-v|z6^lU?nYZlSkCVWyEl`)TX4#&yJ4WR(+UD>3&KK`|
z{25E(G;4RVz!}-u*2@;3kxd52GV8NG_Or6_xuDitt@W<Qzh{AlpqQpx|9g1-)Vqo-
zySW?r4Z}d?!ZK(V^==5JJA(ugzRmh4pbRb5e+wknSLXf}P-gq4=!U2lYdS6-|J1)8
z7Rk;%^-upQ9^1c(-_Y!v;<3k^YHD>S3<^~aA9-@*N=^2)j73`J{=&0kO*ZcI0XMT8
zFS2lUfojKDpy>+V1222kdemNMEav3tQR`9D05!QH#C&sN4yIb}_;>Nh|If=h|EHT=
zH1U1&r?OQoXaVQ$;^j)_{=(5-CXVlQW`4XMv<x<$18T^rSo%(w(B$w>9x|r$_T1cm
zp9oJ5^xoUKd9n2AyK8U0n|t=%(Ye;wOH3|ZzcJSu)cM_X{G`10w~DN~G^_X=`He@*
zrp?}Ty!6wnAD8c}$UmFYX!a`mL$AW;D>o1LF4nz$z&ZWDkg-3n(+<{e(Leu%-Dt0$
z-u}35&(lTT4l_^77qZ{jztL~!*{Ma*mZ}_9s_#}$*%0s3*?(Y)`9;n1<x6e$&k<so
zEA&0o>xTZaBkl>OzFIwHT{lI1N^sMH;79wsIDa3V@`J<2@_>y&(%%NVr;T!7nVYy2
zTSU|4uL(L$RjQehsQS0zL)9IAj_}3u0^cO3wbZt$CI&}b+9@wE;q9l4Q|anE=gap#
zsr{Mp<=?%CNwz;2r<ysa*-cnyQj+Ls!8yT)>ByuvjQpRJCzmlRSU))^Tg|{&<lS&V
zNMR$3?DfCReck^Uo4g)uyyI`Y!FOKi)QD}~AGc4pcdvdq|5+mYyKC$IUB16)HJd|&
z#AMkY0`ANTN2Xtvv;5hl(H(R?F7TV@)9Kxt<eS@-J_mG_<tsSs;S{K5QklaLso`*f
zQ(yv9O(MJKiJ7)4dd}xe9Q<~(2Q<jApKbZN$<Z(LUGRyWb5D2QU-#yy<Apex?^DIw
z|1J_g?jE9;zFYh0w<0fr60bYqnu+^o2+0Sw&bhri=-xh*&<s(0?i7BC`?RLx1<k_v
zr7eGxylReoaOg9&{l#5W)ov0Rvr?&-|3Jva6YSxuCTzB8`1rV2G^y?4(SnOdosKVa
zFHqQ}u&!c;e7HcM%^vSXFS=Ca9v&BR5v;FOh*EHRap4ZfpNxgkem5F#m!&s*sd`kj
z95`^eRY`8!8a?*~Hx{@s@von7Kv_%W!*x-i9rKkKKYf4lqm$7@RDfUS;kIdv`|2Na
zG5ef7uTr@<`HO;?RnhB`iKne%L$>)TWV~+qeah-!-9@X1$``C0+c(ZFYS(_+hvMZ%
z&4tr%`c-b!etPuj6onU4cB~INRA;Da#}w>zeaqaU<=Rirp{aj8qx;^(roL?Lo1SG`
zFYma=etWe}<NfG``;Kqoe)W0HcRt&-+qvI;S@V6;ylb~ve^y=Fy?goBb!OG?i~bh3
z)U&nL_x*V9^tbrT|G5|J-EZjsD?Z{La`QLex+x#L^p+f%zRhdq{wtcQZ^VNiDX)4{
z+o`R(M}k}P<JFZx&(?=QQgVk@_4~4=E!Tq;RrehTIrWFt9TI(pFW%T@@gB2FeZMcu
zAgt4N&4<c}ho3+Ex}Lxzon?>|a6jRQYvUmyhZ`4!7}#R<86O;SOypF^$>3yYUN@bg
z;NgM|ECOa*SQ;F!do%1PxDeB9X#F9-q~B1kHs!^`icQCDwXXCYtN3zp;qhG#-*y~t
z(SB)mY;ixY?KF-}$77{mO-r`<wPVA@dCI;rF}pRdO1|~9-2B%hTU@ka)w#oeeRtfw
zQ<o$=P2z{<l-m=h%ncLz=k{HBWsB_F;P^XsN6(cB?lx%JZ?VQR;O9J9FR$>ZjurVq
zL3$Ch7w~Yuoh5d=^w1}kQ1{ou{FA?S?qB$obJeDsVNc)Z#YQfh(U#g(w))eAeYv5}
z=6wm8uD*B68&Agz^F+Q+Rd4;fNd2gLNa25@@KdKxAt&J$;qT4s60Bcvl_rK2ZaBO<
zBkY0fZU2SY7QA8dyzig<x_o!#pXJPs|6dlw|9zRT|Htyf?jaXHoLe`gTyHsx<MJc5
zQ-!{DYkm|AHQBRRTlLRJF3peYR|Y++kA=mN)VbY3=cXf<Kpl?mhn?5x-d>(@!&+dC
zQ=BNvTHS7zummHXU%Pa(ebgG8Pl|=zo7U?tFaK-djnah<H-w$|Ik=}?pVL~N$Nz<k
zWyd@bIgT|P%e>x3*4Y*B@LwQW`sUK7%Xa+VIhQhYS}h2<uru0wsj&0GU^TO*MI4)z
zu5Ng`Ur14O%Ei*h)A*FFnq;`&rf;~hj^V|MyL*;?;<_8HwP@wHx4!D9VoWSe?dLvw
zd;5jLw*1#A=HgdReptWS&F)5xAP<}Nm6IRhf|SK=zQ-EH2WB^HZd}C3<|v(TCto_@
zUidfh@2mTMuUpqruB*=CsD7k&s=)V9r#tc``(-1wm-+uKTOVzh_;mOELw3L4nZLa>
z{rO4$I&HS*d)tx>UUa@azJT#|za#TDdET1q+vJ;@AOdXrvTyf0#%j0y%U-V>^ycOS
z&aS&N)Z67a1VpS23wqR9Snh65V6tb+*dEv9c3ZD;0^{8iHyOE`y!ur&oE)zRa&3Do
z#$)fBv3sLX$-gP$wzoES3W;<ol#~X1NOkG>Fl9qYdAiN7D;tWc(_?n-HC|W49J8V6
z+R2;gR~4O33oO)<R+?aARb@Usd4KfHjm-;Wt>0-M@B4jf<KsF}!FTVxD!vyv36wb9
z3Fj<~S3Oee%;L<#$0NuisA1q@;IiO=z(E1V?d;Nm^Tfgg9=?3&=-$$L`>JBouWi=O
zEE9PJJ>+Efwx8a3fAO0eju-l5zfVm*Ui<V$;r=d;>KM-NQ-qrq2tV5A)$v<P@tw4i
zgi=95M?!}~qhgaH6Kfks8^;dY8yz2dHZ*aZ=i#?f%Gtk$PjJ~A?v1NIeNc3IcThY=
zu4dtzgN_&EWWG;jKVJLvVB!8>6I)!v4;@8z^WRtOLf>`?y+etz^+{*#!VlFxZBcm9
zvSYmv*b&Wrrr%s|w3)V<%6t*L*0Q?MbYUVddz<NoSk7w&P3tbS^-BiqdH5<@p#6LK
zgMY6Q&TT%#^~}b4P0gG~mWfXvi=5|`W}UlQ?6pJsxhlob${!BP{o20IliJC^JAJp!
zpQow({7v6J>{xGO^G=(;|95D{jrU$V_RkSunF~%t;hcr_7Zx6@Qe@+0<CXa$Xp&H{
zVId=PGh1^T+XBTGLK01lt*r~hM4GO)wmfeY^{A+;xKOQ_zjsv+hp_SIOzr<htC*B5
zA{5q4=M`M_DL~<nZEWA)d8x<wn#$hnST6&K)=-xnho*k$LJ6LSjx4_?E&k`!a*xsR
z>YMP!1IPb`A3SvYO}JoU<+uCi*RH>?WVX4yUj9CXX>;a;t=RWm<A|bszTdh(tPAIB
ztK0uOv_abb-VR}P{(CpGC-1-3H~WuiW$vfDc5%C-r|)In{$%aR!pVo#)Om&7`DE1Q
z{4ul4ExP+;)5&=G3z5@nzH}ez>aQ!j(c7<9?o&5YX3MuLPnJzDh%UKsd}8yy&&@X-
z<qz`hGPR!XGpljkDPPGKcf{{$?`Fx4uh7`*cJ0ss-^Fh^f9&$$)a3YJrg%t&L)mKv
zLt?McGDiy+&R~TDzYivAv{)zB3;d~`8&hyId{@l3sj1U>o62tPSRZ5dPJ4Rq?`NCN
zrWPmY-HtJ1Wq!ZO@P+d9UdQWt(t9hv>sfz}(&v2nNuqzB$rA1Yn_rtI?Av84S6kU}
zPw~k6LowZ#w4I8W?j5s^nE6s|wYlEGLLnLbgGM|SvKE>Lr?6ZWs+jdL$0|-TjK^~B
zqUG~!1nl`<PJeiOrOR~H_V7bZ9^FDEPfuii*W!GqdvLGHj-aOB9vf5`zvewU74`kf
z)~8$Fom}~IT5WYe@0~rN`^!(RYHsd35OZ&L&Q(v3;?;gln_j7CK&S<w<+==8W*7h2
z!}rB+iup6X!<YFde%#-G`p5m{Ie#249FzY(_2co{)IWvw6+Qd!HeQZDcK_Xhi#s;h
zSZuH^FfjP{!ua2Zoy^M*$gk&WwU3i6d(eNqMSI6L_PZN8Ubo2<t$Zvbqkhn+<H+Y{
zGFERHE0=zAo11egPP%MQWV>JB)2Y5Y-cF19^4Ds@jn8#+7o4sxU6JwscIktwTNN%X
zV)^HDd0%w<5wm?;PA)rZnEN7f(t@+jqMIJd9Vwq5_sa3i)@SKzYuE#)&Ssyz+AHDm
zJI9!*!CZ~JoF@!73QY*&$no$f+Mwvz$jhn8(W0clBiN<WYgu<8XXT&fG=&V2gMZDs
zWcq#|l{Y!;&cojmA--sT!ji}@2N)cb*BtKBs`}C3CYo5YVG)<|X=Yw~y$D4<3059)
zR-F}kE&;cj9M~De-S@;?zWUwc<Nx*CC;uN`ynZ=*+C}L@d28yoFWbMoY`-{fhk4t*
zwtdms`<b`Tl@^##*UH<`9&+Z_>_eh6O0AOns|5I~Tz9e?8XDR!-Z$^dhO6%#pX9yC
znl8P2*PCMw7xH+%PnB-|yGZ)5`vU3a`ps{rd@o(PC*KmaZU5oj1;3x+>!#F)`f)b-
zb^g|ZG>QzKp8D7trPdh5$Eh{n?Nrde{bhl@+wFd=C`zoEwdqaddsExB*V!Mwn;y9%
zD_?7c$h^HOo7%;q{Z$mMFh2eEz%wP7#q-vL>DO!j2i<iKeRYm&PkmhBzC1OfYiqUN
z#b}3?DX-!5b1?ln!{GDt-wfC7udq+~zp!b+|AmkC{c7j>eYAeX4~{?WRmpQd{ofgM
zX#XZBuRrO_gP#58fi~I<p8A%Y%8t=|DDS1H`tNk0=I46PDUi0p)$`YFE=>K@h|<zb
zy0G%MK{n$z&C>6?SUVVtE55QH>^y9^BA>BME=4YidqVTNnf7m%u}ZAd`qM7@gFjsK
z-(jvl?SI++vjjRGZD&2@z;@|Nb+N{U|I-2%{9mi+Xg}{@YE~9+MA?VihaN5ecweq+
z4RcC=wR?y7dV%Ub?U#&c&#Tg3Y&W>@jydi49_^SyeFN*$yPeDJKiDpO#s0}>?#;S)
z>rz{Ce(X(LYJS4Mi2L2;MTeNXy1D+8>wM+-*?CEcN#x2q;UY=vThH^pbN}q$vHCB|
z`wM#YH}@WH-%-{6B`4GNQNHuf#?lq(8)KE%cwE?g`+A*Qt0||}j=dTeFRMK|w|(mD
zj^fXogDZtUPEgELdbaJmV{+fMyUnN8S__4|?qj*SOPSHSlqEt%MIqpIUyBIKA}fK8
z1$Vj`DmU_%iT-|2v{7Y6l4rotNejAE9YwvHw8U7nuI*)-ApTn*d?Wu!;lPb52})Yi
zSW366b8_wU4DbyUy28HMVL?*uhyM%LCCNwWO=Ahw;an9V6aqD=$-qMPPR%Vjvu8W9
zza&20?dEvlLdWk{>jLkDpXm4<>Q%c#`{Ci!oQ@YDRsK|g@9c8}`8S>`m~XVoeqx)`
zl7+K9oPXCyvG1GGaggzWKyzrbGtaLT#xbqVJij>k#6!|&{8Kh+;V#~7x#m58ZM?>c
zAOAKS{=2YVT+8E$@PR{BHoqDt^f=r6IyfQh(My)sPgsuh*2}M2SJ)x$8xeHu_(4_?
z{#I`3xZJ*@3AP87gHE6NePT*U^AoGUP4iWD`b~SYJi*|0K)Pl1CwZ=yv%D@l?D+98
z;Df-kZ#tHNQw5s67SHTg)a&^(>+GD}R|=lp>JVSJNYrfZVXw=Qaq-+rx{FxWOC;D#
z`Q{m*V8ipSQ*u_GrSbLJ|IMZ`%l9ovI(wn;u5wJ<Kf#^W>dyX6PO3s8S`!xpbhfat
z7=2M$qA+10`@#9I|J@3G6F<Eh5+R82RrpbS_x_Hqzq7GKTKqceoG%j-Yqz#P?pV@P
zberp0Q`K#+*>7)oNx!@0HT&HyFX{K!CK*}OgsW?FS?7ESOtfv2?Ngq0_}ZjN%`-T1
zg@hOOCMoe)b+0uPlXep0?mcQ@AZA^m({^ye#vATV`eMJ^12#*<&3_@cytHKHac`{#
zi8!5(!`Fn{6)!!J5bLiq5#ft=mJ#c(>yex1D!<&gAoa>|X8ZdL-GOOM8%<e~KfX|R
zTC}m^%Vfoe5jMY8PN>k4`&)8DX2L-cp$WBWA_~8AG#tL|yuf_ohmO>#2Rrf>@_d@U
zKu18Kxl4-O=tr<##}<u)t3Kc8w~IcU{OWMk;cMyEIbSaNDf21MlD_37Epy9jw#+Rr
zY1vy|lcWp7F5T%^{OELQd#~NGNRu9s?lf1?vt3%VL_<xqS7n5STwL+v)6K-i{iR~O
z%9DD!(pV=4UR<N`B+*roUz@8kBJf0Wm;LhC*E&*eU9OuKb)o1EVQatq>1FjC;S&i<
zI8s(*C@4EJN^)|Y@X#oK%qLyD$5^*V<np3LmnSW_1VRQcWHM}bCO$cEz+wXP;{(md
z8xP-@%*^!p!GZJb>*n2A?)>uPLC!h0JM~+%U!0tKfrEY3ygODePxgBHzl(Tza_#ha
zcO=g$KCo|VYB1n!X^7`xWlYTD?`Uuo{QqD^-benT@am!fX+?#QD;7+LUS~Tlah+l(
z`;C!#-q&6&=55c4*m~Mq7+<HD*IYemccpl)utI`MKm$XQXlvFQjgVy5g;Ls_8Y}{9
zmMjo(Sn$Z-*1tfESK0MdA|yawCAtRkYj;&e1YT)A^&r51Zp?y7>Q*aR+`2-Vx|RtE
ziA<faU`0!d<MK&2R-`;wsj{M`?Ch>!A&IFnHd+T0POM<5GLj4Vw6uWr?#f;+kET9(
zP3~C-`X4yVSIFivO9*;aFyG<ve5d{Er$2PQJw>p@Q^K)Tk4ceRV`1T@hU+IlO_()S
z5jM}=O%oQ@ox6I#DMfxm#iYxN4|@peL<A-r>|){$6N%U`>51IjsV3iFtoYG<bn;wR
zu<XH<5EE@KBvlKHToOKts7D;|5Y!2{nBdsN#4RKeF(Dx#G2viiV{0QTE1Q@zvsgSI
ztIh*q7rzZZyk0PL8!~p6GKsxr)#(%6V3F)`kV(z8`DnOv{)PtUYDTdDr^gA5>nE~K
z6jO~T-B9szefEK*v>ETCs#jfhKkRYw&*nvE?6prpD9?9`BRz}dd%OO}X8RY5@hTr(
z6d8z`w6y+}ueH4!Y0~3iq%}*_$IW%~qK;NkSDiH)Nr|q4+*<!;eC0{MXb_}>NX2Vb
zfJ|9zWHDo^|9#`RHmu67^PF5ii*R-=_Xx0Yb^5w)Yr5s-Lmq~@T2{K8T42=NS6Fua
z)`R4jjPkXG2@>09Nr>|)9;?+A>G@rCgYoe`!Cmj7ey3@auRX{)r+jU#cFe)pZ0lJI
zc5nE0+55vE|3fcsFRZD0X}z$figR8}@P+Sp1M*^jKMy$YzIx%EU2LB(zg?SM;1mAm
z_|Dba*E!}MX$%N_EW<H*kHRG-FN4ji`I`3sF6QM}b}ez$DZ3Y+bJSfAbbMe4WYO-r
z9uc_bSl1)dFrk1Br3g<)#V&`V^0o6GgYw{u!(3{M7exlXINaqVA13l^!=el9Qx7`M
zx7yjDcD{5GXOGB57SUcc6%7+b$Az4n&3$Uu5~XTPIM*CL(zx(s)*?<e=Qg#36Sfzg
z{9d^D@RQ=#w_ZBmN^J7HD7&nlbFZ~eLB?#OCOuB&6&G9;QW#ZD{KP&;MG9mFHeF@!
zKJ30K!SKrc?hiK0``ZLR?tcP~$g^C#(mq{WvtYBDJd~-jv)}FL{=;8tKyhU99~?E$
z=8LBOiwp!)fgj%+>^%@^(sN?v%8alNnE@BqteBbRdKO$DeK;9>amA11YuupZyAf1C
zf%QWZNbf1fgKPfG+^=y)XGPsJ<t?8|E&5JuZem@(t?4}1z2ny>3B6-u3_Iy^VEKb%
z+iZS!&sAVkb`@}TZI<BVI^q$a;KtN6Lz?^b6UJj^uQhn>wr#ccYAl^%u_G=a+Ct=p
z*f*Zr1`%T0{wj#%{7%%k@ojOyhPTHT{^_~u@APon{I(b6n_i?Z+%oln&XusH%>mIb
z<DE0L|3-WK5$pd~tx>!5KSybN=Lf-c4If|Bx0Re-;%|5GlgdNouhZld_Ad3F^<dYV
zEB5>=w~O@uQ=0PZlD>1M-e0XL&n~S8G1PMUzW>vn^6XN5`=Ofsyi0d}u}@sN(<ODw
z&HnG_5C2WwEU%vbSzbNQIN^fD|HKUn2N$uh{#h)3$nn|!*yCY8^WAFI4=r>)Ua!x&
z`E=OT2kf8TF{XA`wjb~MsQURFmsGsb`!yOqPDkgzjl1RQ_~Ay9<C+ys5rM1=ADz$D
zf4xYdB4?vQSO`ck;A8rx>E(d}HrpZuv{!)ySLjUM{>ds{u&?);ut<*xXP2mJz>16}
zCB|+mx8;>fg|8$mnb9UXl}&VR8;eiygM;Cgmk)Rt@@i}GYFY7evOhRz^|R`5cDvMi
zUYqr-a(mhw{VRBznLkLe9n0qZEX5Z4IqF9Gp0<NoAEFi{=qea{-0NR6@50UZP762R
zZ+A+s=V(3mPh!zT&J!UE+BsTI{4*C(YF6$%@lWKEsJ`6a;ukMgrzY2PzEpB|XX-k-
z_`i5qgw6*x!*yR+Y|@zX{Ez4|-cVL%G&teT@NLBkONQza6^3s!JQ&_=oXBuvs~}^9
z&95CE9B)1bF8wWb;yefQ{k(&J*Y3F6cAM{wsKu|mgP&$}Ywh5Ecb|ECb;0h2+pc%s
z9erzeC$8`I-yLr?Bi>qUWLuL~yg^D*Xv3<>wJV-qJ!i+=)3Li}%c6G&lU?sNAMe`5
z-anN^<kR0ZOOi^jPkQ9<w!RQYq4(uWRQg2&rIRZ%!VDxu&vw0%-L#0KTlDJ1Bv(N(
zt<x%NtZv@DxN7ojrM{Z5_~&oJ4|;5jZ|!;)K5@aXP?Z&RqMSF@OC>ClG+ZPpw}|uY
zF-N|hj5#jN2fsFD%-T`-VV8$hfPaV89g81FGFZ*|;?~~wi>;fJxvj}E@w@Kw1x}WZ
z`FW}}K_PplemFTXfY;{%qy6S1={I_QN8dW0d$irXZtl_pj^;6Ei#XW5*S^=f$erQ1
z#Fg`#*9QNl)2cW09sM6Z-?W6S?uz&$-utnw>&s{5NN7%C)b8Sm2uwN9rNkUI=}yY#
zNzc@zMgO0>EIr5dum@)D?y_&*dl9AFFK82OHD04JC(-pGkJdk)Z)%`21zWk#GtK}0
z?716Qm0fQ*xgHkd<l5#Du)&q-P*~%~dyjm$m5**&@nVb03NYfadVDjst@(V#+uc03
zd&DIk7bf(Se~{3ZaXMD*_2g)Ue{anF=#N`G@(fyp1FTm+tJZFaoyJ?WJ%{Ow)0}Qz
zi8T|gx#!DyCUdJbNkxC?S+VhJ&kD;w)oCidYtvnu8qW8zFecW)S|;m0^cPPTn2@c^
z)6yk%++oHo)`?<)O_ukxFWGVO8L^+sU-$69!hin`ZMW*R>*+}C-?C^zmuPFq8jU4M
zs*J5YcG{X6DPICVK0mPCvb$|!(naLr@NAcslxRI)&F;+^5)(o7{EVKiG*?AYZLXCO
zfgVS@oU}szSbmT_w<vK*1h{k!L1=eN6|np;*H58&qSAzgiyC`aSW{Fq6qFa9YJ6X`
ztaV~iR7gpbPzV_HF!wTB8t|F$EjeIOkYVw`a$-TkaedCpyeE$iJa>(|AOGXeiGz*F
zhZ<ksk*O$kmZ=bac);-I)w`wd;_vQtF6Q~s<RS5)b)vz8Zly$r8MpH!1^Ql2V^l1k
z%DB)h$zjEcLbjIc*PA@LH4bX0t@rq?VsV1!$MU(RJS+C?DmZ(=@WJl6YVvMJ*11Oo
zS{&^<q!}jSw{cQ~liK{LA|ggVSNt%3P>m}&G4H#MqtR>p;J#INo1mwg>*gj<juT<t
zv`Aut=+TBX8f-491ri(l^bBtOp3}po?CR$1YAnUsb<rcB$L-Jp<6Bb6TNG2aT#)Ex
zPTtadJVSy<a`9e^Pf1&*yY&4wJg#LgT9)&)?u@VYfz4^RO18%9|G(t%t3<zhi9h>g
z$J$-f-On0Iosl!VrLB~>CEV>$#`-QBiQe;@B)@Op(*JteWrh8(%Y0X?D0FM)j0IJ^
zdxhRiexRTnRnRZx;@!5>_j}!P_V$TN78@2FXcT4TTcc5spnB5QLOb)_!N8C1TlV8>
zQgwV|L@8Ys$%cu%-LNR5UDVZj#Si6M>^Lgi2MSSkwe{~)3?(!-NodVF>Jgyh)|6x@
zv?_NOKW2-n;o353Hx?cqMoR-0n+gt#o?NRP@e>6$JbpWCeP2wj)dBT>v%A?!?Ck44
z?}+_;#LZ&EX|ucAo(X)|I#EHvhgtE#Yb8gA8Mm305(19cTP%zI(|qTund}ue4h~V%
zzJ?FKq69JpRV$9Z5zU;>!akERzC4we+3z`z1TQD^wUc>2z-92^#ImRBnOM#wv$TmE
zW93L%tC5kw+Sst5aVm>Q6I+;oGKW;aNA<b=G7ADE^u<nfX-yG5I&sYkr^vvP=2H(Y
z_|Dz4FHSDOs-uUGZ606Ksc?a9(k>jVxjrvAWfKY*tPUJ_k#OQAL$lo?W}Z7G0vaY9
zC)zF?<u2W&vrbXTVQ#~N04Am@w`~MG>@!?`Ic6`BFX?DFu<#PURH%$V$i4=H1*bb7
zx9@Gz)2%Nk*w@9J`&H&$)Ar++=XLJBdjHF!i+eUVAKx~&c0<&C?T)8+S<Bxy-nQKl
zd*H27-uFjk@_G8l-`3svc4gWAua2f>wOKQwP5&1#v~xXnZ9mmjv|^2h_F1n<)*)e2
zrn(*7Z~Hkg{W62w5*MvmqF3i7x^7L62;2s4GiSz`X#IOTvmaWdBy@(DXh$fCp5>b6
z;kvoWe=4Y-*V|>krREOy?8C!%;K}9hod%m{ZmkuP(ER2ZaIscM=vM6{Wsi>SF-xpe
zzs$&Lem42s5rZI~o-RM1Ca)eRLqpqRQ6_AOGHaw-LZeM&6?EQ6wzTGPY!>TfX=Y5k
zk@HfS<8Yeydqtfd_jq~F;%B@QP15g4coskNomiv(XSVXob9+=5y>IDvlh@*vvfwn$
zc%X7+LF43rW;Q>j7&rBWe7tj5)_wW-B3k+7r8QIa&OW@fG*UTsmhuws;w2@SeTQCq
zb@<MoYLdnD@=}k-^t9fROumVFXBS>tDw)gm=+VXS_LA76hFO<t45p}^y{+UvxlMlQ
z`>V~uxySzMzD?f#NRj_T&b0*2t8u)45*LIw*FT?{5GQ7{<kG6f&H5c%Jf^naTPtOi
z+Hdf|^ZK=f2Tu%=A1t3UPu{I--h5Hl@HHB{l3Xu}YIABl`5EcysCd4=%U-DN7WUBn
zq5LqNkKvAi-qPTOYcw9DxiXq-ziKlN+fbq<B3jS$Cuhb_qws|xF}oEi)=cqW-Pq*M
z9Jelr<yG#Q)0=DcWUSXr;GM@hkJIhR%8Mc!7cJ`$HFaHcLWH%XGt%4Y>HFZiKYN59
zCoJhGT#?bE?3gIS<=V6OIEVeUtH~wq4oM~L2VY%|O(;3uc=2x03%=%cFZpH`{45dQ
z^^&i-*{Pf%(WN}UzvbOMLC(8-^+a|tXDkSd4$0kmbn*M1mRI*gIj`=u7Ft#9uM+b8
zp2z)icM}c|_ZE)pmWv!4c2#`IS6;NM;!EwsKR>SjUfi}r??}2s#`dra?=&2Dn>LlX
zbKH`>r+Vw-njIhBy;s`F$-iv&k9W)yKgj+ujHzMox7uF&@AHQ_vkP}Gt<5Q~aW@TN
z<j;z!6xLsJNtTUUNGE9%zuRBN^7%Dsymx$gKAWf296af1pyX$Dsq2wSn27quMHZb?
zMMMtqhY1Co___EIfBF9xpgt9}Zvh?^(V5tl#yX!(w71LtPA#Y@0va&cdM+Yxt#Q}}
za6Yn1b_L14s9ni@q51f!%L|$!CN|x2T@c4y^*`jC+k)`fymH#lm*uQUsAIAU`6ck;
z)su&Zb$_4gPCT;qLT|L=`2y{N$6{=4(awF*5<EtEtVOIW>(#y+t(#aa$-kaihi!4{
z)^kmM6Ie4`C$KuWPGEhmbmG_VjHBk(MN<!iADPG!;m(z?R`h_C*S@EZoCCkNe0_Mr
zH{t`Qk&;e@l}p3~W)AL~Cs-psC@|?*{H=UDIrrG){E3IRKYC|&r)-(_iTc_dwx4$D
zuU!;ul|Dad)hW9TA5ztI4tNNPMFh4S?oyHo6M48{(vuAbyk;F{()zdIgDAAc<!Z4;
z<4_{2_mV}CAca~|QxER6+~6l?C$_=goY#(<<=aa&z8+S#8LS2op<)TfeBv9{<*(sp
z;XM$>EcKx7<zm0~)9!PxopPVs7Zf7EbKHGyhS#%#`8@wlAL5fQTa;LvFC%kr+t<eJ
z%lX&I*ZphGU-j|x=AHB9C57zg-@d-y>aBK9N2KcSQ(UvIMreEH#YYCdez->C_bIPg
zx5GpJL@UOFDn8fqXS+V#S)+0OESDXx7PzQel>wG5$I<96`OFLTAZ$Pk(!g4rRB}1K
z#5k$M_(MtZTt3d8yq-Lb2-{tem5-UvIrQ>dFq_&v;JNan!8iaaaG2|&y7g&&r_7of
zl}Y(O{<VATd-!|t#G1q3k1M^bt+C1C>$DT}y#ILe#Dt5>m3D4^b9v(KkBt47N?6iw
zfm_*CLPF{L?E)_K-ivqkTsvnHpRASFue2GlJ*&(tR_u0Xm(xBrLq|{h)T2#JVKa5~
zwEnStS*yp@bX<%($yM}7mllU;{jQ&7;;aXgyID6b;!1KAZRxV#S+l&m>A}XMpw8aL
zMO;p)Geag_|9Q6{XG_3yj=in&eab<idvdpnuHm}(>l(QGeDAI6fkk~6GyhqhS(B10
zC84=2(RHb#>vBcL%Lh`nT&_7klg+IwGa@iE;9{o70TZ{xP|E|~qZS+RuuVVI<khda
zqGrDtn_Hq=BEvJc#2QWgz=(jo_jTm{`lvklx-8(t>&=3-iOx2^jtA7PQ+MDO<S4Aw
z6MEF7+_}R}{vxDDm!XrI9N%$k`;1nnsQ(gc8@BAYB>Z6p?+#nR?Jg1VJuMp)<OQ!y
zU-XRQ^?W78HTv#O5%HZZ8}>;FCLUcJ-_5b{(Z%)ded{lrJGM`7ZQl1ztryN4tiA=C
zCYTzZiQoL8HoczF?TEfxRN(fSjf?VrCb{1IbkvK9%d#>o$Z&nO=g0Q-^|-od4d0lN
z8&Qx%cGPFhiuExGu7<)|{{+4}V^2<-d@ih>Tz#kR)bjW4=i)V*CO$G(U$AJuWh2X1
zZda8UCZ-r}mmSO;1vj&o|J{f-aN3Z`E^+5gwIrMTomFo)FJQ{M;r^O)N2}ZeF{vGe
z8-6`#bM!x;`^LC{+qzl$@Ab6p0__iq*3?UI3iQs(xX_|FW%bX*CHZbg<)Z!__PF1*
zq@7J&VG&r&eOGInctFI4Bgzkwy4Vjq<=xIux`TC@vz)eK?2a|>Q+IU!Zl8GV^zpX7
zsqa%I^7~%z6Xv{r&_v*Rt+~*;=ACQb&S4c@#l0k%?Rd`7cDH-?k237^t~tK-?!MTI
zMHc+0_j0o(KE3_z!Pl*zF|Q9@H$i<Kz3B=2S!`1eMAxisW4xT0c3{4RuU$_^Z2y);
z1zn=8!D}?;fI2=t;EvCyz>nz%=3C+D_^|z%iPG_TD5A}^JOa{52>B!VAs$DQR>A1O
ze#=B2w=RRWt~Ns<k)DYQ5_(#+nE&lY3-|<{44xB>h6@Z8SdTS0A8z<B!^wPTyP$>S
zhw6#ljQiy|nGbQ!;rXFIv6=BYCv)FU$q&<fjUQMg9xya#_A@))VBgWgm?+i3STDiJ
zeCSTu>4pNI?K~ViSMzXe6=ZgD?KM`|vro(=%7rt|u-f&O`a#FE1L}V_v@uRj{O7js
zf5EI83BlcRnXYhuc`<R#tO*MWIPqlhqw@{_U!vDu;35p<^XJ&Rd`IUq)<49qiI0o<
z+4(=y&q-?~y3DhTnRJWGu6Wl%A<<fARn9W!r=U|{s#hCIvK>B~BJri=q=5y)<irFY
zvnM`nj@Ny}zV)0mC@_v((7<z#Q`z8%eOJq|I+>HD-vt^s&Uax-Zs+RxYqBU>`=g@!
zqA#00D&E8^|Gd@b%yRsnu8@p%NWq)?i<SCh6)m>8*<Jo7Kk-f`r<mNjx{ojF-HMh!
zJ-?W1(#5F_G2eHv9QQ2P!?OLDyHLNZqRlopyNlntJ>G5qldAGPno0k{-)Z~1W+iWm
zcR!S3F!xBx{?3+@3D>xN#QOh*FImt78bv$1<L)K90_}JChjY_any>iYE9-pv?P2Wn
z_5VV7bA9<gAHP}mbjxJ}r7+7&UXyNThFz$bG&ha4SN&#YScrG)RFmzOSNynqZ9Vp6
z)n%Xi7uOWa<!klOrZ#w-8a|OCH8u58*uR*6w|{=Ll9*`KIX@!sPfOP`b)gL_4jq48
z_xgwla`7vY@u7ZBCemoz;)5TnEDwCITkOcgHl2<6cZDpwTQmEzgB#9g2sH0ocu>B@
zso9=qsrmQ&6CXUtSN^%;?thm<&HKBbEH1O>X61OO!_rb9mUZE;osiNWXBGL~&Hb5z
zLjMdGX(zIkFRZ!AIfqrRu0)LK@c&*Gp8q1868|iP4E}g;VKR7<&QRd7vyo5J{Ncm@
zu1)RzJ*@olqTF(JR(X8<^1|G5c4lHWd(6aaYGQQck5|{|)KtX2$+*?}WvNbd!Trg+
z&1pL)XuWVYsQDY8b)X<?M*h)1b1(lq-0|bIhxV%Thq_*E-mvJG&Ki{k6F#S_if-OL
zO{Cu7&)&@$5}IP*W*Ru5hlPMfGXg)dAGWtZ?#e?(ly^%-2KF57+O=9xwBF#)V(fY&
z)g-Q+d}kIj_l~K?)63uYpQ~0%TvFk$qV;Q@htL(<i7G3ql{|MabF@Yq{(pitij;7R
zk<E6uXz>Tf^UL3+Z%^_nsAae1sbZ=+T%r9;IO*WtzU@hNhGloVelEH3I_!-4@uT<d
z`|jE)`uPsmz1F?^MT>)rW4=#`*ul4~amTxr8w+I5<Zj=dbjUFJ<HCvG*Up%*yGmQ_
zire-iC)d*tZFH`eYOA3Z7EguVOkc2^M?!AjvQrI{Et!uwA8IJwB)#udiu|jen+Z*C
zfvY&Y(_8<GgE)IcR<elts;X#cDLMvnayI*^ZVWtmAlNe?)4tVBRR%u2^(1p+z{%y-
zfhR8~`>IydGHD$2R=eA0;pWkFT|;S7^3(7mYN8WZrs`Moy!iF#hv>!))qgm&?!TA#
z@~r&`boNVf<L~w(O^>cWIlM6U6vr-=o&`?gjT2a>T(F2dkevb=YYdasxh50MEGB&T
zPE1wGlTQ~7f=vFe(fEA6%Lq()P5PY?cIl4$;z##ms-|F%@Q?X=wxC`Y?wKVC?DaQf
zW+_=&-2b4*#N`1OZCslkX$pz>PYlS_i+zojG<d9zMHXj%Fg!QQTS(&ATD4=m$;B^c
zZWKRet6gR~eV%M}?e)jSk3>zTo0&S6Pbq0uu}vs`+4HR8{mzXh)8~nEf3~%s_DtwZ
z?)I~)7R$81Jic@FnGnVp$Qmo=eJ_t_F{>3H{$nfOUkt7-{M6<{>rhaw!Ksn*3Dn!1
z+}~x-Y<CYwZ`1feDbDI*{sSE;Hx)_Ivyf>go)cY34q+mou`+?F2NMri?L3g-Ut%QH
zBO=KwdNxBv!%f-IP>QqpT*kM(@`q1kT+5n#K;rEKLn$`rV;TA#dnMkse&mYE-LCWK
zrr^}~e=7oY<li?HF<f|bQT+I)kL#u%;Z?jPICcHMicFpPZ<z`hJQg1l3W&1#wP}Z?
zPcx^APj#ivjBi~L25+ZsWMB3%(qz@a!n=#4o|KoqTCnJtA@9Fs+)BD$8(vNM_H9Yq
zrL^{)!QWMu^R`b^vfi-hMx*FazBL+U392V^EwnT94hMc*zD3;{N7KTqx(lUg!N{-8
zRS^+*;BXg{T*x2Iw=1!SSZaZW?)-hrEem<vx-8ndju{Gx^i5n)(9@E&{N2lQTMUAH
zy1V?mo4i2i(1PFvrLWp1GBGc9;Ok-Hmt*BiUj8n0qd4n6tFqEp3`=aRO4oNzzq_*A
zJzm=UNMr2QSL-tR7~{pcnGe|rFz>SyV{18StH8|W`mZ^8*HilwvAI_rbB{Cx1U}~B
z(BA+aW}bd#mGgt$=GPq_1pGJoZaha%-tEZx$*0p@KZ<B~EsqGa0X2Mgf}0di7eC@}
zJYRyNn<Vf<2f5+f^++>J#DC+WiZ0Qk!7F|^KiG`DPCTXH^q_c-37fL3l(Xw)3C^x#
z9swq9PNGcn(X)&Mixf*yf<T6VN2B9|3l0yYlNt~BmoyzPKc>e~6Rab^p<dwhVD{rw
zX9c4(2h$I{J!|+NIw{d%23yjB?Z*x^7;L|5q#$!ym)Yr^7PAv96xOc?heG(7RmKk{
z?#_}3_-pe0!{2}dpBKFe3N`)NxF^}+X3~R)8(o>tbIqzT`mjcWxxdT)zU_XLF4NKZ
zcjGR&qE4En>c2smG>cR#ep<Ruqo~%<zQ-f<!?Jr}8?-9QW4ycmX;p(NbMepnEu@NT
zlI?m#E^k<LX~Ck)6B;rTjBaJdJ@R2zK5DXNg~^H+CMpTD)=6&mi;LIiH&}dA{4>AZ
zV%DkoZk$>@ZI1pDyv@u8Qf$XEY7b^4o|oWlwo5YMxzi)2;lO=@XVQ1&)#@(awngmg
z`TusK!cFU>pGN=e+cHv^j6`23GC3N4xZjXeqO$RZb@Hx9M;F)g$;P)HGLzLSDp4tY
zd`~poL+8UW1H_z}K!nY&cM*BVT53v+pH)A4rtoI(Mu!{sk1-{_?`A!8PyV#{+yAjT
z@mu~k-e^p`d4v7&)qjg>S3J<$QO|$p-NCm^dE$k;#kc=1sJ6`e{&?H+gst!7OcR#Y
zy|4N)D_g&`%s;{9Ptp?6tILyIzpji3tknXI$ei3fsjD*9yUV_2?-?8=74xmrb6pR2
zs4QL-30~H)%KvCrl3G~E{4UX>J}Z7W-_gcC5pc@LalM~i#@VgmR=Z;ig5DG@T(mnz
zWrdzN=c?1LPFr_=(@Kw$&|K!~x?I(9DF_{$mCcqXvqox1WU((3^LFNDX*OHN?TI<-
z{?@*^8uL5gP1d?vi*H%$YE|MKi{HNDy41Qif1R({@zVbS+>j2EiP*a4oo~18gm#V2
znx2TwUFVp4C8oJ++TqHGb*p!>!Bc14#BZJjJ6V3KzR+a8_uI~t$KuNVLw{fCS($#g
zv8XZKwKOXtkWEgseqXgOTJL`M-fd_}^>o~Il%%@J=h>;(HG4PjWK#|j-MTm;@U2Ej
ziFH>?R`FZjbL+$r$uS^z-QJ7HUHc8sZdL4+i73vMkkH-mOp5(j278)G_PT?=<zi3W
zZV=lsJ6pbc_1Ufc$L-E;^*?g;nN-CmX7lTIlHs$n=XYtVh*cy@gjX@iZ3Z0#IBiz;
z{Jzy^O&wa7DZDY>=x`%FxpBk!qfCkO`<L$g)*3M@`@e*>fsXX=q&>IJnyy&DKRY|z
zehJ%}wqN0E?mu}m??A_oqaNCz=zbNvanY|3P|I*~x~k~sUDHJBe^hP8QQ5O^*^bgO
zgd~(TE9^wIyJiM_Y~QgRd)IPmYE#_YnhUeC^NY)NCM>z})XBAcr$<1no>0j2Zcxy@
zMJvmjOt16Yv4}7&*!*l33tJvLn>kMvQ{LelG0ZuiGuG8=mYH5}IA}8~`@hKg1v|@5
zL(<Dxv*V@leXXw(q&i;j6XL#p@J`w7nC9edkDQg?Ka+a0&g}XEv#fQFkmldjnC9!q
zb7VVWn*IuXnZhXl<%bko$o?eT8lE3~%TKloZhW2cDH75L#+)d##$HT!*)#7ujXLiN
z>x#QR6w&&}^CJ&OtEhwLhn#xPK@Y)W0T&J2nwr49>44M&->uLV+Z<~+)*vInEX5p@
zz++*;^W*A`ZpQs$yCpun-YCvoryR$~Jnt3<^RdP9yxR7uAI?Td)!gq|dXT-?iPx^8
z$hYyq_GHF`?~gDw-S1^(No?B9>S(bnTA<}ls6fjt4wi+BjyVdbm4KFfC8UMRvdDj%
zBPAg7Dqi+~^zzH?f*;>J`4SoEbF?c-D@-I9G<WDXf2xQ`(XSOhnjieeUSxFHbL_j1
z5+pOaM3087(O8z`YACApPvJ*7jwXPB;|FFxrRIrB6BjP(>|t?DQPEIRUdYuXUxGHR
z%EQ9TVrd{?BCzCuLqUdvfWrx9mj9MzjS3$ovvbtx$1!ruyTHLwc9X|uuO-``IvpO4
z%MLt0^fwwPoXOqJ!*RUibi;(*ry2sJ4MA((n4xRl9*Ay!#q;CO^(m4CWp!m)&Jm{@
zZc4s-)5^^IxT<oi<cIgC)#PK!S;_?6j;xz}G9A=RgbbR%1{W5TtP<ho=3tumlj-X-
zp^$gt{3m`)KCd3Ff1=^}clA^JmdhF3x`GdM&0-3>BC=u8vIbF8)-_*pxIX;(SMw|J
zxyXTzDSp8RxTg69ALw$j4HJ2_aZy0O=$svKK8*A3&o8roG>1t-(~nU*iz^}!Zp@|4
zng>4fD_Q?<7EVfB!m)8hMu)1SqYfumlIMy|pWWwXCN7yFCOUT-%Ty3*d6@WIT*CVD
z!`egVj&s(@&37(YpRvp9VCbxMLfiS{=bM#zbLKfXPMurZQC(Rxw{Nb(K{igmB?)P7
zR5<;nHOOC<vUY8{?NOk`T6dtXhecbZ+^%2IhRwO<gNx1=`HeOb{5_pdPjmeL7$ETP
zZz6lkf5(`O5;amxKjn`xeJYSrKk;89?40t413!~lpI_%bH($?!fBM!qr@tGSzP`Si
zAAE<cUv<lV-8}P0-%Rez>x-^`a(#pU5C4k)k0U0?tMyD{tP|q?bol-u_Mhet!<y}7
zUNZk<`Ni@rfLoW*L6_yfb%FN|{{{XR{JWU~G#mft-4Xg1-xfXJwPE+7I`cdCWVbI(
zWvn=>*RiETXwSbt(^=OY$Sq}Eu-D>399PDAX&uiCaX|&Td@`Ts%{tn6vZips2UDAy
zlCS<;PSEA6S@UPE-Vv8SHY;)-%2wn|ERS$hQ@WS9G<{F=^Y85c`^!Imd9d;5^5b1q
zRcpSydHL|?--Z8#V{L3Jaz4J?xM-f7wrzD)MO}Tu{}ge5e!1wHsx>Q?ANM*xPi|iQ
zOoMs$ul+6e@8fWLVtHuk*0m3cTe8na1$`0!H=)5*cJbw!TR-<Y83g$p?(%Bx@^fZd
z)_5{w`Oh9>UPK+#khv?aX2s;|*H1I^y#IM!TyhUT{~q?(x`lyXyE}d{-ZM0)koT+L
zuV#BM@kMY?asc~#$D=b@o;*FdOZ)mk4VE|?xxcj<YyNEvu$-{rbGhbTeHFLmk2x>d
z3uU<%HROkIz1-z}oO$8##q&92JLD=pTZY#{>nE`bHgbPUG`7b&hc$ez_!6AhdhTV3
zv}%U2)!TJ4m+Pgtm;Kif^Z9>0!r<@yq@TZ**7rYsJ^i2ZJC0X3mH!!k`z^H0Y!UmX
zP#<=e&kLe;mS??d`n@$kuH?U{udVC*_cd$S_No_sk&_hqvTky%$G6Sro~ib9^!II9
z6m?_G3NbV7Rjp^en7BR)X=`fieV}Ty-~MggIZIZ9pgG7{Y=vgR()3_Z9(;7(dO3sJ
zl9E|WVH-e12={DJ*Rx=gY!|25{5M*;)9+m&_ijJEEi?8%$T2+ZvGHh6SDxYI1yN^I
zR)`sMHs4E<GxU;8^UB>m<1p9KsP0In#aBWXgz&5rpK{Bk`{(nG;oU#Q3y(6jRBW{2
zYA$_}^x+rZo33y@hwwc`Ogoj%tl6-;W+rp&;di@FJGRC!FS*9hX}aP<)`i(|pY)UW
zykKWqvA>HoAn_3Y4fkitW)J_R-O0<8-z&=BwWs=IfcN?Y(eCf>6%Th8fx7kZB}4}u
zAKbWJzw^|_wbKf9PPX^{TRb@-VF^d!8jX%*S4SCbu167`MNNsSZ$%G2`1#lJTlYE5
zhKY~hMbHY(1Xo{1ZQI#QHv8?5*PXOvHBef5S!6>Kh*)GXP4wu-H5z@XOVee#pUW%t
z{Z&^#e#m2Da^OW7@1{o)LL$c}CCFsUoNg>!Q>epwY~!(wA|^*S?(b_&-Y-}7;KuzU
zqTB*yCpSi~lJSmraaGve=3plGcYVaK4gY1m<-cv;_$6`SJ;mmm|2w-4xQl%ae(%1)
zpZ2iBcgOlfvA%a=X$z;>HAepM*6-M&;W+=mo3-^3%$to5*VuoVzI(@05xM9VzOXC0
z%h!D2vHI}m-*@NwrQOTf`*rPX!>(LTUYhRDfBwDywfMJ>ULH*D_U{+{`X%D!&C7>B
z{|^3qeOE=*hZ~PBC%f9(hE;w0^5M^El}CRf>+e?DoAjJ0Zqd$&4lEZ~yy(4x+QKUP
zFXnU1wGJaz=Ux51aQ{o>9>|Tq7ao5tYPhbUT*A{f=>qd^`B}`$U;ZhT?3S0hSLc0B
zML|El-2Th<!>|5ci0A(MzWraChrzn{?f?8<)Z2e~|M=D43-ukcGIABp7U8knj|{}Z
z-?#s}zk}&%$*x|ZEf?pMh5J3P?|NGI!v46^i~7#i3!poY7Rp;HeRsCcT5{-x<Hx!e
z^IQIw*qekHJA8M}|K-bDwfyiO+tm9--&hh|<`gawy(*UKy45u@FgFmiLbf5o)A1!M
z<HMhSKmNImCq*2pKaQFrtPZcy;7fBYZHs&<$^Q7~U&H^qacci>G@a?y6FKwapY4y?
zTkn2g5cH;L@uJ-iR95I&aIWI^V=6nyefIl%jP)@0YPP@Ely=*~uYLCWf~IfRYNj8!
zP$zmpu6f>q+8gN^_gy~AD*OrPu`;_aZyU5xqGr}&112%{`7X;9pRNBnZ`1Lf5bF=I
z_dM%XG5<RJZua{rRn1H60)v?UB*!KE|Mq>#(Z&6;D;LyXm|gd0tFqG{gJhRK{nCPu
z+U<`qR)2DgwU_I=)5Y#`?{CG5r-#aP*_YI>+p=4fp@!*SZDqwpNCm?1y=x0(1>Zr(
z2-ygEnV?u%k55+{D?Gy3U!|CPTt8`d=4Y*X!NHCN!i?hDT)QI!Z=CHqlouuxz_9}y
z^aT%p{+;<beoiohvg@-HR`2DS39g3f+Fh2BfoD#7ou46m-rnL&q`LTw5A1$wEfbZ5
z7cH7Of#qn6iiVm>;)R(8W@<7{YCKwR<8~`XGbJBVOn2gG>*18Qe{{6vdT)r1!;P!F
zH$T1*cGI$v2)VVNG4}Hdq3be#S*P~pZ;;v^XJ5Fa;<f3SS2K6ii{-`E9Ou8=@;mn!
z_payG8V{WA*el#-wS8t)@lY3>?-F9I!kSB+|2__}FDYX05xLkc+8Vb;<4cn3LUC=+
zbFyoe?0v*%v%miGcXymg0VI3;oMwZd=G<isqB<bLb^aQSUn#B^)wOLG``hfdZ}@)%
zXX!03!*Kq;gnj8GvmOyoX;I%>DjJv694DG_US0P7{2a7qjw5?b_Afr=2ho?OGcnij
ztc!23@A18_-plcz{Lixu>ir=)Z1dY@?emwMxGev;{PL<-9;~07uYQr8yzISI`Q`7M
zo^6;Plt1Chl`pZ+vwkT_$<JwRSl;V#UR<q5jCr1&l5PBtXr1-Xx!v=QGXJ^GvS1qb
z?X5Beu?^a9U*2NalO_(HnX0edWKnRk;_Rb_Uu|z5M$7yNR~J6mae>!RP`j%qGBD*#
zmr^n)A9jKhgYd(jf5qAVoyF<@@9{D}%26AMO37g&lA9Num@#$UM8kRa>kA*)gA&tG
zNO_KECRUlTR{V2}k@?}TzVxui#7lt}r}#B3DiIP{IyoR#=EqL-$-8dmZ59U_b@^^Q
zVqo27k<iU7VzSlZLyzG4qmAi(;Gh#@pJ)1LtHp;m6Gip;V)j;bGhb%UE_`6Ayvc$=
z*sYuSyzIoIj6b4v@(US2Iq8Q!pM&le3x=&fbod;W+Q!N>Tz>;zw)lFzzZm;F>%dr<
z88fAy9c_%an91SRlGo800SzA!$<31*oP3UWae3*_;5h$&zr_dd=OPC@3b~Nlz>AhO
zOr7V;2o8a8v=nx1jfPR0>qJ9sTjgY%{r?{wSU)$IK^b=t^-jK&xWwb-iVQDx$3QF2
zu1lUP^!WZvCoYJV2Z*s-)tNk~R2J<%xP0N!#{DguEG~R}{OG)V<i|$`R<7P|vEgHa
zF5jL67cusPLm7n+T0tRJ-~CjV@4&?9j|~5hGOlP9V_zUP|0v^%Ez7%^Kg@~+FWme4
z_2i=im(Q<`l$jy>>}ccvG9$qrj=KWF8yB6JA?gbDq$*?UjE<=yQ{oH+!6C67cTW8O
z=)ivql$H=A9f3^x($n+t&%bWw{l$KaJtE7&ofK#bA&V>G<xP<XKiLZlAAI-oYM-dI
zbnzn1xh$?PRW!WR7w)q7z>Yo~B*vao*uWeuv*9QsS5DypF?NlNtilJb%9|`cw5&M%
z=s>r-mRPgDb2qcT<iw+l7QM-Z44E4b-#TCwDJ@aFo;T*=gIf%L`($+a)+{{AI7=Wx
zw!?jW_mkOA9^O3o%faT?rHRd39X@Olj8v%j*`Xt_C&@*O<H7rldsvw)inQe(>~~<;
zdVz`Y%A?H=3{$_AG&2120dKFXUuqYrU{Mo(mZ|aA#ZM1^&)sfc_Axl>!wsd+8=7KV
z&K&kSKZE`Jd;b6bZy&wfd|Yh4oc61i8!v5M-v0bM`)~VF%*OuT^XQHJf2mBB|JM9}
z^k=TQ#Kh+u*ROADIxo1+G~4sy{vJ0bvC<yD&-Lfz6PhO`Nri<-g_KAM8SwhJS)Wx-
z4o6u!QnHsJP<{RS>Fg2@-j?5M6MWEoO8h^oXv6UXb<fW-$Tc<de@HyfAlGciRKfF#
z!ES}c<c2*qk>yXVjNVmxxx~f%KX?1G@>7RH=k|a8*3)4T3*sxi^|1PXPP)J-ru^&s
zJ&g0N7F9jqeHrtWv*HZfop~M6i;5Kr7k&Oyey@SSyTot#Z4JlS7c<MB&iHpq@Zgv5
zUXEq^t6U8Jnks*?&R;J(@qT6XT@Uww^Ku`5O|1Jh*L=k@y&dt~PaWs~J$F0&U10OQ
zSL)Z?+gSF#PLZEf8)EY;BjHfTj~5==t9s9NWhHN3bnC_%l?4L&8z(hg;*LA?`S;~_
zi*e@Bx_YI$o?@;97q8`-36Lst>&D2yD`!9^T{6x(_E~@Otk+NEwr_m>#A)`=jUQ($
zKFgzg)XZ3G*IA#YEaS-wZk<u#shVARM5A<u=GMDueA-j8a??0_g2Eev40pr@WC`a!
zGw-R`I`h6o&_)KuIGz4O39>sS_UvQc{b(xp1=00$t|Zy*OYW{b^Z486$FeV^%I+ue
zX+4ozbL{)o{h3RC)P6NM%d+Bpzs;``HAmF$-0!)q`*!j6M~)NA^(C`jimple_|G<_
zA`rY6F^&^Dd}+ZU^LzE`Y>ToL_3QscsZT!OA$U3>FydI(A)_#nX&Wa!@j2vmOIz*W
z=il3B%0I_B4DkE#&&QyU3$pTM)Q$iVT|SY4DW|%Wg2SrZmQ~c%TkOZ(J(8EPIR9V5
zzaYq>M?_Ob)c2u^2A8^HparMLL)IVli_l6ygBKt2zt7-T2JeFA`DbbHL%!$-f4jiH
zg`uw*|L3o3tgr3=8SQpLUG@R<i+tIaJD4_{d#v`^+GIPE!%B0HW5P?@CaZisIq8=O
zPhQ!EYb^K7lzOvg7(|Iz{O(x8ec=A1hSyAvcV{uk>=fny5HH*irI&NP=s+psx-HAI
zQA<U2`MAv%3HIS}%D)w!PoA@fRoTh!Nc0+wS81++=GtAmB0U`x?<J|SE*0O?`uw~5
zIdxDUu<OK0=s=5Km`Ks4MH41Zou{ZiufAZyW<NcJhrx3^IFwygTwIkEIk`AJ13Wwq
zEl^(gH|D2-Qewc)?3=$13P}j@Sqdc?<akJM3YGow+f?2YqT{gQ*TLjV{Bh6UvCofP
zcyK|HaIwSmJ&J#~ek$)1*}dOjW%{FkkvmEs%G#F*zuPXT|3dElf(E<HpNC%OfjV@*
zT3-G8a71cV@cyv6ze{%AVX!wn_s2~%=tX3@nZz+xtE9CW28pZ-n-8>1Rbdwn69}zh
zRI8}_-}mD3oE$dgPc4~T5eJvM2Y>i~v--Qj%~x9Yi_=rzo%!-(#$kzK+Zg4%3w+O4
z*dETE>eX83QvJk2R@UQ4Y0tmKFL&MzeJ-=dxW456j2)MYmoHX-_u~Njz6tI80gSE_
z+xbNT0|hEMJMvi_kFa+sH0iqt#s_DweShlDzpVH1SM^sHg{MCI=_(+(|JNU*@A+>-
zkDk1rzILv)<Chd2R)wlV)0hN&Cog44xe&tj<;TmaU&k`IQq+7HIhHcC1Ti>iGAtBn
zFqy_=`|r%_Oxbh4GLB9D8ps~Jr2lZ=qX7A<Qtfgx?Z3?W8pswYUwWa#?$rW6dG4a)
zN+QR(#badjrMey;<<{$)t~0}8+wb?$1;sW0ou1y6Jiak)k&OE`i87gNwNr&h<lb6b
z^PTee%CBT^<t<@JFV`Mfw_T|Ep4S`ql1(#wr%!p$nmk>kdV1f&dBM4d1@x0vPZ586
zb^iS2{l|YYetX$}{`zs#DVs9l)Vn*p#e%<OURU3{c2!UF&V9mPGXq5@%nV?4SP{wY
zbk<5B>sq5uUkF3Wg(+MwK3-lgWM?~zX(ned)RzHV4jQZqy-OYX=f69W?h>qEEVpYZ
zgNyTak%oXshL&Gb6|Ssj$y^h^^0<HbBjd%I6J{E)DokM#@PdZbr3kJsKQ=!;vN-<O
z>_vMcXL43=_S#{d-B7ieZH0OEf~u(>W-mT{%4f$Kp}1=dy4elWObuS^KCGGg!N#g~
z^`kGYd7Q};=GL8?yU#S$&%Sfohg4bf-?PQ8WpLIn3BH;1z(DQWcAlege91}i#Zx~#
zo!fPN`?WuFKIn!2KKxfMTzrdi-hHY4p*h*_zis{g<Cg!|t@EE{+sCr2=S=-jzvc0C
zPVZwrzwEDlJl*nidSLe7qgB&6PiudF$t^bN_X(lX9}h=dn_BO(Ya^3`_y5&v8E+J?
znXgm7#k+5s=t=npb?)pn1sB-We<pCRVvgUrW0{r1_Jw-S*I9j-wnF4&&+A@KL5`J%
z5OiXZz+|NfjT6+Cc=XSYldZ@$VKow7hDb{RTn<v+jdJ#TtqQ_$OO=_h8a-YZ&E;TH
z;?2kr%I>hjioxkB<Ah_Yn5+KWT*-Ik;emVi#kQ8u<a`^;d3!hGrgG+#_iSl*@14$h
z-`=v9-};m5wsP5&>gxw(ZtdoKcIKY__6aHP<==;FV_0jd|Ki@$XLUPf?v{GL>_}|#
ztOeWc*L@NVnjiaNcF6rb56o9i`_r*&wtQai?)Zy^<^Nrd-;J$feD-es8<ic_aT~+y
z>*m?Xnb&-^tG(#7X}`D4v-d*hcm0bqdGqd*c4X0(H_d<TEFLsB+uiUg-Tz+L^p)TC
zjq~;&`*(ZsF(u=LTN)?8kWOC@Ys!Tw8()09eD$yFMUfLe8o3HmyOuJvEa8QqC5D-o
zA1`11+Y%)CBb>pho>k!soVs))zERFT_U`%KWe#bFL>eIJ7n~qHk~J?p&hOmS|EVJG
zi}d1@W1lsun-wqGoRWUZr~IckknM}eqooZOU%l@+wf9U*(fXFB_ZUv@Wt>#ato8k4
zkhAQ(hd~Z`PtKQWE;!L!!&9WWU}ca)ww@Q`+GAdfRyP7PADrr&&b01Tgr-CNHm?KA
zt>QReRH{CB#rIYt>erFuuUmF33}L?Y*S~y^%!RLh|4e1qvwzt$xjuJ!l)#2lEqmm*
z|Gr-OM)r=DaFFnZQ!S#b|8DO7skEgxjP+f_hgAo@%C6_~$O#kOv2b#L=!R6Yc!?iA
z$GMp&*=Y0Jx$*JP0pGcyt&L^>pYesVefV7b_4(dk7HfD!lEYZJ)^m6$=u7C;H=WCI
z4s~Gnwu|`Y7$CAC{<fogbfoBudqKgXGhWYb+OMtUY$SY2fa4?-fzqrZD9xsT(yXY3
zJ&s}_PPC#NC1HxXIjk^Xa%vTvaEwd2>d%)|2dWogFBhVNL{}Ux>|TF(Q>(;3BfSpH
za6ib({JvLD+xX!ouZl?KEo&H~t{qqtZ!lpsOL45KM4Umu6D>xzon8hHwHo=Bt?;^V
zD`2Vvdv6G*TH(P4j`bm&*LEg6*gW@?7<2nZse}DuIrCG#i)~kOJp1(D_L5buO&ixq
zMOfCQFJf&{uWWo^u>Ved`k$izwwy;BlZ2)=o-f^Fq#(KZ)Pd*Lagr0_kL{YyBQkXn
zL)=dP_z4jb4mx2n6C&ak7^}ZX&P`;PXci%}!Ty%2`{}MH{018QFCHx5-?qu>!=?Ny
zA?z>KJ=nW*HDAn)xXS3YUV`TiA1Zq|@p;=J=0!m%hnO$T`0(Q6<+J}68T$Fplb$EX
zF;~tbdHM3={@Z_bAGffr%&VwUD5+BDojXs?{_YKZ$;V%w?0opL@xseSld`I+KfJeo
zyjXMp`n{X$-|srzGk<aEUB?x#*R||^$7ZrZ{gcuAezWhXYB6jr(*h5jmbj3rB6&E~
zP9WAT?NF*ihNSAAp2pI3iYpGc`}FUh{<fCy?Ou-;A73lnZ_vNJn`hg-d(pi&ck?Yf
zaj!HW{k>~ydeDuyd)wY^c^&a_-fpoR{d@1?FBL_Ki%Y$82&=2xB6_oR%^BMr36t{H
zn|s$MG?uHp{r5g<qV%@)Xa1%fyjTAA>#`U2aUB{}6W=`V_~lW1Hm~;1;{HX?-v09!
z-&3l;x@*q%-}mEI+}J7mPD7qY_HNysJ<IABKA!dOXkYfP=~nAr#=o1fZ2Rx{mlf>)
zSI;$dt$iw~pBc=)|Hi%BA0kcEU!C6?6z6lZ_Q$!M``z~T&wGCC5BuW39y34A{m3Nz
z%BwjbT&iW)C53LMGYt-GPO}UYZ0mN-i<k0kJktWM(qV<ggkwPqj{ArI;CDr-@4}^8
zY$QMi^j2<=vyc63FN0m@{RGVgeEE^d|2Ac`$D|$N+bQ&Jg7gBuos6$0NUtz^W-k;Q
zmUbw0>W58i8K5-XcxT4>tp0-{D{J%4+*Vk<mv33%+tLdix6UUg$EoaEzSwmC+TYpW
zG+f!#cjSEP{4IXT|E`^z)%)}C9>e{{*JgxoTs`$)*yq}x$y(3iO)gL0zxMGVeNN+t
z|ErF-#@C5UzdjAC)IPy0HLEyA2Y-dV`ug>o!S&hw`$+X!7jyZ)Rm&_K?!Vq<pnkN`
zO6L0ut2vA2Oke+5x4`KAzbk2r{-yM>Zqhg+!`*UgtwOKmG&%cNV|%HqJ~KZ$=&~wQ
zRe{=1T}v5U{BHy?IL+cT%Ftr1`g8N*87rH5*&D5EGK*Jax-MnDeJZ}O&GFh6%j+hx
zJ`<O{T;O;4$^7`*DYdfPv-UZjn!RbC>a2Np?-klk?tdJm|E;g@_f2Q+iQk`1+c*8>
zJ*WH2j+|-R9+~}p|HVS%-J63AH!r%>q5EcS-r4+fddH@0SahjEciZFD=TwA`zdFAx
zdb;kB{bths^1H<5?F+A$`xR!$yEc@!vdwDs^YZG@%Bp7`^Q_vJ*!1pskQ}H#LGu3R
z+2RcW!a^;xCMaBKVTqKfWIVAzQ~$!_<>rd^a@Zr@fBRwWMVb>N`R@iXECN;3D>ylw
zd_4p<IZrso64`J6xy<61Y^~BQl_@hfc&=!f-NLrQGoxWv3tQS=&l@MdS)Tu9nIpva
zcJm2eC)t$WR~)yUKlZj*$oEiw*&V0+sEEa$72(S_v1YuSy|nU0;=6^`;gu`)wRX0x
z3eMeR|Kqx#es1r5d7Wj>zWcX&`Kq41#`&jAeW7qi_?xTq+snGb-(MEjRVimyowh7?
z>(c*MU#+q|zN_Jr`_3mBH`#yJ793z_uiY@!_rT(RzP-oolIQL3emyx*ed5f3(+(am
zB<AkNWR!8r^UIHy;T-XjI6`6i=hZk0{Fg=lR^n($Pdo8bqd(l;zDL`5o_pnYd7-n5
z_jtZDI3$0}bb@_PwTrFZ7hBEKJNTY?9*Y*0)Ymdv*L;WToRMC$&f~SmQexOkjpB|(
z9en$*+-lv!`)_Boy*{7z@O{?q*6()?ozK2pUF$vnN5$M7yB~+Yu3P_D>z=7-{`dX&
z3&r18djFW`H@C<BT<V@J<zd2x8$Xu)XP5my<=tKoQ9HL|!tLlOnO6kuekjX_<leb<
z?``(`i;Mqncs&2=-u;i~U$x!)cK%h{{c|6@*mmZb>0fu*{TbnP{>xtRm&9Csx?N($
zuG07I>-y%;Uv2;Xs(V>!<xji6KY#w((Y92)ZtuF5i*uHW3%cqrTeG<4;k#9x`f}Fi
zx1ap=MWS43((_+ox1KPy==HK@uK5hA4BliPL$3@Ltd~Wr3`(r`<@H>i{Jd>ByVG*^
zOE+G={AixBZ}H}Rc2%==W^%@gwZw#R?q0*l`>J!#8Q#FuX)_BX1)|(I^^+Z@bDsHQ
zd@(fzURRt*s{;qS*6f{p&rY7{KIMAL)vTCj(@g)?ncJ70Ia6vNn-+KD+T1c(?Ng;;
zx4%8AnZ0KF)|<z#@AJK8eJkxDh`YVgebcwxP3LlUH}vOCF7>Ov%+$A{|H}0@*N)r%
z%6L_qx4UZgn&8`_U$-5WecIf~{i9h{{DXXX%z^q#g^d3*kLOSRy17sK$9e0id6k0q
z)Lw7rzFe%k-PPFn)!+Ml>jK{=yS=(QPx$M)!-bRA9@b2%NZztu!+788xCEzKSAkdB
zO#$(ODS;g~MNc?*2$wBA?mzwbY?K`OVpqC=kdMHwcalpC4_<kkA7JphV9(a5c6HU5
z#rH3sz4&@ws@k4+k(}}0a~jrFn>k#!m7ekS^}O4WFZehYp1yATTY>v-2*+GLKF)=+
zkCdKYlx!<|cgusW@Z!6lo#&nsTVVRE^t^j`)LPf0@4H(3?{7={ru962#$M69yh&GX
zuorZH_>@-pD(>&k%3l?8?A~1#*HMYTrNd~lG*7DH*V40}%C{rs&Vot&+YY=sXSeRy
zt9iEP&F%l?gjlDjndl1`LD7Vx6U!QSW?ka5t*g5HbsF}ZR#%n$t@xtIiJta6O@@mX
zbXgTt=0j2M$>nnPclX=NW6#+0W&g|lHQFa2nmd!zHJY<~8>3Jzv&t>DkS)yn|0k71
z&g7gPdg!#&f>hoMo7mFszW;o-|M|uD!Fo&2)xB?i^S$`#_qCQ^ez#k{`)+>ZZ`{(H
zt@l3f?YF<NEq9XaQK_A#-|haTE`Ri6t)czxKjxD+*F{%t*WY`5`n0}vdb+%^u~ENv
zR<*2M$^7TkD+Z4lSDEBCMjbfy;`-bce;e_sMUYlmoX~`bKKWyt@*)`~nng)Xu)hgz
zkG;?L%3{90XT>rzgBj0n9hknzOT}2ve3^@a$}uSFcJk>2H3<TgZ0o9WADjmDEoLBk
zu}+!{nL4ahf4<y05RQ_3JbD}<NJ=;vtaCLEosnyJYjm|<8VP&t5}H=Rtn!l0;}UO3
zHuHA3=~HJGM5^uqHEkJmvlXth73DHC$4H;p$|(Khmcu&U8wo*M8~L{iXE86Enk~w1
zcgIhQ&*s)jG4@-3v!kc-M|($nnwNb`{VQYi;dNj4t*|}F)wniawds<5L2cyi^}qHl
zc^h6EfA8DsefF2`tui{8ZxyHZBlq}emi@0QW<K~nw|5%nlc~SIu)a)X>O6XiMabK0
zrh`)M79WLrHE_cq{^XP?oORU;micV3I6wd7KHV&LqsM&7Wh|RCmYj8oJ@JOarS{|-
zj+QqZDGEzCtuH-ZKAm$PuKH15_zoT^LF~!ye!|RzDKqn3?3MM5>#lw3vsbCQ_UYdC
z!yNUiZZ)udb%}d+?nV1{^d{~;Nu(w&V{F=+O&+rMLi^KqteIf1Y}cRKy6DryrbR(v
z7aaffROo4+NO*mkYwfX9Tvjs{ZEE6sb&8Aisz}#{1t0EC6Bl`xSLyhWUshjZ&k_^=
zjPLPLRjv<HRo}XW)$QB<G?dkHTBzugh_KL#%9o+7lf74KZTY=kWAB;|rzVwaz5Um$
ztFL=v<CDKP`ck*HhEI))t|)!EwKdplz3!f6Jy*ou+&$Ivo<si6ME)Hc8a7)NNgRBa
z$PyM?k^Ay$tNymVyFTo?w3<77oAy;!>o0+J64xZ&96vi<GA_Pq=0o14(<S|M_n!H1
z?2>(OUDV6@n)Z8RHecBAsV7@}*-PW6LT5XF73T&noU!Trl>NW<Uzx(!H}ARh>ieRm
zYBN91-6AQ>vGuy+ioJYJZ%Ze*DyI1;Fe~~>OqR3XTk>HouCn9hgWEV#_?Jru%W+iu
z(mJwN9{vzzTWnKjzUrCL<4O}#c16?hLs@wWtA7f9-Erajk~Pn)W~iI`zx?r9VRdC#
zv1HEPS+5hiuIw=l&8l;InN^pTnYGS-_RRe&zl7DFKl<maYWGgNj~}fH({Jznqr3EN
z<QIo!PcH>*ORGP>HfGlm&$Itt-`0C_eQWHA^;x?o+`n*d%LMW4l3)2bySwX`z16EL
zy&d~!S=rH}-CoP@U%R=db^q29?&<d%BY#9Ljo$HRRa091?)oyxdr`4<b?g4^36}?r
ztMSI$P3utZIeB`=yaPNtf85`{<=D~rQ{TT2JF3}MULKO4qIN}E;1U!~I6Cnjs3?}U
zt=sjmR(}@L%#Wa=7Ce%%PLX|K_6f%omOPhkm_DicqieXw%0^RJ`fuMp`Mt-Y(+*|t
znfk%>9@`74eCCqg?TwN9k6GWppDWk9euYVLwCLm0*DHQ2NXMJmNt-0qOx|%Un#XHT
z{@m<6_rt=rG3eHA7I}I3dw%i0xzB2T{>v-n`~J;n&;HpeU(bJeZh!swjnG>auj2kz
zzQ{fLBRtca!FOw*>4z_?H$Q!!ck<#U{%^k@H1PBNdY~eo(|Gmn$752ftDo7#&#65x
zao<zrJnvK{m#v4VFfB1$dg1Z%a{qdRV=qq@AHK}I@G^7en=fB}yqtTn8l%hF`Cb~W
zmBRb$-HSE#5_=`0(*DS-b?0=l^-{gF*d$=D#IJuD+98Op>8qm`?7Q@ojUO5*Z0Qk-
zJLd3ihg@JkcWwWve`}k6etW;@(S55Eve)0-H@Z>v|D3q<Y5V=F%hX!mKFxjYx4TbN
zc-P{$;tuh*%I@ylD;vkK_nLUx-D_r7w_T5}{+j*v!=mR~_ZhsFUHU!r>DO!Fw#$1?
zluyjL*Rku`zVK(~boVaQS{>#5b<d`xM`b$}*BE@<_R88jfAzlACA#+ezifN8+k5|x
zpSDZChw5u+*TuDno1eaV>-fv_zrTD0weMz%pN-t<YV6E=b@%zmwfD~dyq(;4Z|{Rw
zvUlusAKh46b!Xl2+iUOfuX*>w(=yNT+d-qp){j}$u6$uxxJ;eH)Yeg~bp~T2PtELo
zhdp)$*h}z6r5#$}sF5MSCDqczwh+WreL3sT<BSVNkGmr;DD0ZS%ImZ@RG`RL;MLlu
z7b~MKcwKt>UH#&MR5c#B4zT91O^!>~9_HO%;QsP==9X}6<HYr0oZqu}1J++-_?pF=
zvED30@W0NkHD_8*Ydr*4<r$I8o9`*f-DP`Y8X5TZY4D;IFP&x;aT=JntlktUdP(H(
z8nbE1n@*m+w`x(IkNxGG!pV~?+VfU4yWQDfnwl4Jd3J1(_LS}Uf6pHYxVN&@>t5eZ
z^WXO_Rc{X8vS@FlX?I}owER7#iJ8@$)q8Iyo$cMOr?pc3_NDLsE6=?8{A6!Pyw>}?
zUunv#R@l$djJr@4e8;DGTWIyqw?~)WUX*eu=i{VHxq;`-*%-z$`~Hn!^Zj`*d>7lk
z{UxS<99~LkaB{6%chxbJ36g;~`_JFCA+7owORY`aj%}U`J0EbjZ;bpMrevgRqG)1i
zqG%$zX1W7!`HfkzS39O&(X)&SQ<-`9{R?Q8n8C+4H7}#uS#XWjqX6Fj7ugL3|7`w#
zwd28NHzkQL3D2Ea>i6wBn`-@cQIJp6P1jS!hhuFxw(fMu5MQqn`$<kpS)npdLV$yn
z#feeVQ+!8!kKhCwa~_TsCZ>fAQ<j{*c{rIx<vcGl)4~P^4Fy$ck@bOd_V;`fN<FrC
z(>rO7()&{izMpm2I{nA$jLH6pJd&mVapDUjBVj#er_&k_Q+b}mJrex7<3U`K*w#qV
ztx0FjJxp=+Wq;i<i*M5k-_{kfmwflA3T<uDS=(X$(JrD&`2ECb<{$NRgKw3~YwfL7
zUvHxPyI|&}9h2woHhuSG>ayfTKC4UCc$68sR4v(;w%zXCMfb@D7nvp-M4ngiDai>E
zDvNw6^ljoL)i<9mslHjf^!p>buqyp`k5gR^hdo=Tad}sP`isS1?}wcXe|UFiug7%P
zgt^;$zuuG*TJ61Vh2ZM+z9U*YYA?ieTwT9dS7heLzmJ%Nv%(q!HgmPi3RUQK%2DSx
z`f&C&pYqF3Uo%WHW^y(|Qa?B?vn^aObqV*a{6&tZeI!gcSb3csn*%N=h?sD&u2qSB
zR40us+enM-wUT+iq4(UM^1z9cB<+iZH3ft;Rds^cHhIVf_H+N;ZrVMCFD-mYkX4-3
z38ks7r`Up3g4VtiTsc+ule*XYQqT8?@0IU<7cyT*`}_Qzo7UW^d^T;`_T71Tq3>*p
zLtoxqH*fdV<zDZ~uHGwtc5s{awW~|DuPyfuUz2^xS0ikr+wRx5F8RKGw{7;d(xtPn
zeSP}G=XKj9U++zc%f8OM<m)|Y+p9Dq^Jx#yraXE6{MGsMmybVg)AyO8t7Gf=@KV9@
z3)}4~l6&)Qljp6^_)}ZrtZV$RO{&H0io%wKYzui!Pdv(S<~5SYT`_gZ?`!f{!{zSw
zxJ#X^y2krvu<|Yfl^s=5VkR74S2(<Q<RI`WP}B4K+Uai1(`FhV7d8T1Q75PU2$Pk&
z-S76YIx;=|$t9<mocg*Uho*~3E%4^%y|A>EElxvW$D)r<FQ%rd#e{L{gJyFaPD@=#
zO~3K}lW1>y+x@57QneHQuG8E<`?$7LzSi5jb!WAuZvUOXEqS_07r$!ErHbN{lgzKF
z_WnBmn`K?bmDaM~i;^mKt>BoqPNQylch|j4Hnr69`MP)Csqm(iZ=aG^K41Iz{uzOB
zpo~4C#&GeP*u66XlQwrtsxCU45$F9sy3}y-pITi|KCU<XEi3!spribpC(UlMA2vm=
z=KC=x`PofI|GvCWsmHdz-gc5*aV`@CMT#WNu9?s%aQlh2XZe9}7c9Zyxr=$9k<^wm
zKa#{uI`q;Un<w}+!jL9NlPeZYCv~hItX^!SX1uURGf~pm!6>aE<&48sM*mLKL2ie#
z18*86QrOS%Wi-EOD1O|)zx6I-e)igj4fFMr`F<4KmJQ$&C_SJ*Wp=@V{df4-Yd$@Z
z`Ov-8dcl%|G9SE`S}%A~7Q;T}de7^IO=VXZRn3YWIx`;eY1GF<+Vp3(SwDEZFZ^-C
z`I*o8x9nXu`QJ;8%Df$o>=%9a<vwuCU|z3s_kEnTo5QC&1_}aP94$;iliua6mqsbT
zzHhqTk6eJ|T-fPWxNo8GZ-?1uDndT>|C*2yQucI_(7vLJ4Q!${3*KJwd&A^8lXE)b
z!qh1rjMz#fJ&zU4G<TamaVBgGLLt7r5;Wv+|JIufs~NHG+Mn2O8Jy_bwr}xPsbbI=
z;o_GH?=o09qY`I3-u`_v?0oMV@wczoZ>6N~{l|W*?%KM#1-@nvKJ{(?#(ryGOql(J
zZ&NoVCAn^DQ@o`7)pYmQ!hXB1#;w2Obyv%0{Eo7;<lMcrgmd@W63*XMFNMmgU&?3f
zUi{^?&i+6*lbqCd)ob7WbC~U7&?+ABkKcZ^;Og}A#l>&8WPEo?tJ%NfK+CTXg)7UM
z7TSrPSR`=!WHV3A=Us<AcGb+4!&d6NY$|gz$(Z@^s7{7J?3pIEg?6GGmckrgS2~=S
zIc16WotfCH>WixnM_-Gn4&HKF)i`mwH)r`yo`C768A^BZgv`#)??X#9dFxUO4=3C{
zqHBJ=X2Q|j+QQ3@%8$(Y&u(?U<#~3i_34P>w-?KTir>zDsx~XTJ}Pk6HMUvVTjwwQ
zU3&YepHX7b_u1P{&z*B;$&+{ME<Jr0z4Y|m?cUR^PoCc)YgT#IuJ)BvQM>Q8b!9hH
z%wBKsbdjED%WHluaWY0dX`<Ei|I2PEL75&GgC9oUB*hL|$(V1jnzP6*zcZ@k%ntq;
zt_>5`H$spOmti-9B!^*lz@&9{`Mqqq#tVNnyhsu=;aJHJL;aI(2F|yVS#dHl&~)O=
z0N*JaW=SZR8aiYpC0x6j@}>28q;FcNZ<m+<%Bfdbn%AgBFITggVQe}vx1woQ&GHn}
zi{I4^g=<ew*<MxaEvkRfocr>m*k!7_&dNu524)(He*2e{uRgh8!lFx|T8G|?&YzOw
z5!82ezOTu?>_vepHN`vjlw?RAozWH;@@7%N<_Q97d19Z;H-ScGZtIEtf41@OstBEP
zpLK=L_q|)3s&?m3^Mz;fEoT1}w)|v|lzF?@k!^A9mPuduF5kvl9`8N;<y*!DBVjhA
z+Kbo8QQPzSnsS%sX_E10n%FLalFcO@0T@y(UK07-#nd~^>1@!US*Z;srx`M*HAY@v
zQ^S4q=JhpM>>AnA_&24cp1swRb~jcn^V)Z_#gWI0KQI3#)^%V1@1lLxUi(+PXI~nB
zMcpv(-o6c=^5Sn=IeedXk8#)fFMJpHz27tJU1e;kIawr%{l&jaN8h+FEpL$15Vmr#
zICbIthg}<GcwZm4@l~3&WBEz_0#L_c+uh6m-aa&)XLdbat~RekS<iT(ZNmf@(&;mM
zq|kiPEyi=#^10$z^T)hz=TI86r<k3fXtB!O%lAaFY1;fabMcqC@}fpFIa_@=xo0v8
z88NG*u&oMb+Gma4f_>Nc)9XOxmjg=G3T!u-x1VE<E`HI-KkZ#(_Pwl+$5Y>UIb2-c
zqRm(z<hG9G&dYEnIkEjhA2fwOI>csdU#}{)<5{lRzp5gxAD1tQ23$(u`my<vXh6~K
zbxdLl|DDV}cGW(zdg?z#vtUp`%Y{<V*53?%+)(^?@5T3$kLU4zx>LZ+zi4*N?E}ph
z*y22Q-H$auD`wxzq7}2;$2mOaNwcvyF*XJ`c$vM3S!|)-buZ01%|`2|d##6w*3avO
z?SlIZTN!z^cAPzS^y2UM6Fxh5ICK}cOgCI`+QX>rbc_({Xh_cSHHUY<`p9D)weRe)
zH`Y;sJ5@HVu$aXsRcIo+=5dDAi9R#YzH3=$E|wU$_AP7Pu~<|kqcxW;_kDV_t+~-n
z*VAcz`I|r9@;jAl7I%N;y|l;Am+pPMwsv-JyVv^@y?L8IcB7ZOQS$E|ZmOEy8$WIG
z<PGst^%DE1=q2vgi#;T#``1i*zb1&#RhCklslENTnY7Ts&R?JJzkY20;_)Oqz1NTH
zYHb$uvw!`lQkxU9=HJg3QX3b&?|pZsGvew)k=K>+Tdpe^@7o=d;1sJX@G7e@Ae=iT
zu;cA-N49-e?<T6;?YSq9E%m(o_N5Ue$;Ijl80mlvn2@M>b3x+Ohi$u~N-bVk)f(I?
zoiua9>m@C_{n%E#PHEWX$CkGD^_7!u#`bQ;Z>+XzxlP{fXJ>Jx*Nwfs<)Y7`*D7q2
zE|mVNmE8HOV0nCPMZ}+1hZ)W1WUaRoX*RkpxZmjd#1m&qkIXT<U#g|GD%j-nP5qNs
zH~!kZ@~pg9`0VK=!oR#N4t@Ue{Bhg*+spmqJzg7%3LbSdk-jLyYkDnu(*3KG7N^R;
z`F@a5Ugpa|PrHmO4f{Uakz!tyUo>z3wM$Erzi2+$`A|=)<(G)UmhP}g_o9AXkYGGn
zd>GWP5a9Cg+~rVP(bMo`XQG3GhyVxc5|!9KJ(SA*=7JwRkN$XU*{WhJH`O%RVTI)4
zmf41EtJW?(z1UVBQHQTuyR;vr0^dHZZ^d!fJl(TXJ4APGZE+OaxT53fwMl<=r{_O)
z=GK0+cIou8)oYhdFAa|JPA^%tcIjm+&9zIXm#<#Cbn<S|yIPZCxhG!xb?ww0=CxVb
zFGcU3+<9Gl_r0j9(CdF+Uyc2~K6ux?s4Cy<(cbIdhViWVaP89TUAON>P2yO#Ve6MG
z!mE<LzDfSv`+JpztEZh<ZvTm%_pahI0{q!qs;m^QTxN_Exs+=3q4y)7^2=MFKBC0E
zt*k(hw7{#E4HIr@++1+$%EPzsUd9N%eR;y?#^u06wzAAuE*m=7$}(@hclo6GyV>qj
zPuR-Jr%a7lD`aJ%5|SijJ9oNHg@5{4Yp*@~c6ZJ@H$TXK`HC5?iSPA|D<0c<a+&Af
zOZXI^a%YEs+%KEIKP_tSEccJSQF7eP=|+cb#*B@9y?=UjETg_1tdp04wNj5h(yR|x
z7W*=H_vdow4u6;L7mbAT{yAoR=69N1FL3KmvrgZ)iweyb?V>!Z!k<qDwIJ^NX$IGw
zxk$>iJ>UO{k>rg^`*T6eq+?ziEAJx3s0#|<W<M*h)6B3*>mtLkwpOQQTzhfGUi9sS
z6Fu{%mS#Ata9-SEZNhf#<%OQG{Z?8@M#5b)gv1P)Rg%~|j_|DLIJ$KM-}w#Oo=Q8M
z*|%-0)~&FV+`|d;wyrf5zjZCk=XS{k#oXU{r?<X+H!b`2%Gm4MxUKIAS0!KH`_|<1
zwy78Q>h9-mk#T);wol2rqwN2eb>G{UbSL~>XuRv5{3QOhJiO~te`&mLc>8Z=?0%j1
zQ&`^%ciNqbUi)hC*3NrZ=C<6}TC(=8m4(j3IDvX&%d6GJ*Q;HNw3b!pzpPsSV(s0~
z>#w%{w$GgS?IEaJC4J3K$1cQPXV1-J6WL<d*I7k;I=G3S>GS6Ojk;m`>;K-*zS6%>
zwBn!MwclSP!s|{J*PhS*T_&*W6L$-br{}I~vBoH+_PU(weaLO$C9iLkqITv()&|bG
z?yi4r^2~sF4j%KSY_O4B5x1t?`N~DagxiX^HQ#3<cjebCZYupTA#iKW#(Qs8M@?Li
zWtHJ_wPZuzs+S6@Z?%N_vL~;O+85#f@9yU9pB63`-6pwZ@BG}aF=zCox^BKmvb|}t
zzJBAq$h)tOR;8B*>s_mk-d?rw-tFC@+}e{wc_zxOTz_+IfAyEZH`%V7yRUYCJ@?Yj
z@4&gYyBg+RFJ-Jwzs~w5Hg<o+`#<ZXZ|vSZ^;q;n@z<SV^2_btUq1f)_2d7G`nSFd
zb&1M5dTh>VC5yoNd8@j=`u*FVeWYt&YWcsaEOWQnYDSNLA7xd$63o1Co)pK|D-L3<
zB{N|S<+~zSOXa4rVw8rmn*ykzymdLWp`5-LOJ_f&c~N-#W81A(HrsymTq^h8Vyb4G
znC-*4{TNR`_8Eq)$9OWbeeQj0J6)%T)-KrfhNnCF-Nv)~cJNl`tlhEWsoZRSzEW_*
z`PwX_!tAxWdEf3|xEpmPSvpoqb61M3*~?s4{oWdX^RmgMALX;xpJY7qA}eoGo#XV~
z*}vGdSiNiK?V0(?`{`TX`?+g2Z#$j8sm{gqWt4QrnyYm;r_I@aa_)&GC+E(YbaF1o
z{UuM{?Twwjn|rrjxp-;pJC%d4?{O~Xc;BSB{^oN3SdYAQCvUA*;oo?3>r|nOZYlnU
z*XD1CoHSiVS9_Ao`|=XdjD5i*{<t;TCMr$K=fo&CeOY_rvwG9~{&!zek9~i$?J~RK
zawZ4@mwW<A*0riHm438hZElJm)OSN}ZWd_?zz|5&Qf!)Lx(fa&x^f~#&BRDGCxz|A
z37!cPC0BGk2w#M{sB?m=LAL^1B+F)wTMFF|?sPmjdRIko&k|{2$HJv7f;I8w!b&^J
zm6R;5iB8jAetG5`mVNtQ3u#muaQ<<)t{O1OdL7HD>|;|MB6n*w3eEOh;9^q9ImLd1
zDrm8lf7)%;4_}vG_jnLf|MXM%;@vefKmOhI_LJj@<qi-8PIE0c^x{2tvDE2GZ8_88
z2pc7WG`YPlseZVIQXAN@IziD=l~|_yZZ=)xM98=jM2CXNmPwIQ4y<?2^+|J*4nAa-
z){t|C;pU9SRV?@9(3bb;G)6KRo)DU$dVwvH>A4$|{SDtq2fTM1a!yf;YGSEdv73|A
zChxUs!J_z`e;%%bbm2FxBc=;~e@^)0hA&f3e}2?ZYT>%?U58fVnIF&YO<`zx!rk%&
z)CSUI<j`b1;n1PkIH~04FHi>*bNuY|^|_#$g9mMx*r@fB>8Z=+*4ou4qwY?e8BjiD
z!#fFySLYt{Z0WCN<m|U~Z&kmrd-o#l(>^;k2*urC&|RYtb7Nkx=$?F6@o6&)5>@wX
zV2p}z+_Aw{T{|w!hG(1a$F4%VmDf*oEpN6A*b^3J!(+Cj!gcNamwf*BUX(H?-R?ZT
z`_A6&Pv_s-ePP}Xqg^XR@39rP-)H;GStotw`0iULPrJT-^YmHSr=qgmvhT|L3$|D9
zsju^o(<@5X-+uo6$<yZR%Uz#7u68xve*BMz`5xP6Ph-V*_Lm(O*_q_iseS17k`q;G
zg+h}(=eTV4?EF2w>&VG;`ROvcuNMUGjPHuciq<YHJJDwIF5f=j>yCqlpPv^lj(xa&
z@jeCPh58K>z$hS^Cnd1sC({Xsj-O4FzQo-t$J(WfRo@R9daN<zmU0o_yufjVE}K)X
zp@5OGKvuV==k=4jv6f+6rJMNJH+|om5$v1h^gQU$xzvVPS!^eE@PvfE|BW`Nc4E<V
zSN`T);k|cGe?GWSwaV(mqV0hfH~-Iy>94Ld*RRljzpT}?s#5;-v8qb>_f`7-d;)Ku
z#$N3G@~Ldsd)a4u_r%^iZGES@vfhaE=4RLO-;1hi0$)FU`zh|;!+WQzPd)kaUO4vs
zQ%@-$M*EwktW)mvEp4bc8zI%Pb@g7G&a)-~O1GA<ZPSv1cXWInG+M2=dSH<u`=1Z$
z*)kLM87BVAn_YQc;(NlB=WWsz0(YO3&xCY%{wAO_J@4Lqhu-1&eGH?+Q!lYMcNu(G
z>C^hhe9AS8J(;CK3T~hIz4-g)Dey5Qhv^ejc&7ghERjSsQ%h!Ul-<nte${c~HyJ;-
z&D{Jx<EX{i9Z8q^mNl2k__E);xa`oys9wuie9KN;ED<Q*(!{cM!`TII|K_ZI?pBt1
zUAkcUmY9?4UM;(0_BlBA{oa$>XOFIXcgy<Tt?g(3lw7}^_sJ`JckJc0Ga@FgN$mLI
zQ43kun3VALU#jf>&0TBM`L4Z-zdd(OOYHSh&fWW73za!vSAAm~yFd3?FNpBH+tIf6
zjP0fkcekIN!r^yi{{J}n|Bmw0zZ6Ewe}Da$vDRiqzxvC^-Cs8<ZmfE9)-=iV`hE8g
z2PAfvROapQW?vX<#qsvi)Fs_ln^77aFO$ALHe{OgybaWGR1ldksbu4?lgJ%`lgp02
zS{}G@(#!x?2M^aN8@eP`bX`5a=or$3Tj-iPIi$X0qfVInmB&imp<>#SDH|_2imlcO
z`?h|O-K+>t{iLlCcklQLu0CJ3I;qs#+;z>m_aR4L^|d}b@~Ta0<F0kamK%S?TtE8i
zbFBDk{gT)<`)-tO{1tTl=&RFrcSP9kdYhyw=(8~5Z+ZNB!PPte*)_E<n!fa8&wFw4
z887NKo^8=%U|Gn`;3(F5!%r^d!R+g@ZkL|E7ROd}P14KYcSo+uY<an*TIO(qj8IGu
znxt2_zXw~1&f66ydvDWfv3k+HH34adPP0$_@U)rj#V1GRlAjA2#kn}vMb)TaYd`GE
zD*Sd-H-77jW}Up(-Lv_m1h-f2$qE$ly7%__&a98xcW;Dt^XsVGZG5siw)*+q3!&Zh
zv4Oj;JzE!Lv!;lB(yP$!|0b5}e@9*4>vt(3t~~qtUcVyiccI;%X4U?>()whzSnAtX
zq1{5WW4CGu<{tTMa!0uI!m609@Z5I>zIgM&(Opi?d_R^{XUP<-+u!xz`bFP;XMU93
zHT$WsBp8aiopL7g8wn)Mu2Fr-_ag)Aq>A+e(4<O_ewrhsKk-t81B#|hDq;VVi%rv+
zMa=*7w33a4Pt6dTX2`6P%;s^7Cq(wccl5H0Z-%Tu^P7g7jJXqJ3-V+>Y+GmjU{?9U
zKUL<>8n%~Dmif?^cZ89@nEj4q`2l6MCk_3pYgFgX+4uGP6Pq>rzxS^D{{7t>zjMs{
zZ<g}!sXu7@Vcojw1y9Oj_(22Sjho7@GOL<>293kWX?VsJZCT^7Y42OPA5Yq|Yzo%x
z?|s03v3K8@AJgud{Zd#G0!7_UyL{N3au%;wxyu|MbgT(;_QP`*`#uwt;r^h;2~ecz
z`Tf9jtQ{zwst2b}&zNx1M<c~6;snox36ctm#sOasOh()EGQrjWJS?@D{gy)YgF6)u
znz=pYeyq(q!(6{>!js1P@A3{Y_s^Ta-glL|=HU~W57x2e4xY`H56XAHYnXJqP&OdW
zcpdYpTcPYzZk%CMUDRp40Cm<w%=*FqP@8418ouPReE#mZJABH^`L`xP3+CHwaxG>b
zye|EHHx;$`c=v4;YVo08{S~G7V4IsQ@?10ZO>>#i<G_>lubdYJp4{JO-SffyGEYXp
zLH4(C!S8x%nEc?Am5i}&lMG{?ZM}%J%<w|$v9dE(dsfSx->~>8|E;t87K{FDE|W-c
zkG_w)P%hv8%W?Pn(qOxc?|<{YHkW-bc`KX$mjBk@1<`*O+}(S(t5fu(RGSBUrD5-m
z-@C5z-}<}3+LCkk{?|g^7O(TZ!5lk%1N-iOj=SZ{|2CJ|zXXr^f9Jma*U`H3fy>{Y
z@4ps$*=2nHZ@18G%{<HVXSZH=-K`Go(SQg3LBsdp)+Kc4f3F?Z)+Os(-ir%TkAYeO
zC5GHmpoxPgKA^b+NMW=b>uejF>w3QY$l|xX7xku}X^GWSz4O$_Ay$w1%2T6&+;wxs
z&{~;yVopD5JGiYgI&a-ih3?zG9xZEjJCZ9mJ9|;UwV2(RiM`KmZMWX|Y}Su{)9X(d
zE<U^Ezk7DZI@@K7O4jn4USB01*`+({wx`mWw@-S`ygl+=?P-}kXlUhf;r8E^*YEmW
zGR|xEg-o;ENtnEKHLqFcS*aYMi*7OghkH$LsB8sIEP3{Qx3&W9^07F{u76Eb>eGSf
z`7w_h&(C<ycKc;=N%oVS57p#ac9|+{=?tIrt|Gk$rOW*L_Ds~|m-lTMO7i2ax~_4~
z++8}y=y71AH&;tkkk<~?)CIQ^s=c>-Rz<84*?REXHRN9O6SmueUw53~-B$Twi<0>b
zgA?<%?OXnoZ8l_3%2WFh?>708RlM8sXHDCBEpNl!>nqJ}ynDOE<i@-AA<{YaZ$fg`
zc!VKOe{cAF`zqV*mv2vc-f)hcz9GIO_K@7WT`hk%z2@B(U%L0;HxKhgiQ2{cBX7K8
z1I-`tZnK^jC%XD*^7EYs%fhxaD;e*Lk4bRat0nL%v@u{k7ih5Zi6h&-%DagwvHQ;T
zVXK=;9IK;Iy2Wvj`J+|PZt-obO-fL=c>9`z-}*9^d#4@pn=bUOL~?=ObjDXDk}J$^
zoI{^=%-aB)Ke~ZDf5dAhxVDAu_WIoy*`n1~9{iS3F3h`4J$%Z#tqa+1Pd@hZ%dL2y
zbFb?zm#&{|GUNK+ZLj0hcgk+xsfOsqUVS#}NZMJ`&J5*w%O4(_mHp}a)+ZT}6aO52
zgVK$C(ok-@{1{{Z%yf1e^Ia#WOWt31TTQ;DgbRXF0y}a)IkGwBOkS^Ym+?H-UReoy
zO*(QoDZ-|773T&`de`v23tQkumK^wuWmfjSELtW5)mero_-4pnV7tlq{21fX(&-Nx
z_P;(R^Wpnl74|>Q<-&YF!f!upaQ8jO7=NjleTvBp=6ze9^J(mqz0Ua5^s&`~HL;}*
zoo8hNlxFQ<K6U^4q{XSOpTsx6<@>Sz{Q5$NU#mV8y_5N1OQ_PWaKu*sF0p=4jV%?Z
z+-1}UwRhkX{!bbdV8|=&IOFSr2N~k7vc73f?!kxp(i&vWGFZ-Pj4a<`WPMA<DoWd0
zitXmbZRakQ7^L@o+ceMm7H_uYt<%+SGJgG2=~|XQ^HbRR{FO=>zvNQu%69ym$#tpi
z>l^=V&gcIX6;-Kq8rxd$d|vgfYvH`To2D;Fx8TyAwI?seb@JD2{lhLU!q<&|UXB87
z5X{}a`Tu)Y(=xN`_s#1HOMdGbFEnnL07E)`+wLngU;GyBxod8$5Y};{vTyrP`tXaG
zouFv3%H4C=rvNr5r!W3etFS83NH}YT&@}_*C5dbvhj~J*OXPb{=T;A_xgG!R!r8@t
zMQ{EyIPq<J={NI!*<ImZC%y5%vT&bsdF5^ElA?x;_IFqJ`f5B#uon4XI63%0aMiko
zNo>Ifu78PPlz*$Dks$bL{+_g$zmvDFmbL0UD*{RfOvve=;&)Il^QG^-=kMzV=*d2=
zlfsxUs?9*_KH1rz3`M?6o!*OFu7aoK>r|?^MP`0n@kIWs;>8tD;*ZO^{aB{RX0qae
zn8tMX_r6N-(z8KN`J{y2=ZnY%=nJD{>ooA{*&7!>=?0|Tzt~m7EyA;D@!IzpYmdqJ
zvIjrOu<Edma%>8_k#^x*-L|X6%GJ5&^BapYZ%_3pyVigCV$^nr%GmQEXW}yTzWtg9
znk(X-V*cfg@3}ZlrEBlz-&&2<ck;iw)BM{XF^x_0EFyN-N97j@l~tWrebY0~`^HS$
z=^M<y{t?s2fA>>2;NGR~j2ox!WS@S!kG0FCV9~!n-+%q!|FvUD|LI>l;^p~YJa(+L
z@syVqU2XkuquZJn+<UBxmBLCbj#k-;z1@1E=lwbH89H2<#usH*y#4K1!k+cJPT<wn
z2A-PLyAONplB~6n+H$7CLCl0>>m!F1qU=s<Ed*>sxusf~!Y1YUhoj8TzRGHxkk}Zo
z%<;sADLEH5yec>xyVgpkWUigyTdR{kH%fyJ#i}!3DK&D4RcGFOuk=;Q;+gV`CClbU
zi7q}Ft1d6|%58D8^Pvp2i>15v&An1um7OnqUFIF9w4Zgj>6}}CH&?B*O8*{Hdhc5C
zRm<ILR`_NASr!~<IM+#cdB9;!wRH1ItCGK_8_(X(fA-lqKc{L=_lkM{YVLd}{r%-7
zcc$(#r6h}vH05cF7aoi9{^Y&|G<^--_ERv4UrKbr|6l7(nOyG2+1Ahh`zxhnv99q#
z*@g))q|;}13p9fp?OFBx<tc1qfu7$ttj0PTa`&(uX!aI98gk;W0}M?$GqF8Z_N&26
zPFo+&>KTlm449uJvZdWU{3(5Z+Wo^(HxC<}keg<EhHdhv4dqij<-YaJXn$M6Z>{q#
zvD%rx`jUnCKUq^wcIXJk#pS;it=9YV`|`=io0e&*+KY>;^U|L4p7psjD=Do=#LT$z
zZbVw!*W@xaLEk&)p7>`&Ca%xL6?yf}J^M90q26lO-)S>1NIIN=Au;#c`4bLi{9dba
z_uRTpY;{M8^=>nie!+`|2~ecrxqb6%Y(bJz_9kb_zSoPT)QlIlX(sX-J4mH9n4D?I
zib$w8cR}3|kZ|p-e*L#>xwq?2d~0{ywtwyB{nun}-LK62_WfMko6Yghr+Lo*EA;)w
z1}3{&C9WIq;%~32VgF{iG`?D6Q_^)gFTXdpoGo{HRzBEW^{z2r^DguG_dD6Wny!C6
zpj6^ed3Fb%M)vw5ha+in8iKxem{k{o8**veV_EJOUds}!`F3Bf_{+PnwH)hY7UuN}
zemq=edoNG$&zV<q_vP#rPdTq)yf1zGTssa+Q3wL9g?ZV`)8g?$Y-OxNrrL@};TQHB
zxIQ&{cBt(Cwwv4c?yYW?vyauz@4>!7d%5>3-;2n*Q=U{SK+vomYT#{|_hv7~zMXip
z#)bL4v(}txQCr>OdyQe{8b+f?=FrA{s%TyI&&n6lQyaHU_wRK0KhgR{u$$W5DM8_(
zJ62r@ed{;zP5u7Q_kTXvzuhr(LGYI9<Vm(SDwpt={Z8oTowxV%O1p|#{2%5#e^axI
z|KAPv|C4zyt@+z&cVo%E#}+pqmm0Xs<sVD>Kl8%rW6sCbzHB<4(7%66N&o&uTicHB
z-yIG*u^{cUyw=@kPYnWR*ZcRE$+<RNmVf8B|Mc8{`gdPG&CQ*hwm+@-dqMuYcb}fU
zE9Cxbf9?9LTmR4hs+eN;ue$b+aIH^x-L%@v^_PEhx1G}G+-!89x_aH8PrE$=|Ly&C
z;*tJ(AHjOzTH)%>qh1U`-6#KZ{>s>uzbOAwzAIzrl_URMe`WmoZ~kjW5X%qir~hZ$
z{d2F42^E{LAG%RSf3MDm`Cm3hF-nNBw=Hc*y!$}D)<8$|NEh?`T`QJZd{|Sd$5*5G
z%Ejn$S+XCCQyLEhZPGY02fY77{nF#*;_P-fHW2yi^VKM#EQLQK(E>(G42`dWbncVz
z#kv`H{?SJVrZ1YNXS{H!cA~er!?e5xox2WOEj~PbvB^kSZ*|LbQwQ+QqbH9X^!O4c
zbTjX?NNA3cIdPOx+Gmx;hl5idHF!Oa+S<s!{3@H?o7`PyAD(btW6Rq8FKM3t)rm{o
zPBE8N1<4z5-krsC(A8F7pk~n*rh`Xq^#wfC%)=BWg!e@&Oo&+Hps;a?2#3lgH*S_s
zrz1f_3w7SXK?)Y%x@IvQG<Iigdhl(dw)=|P&S%3KA84<B@0u0Y@_ECCyOmFNF8tiE
zLVV`u29swtRewr;{(mL0T>U(+KYxoK|B{WDFF#)1{r5Wh{=V2-`RM!mc)5PQSd-s<
zuPi+6kId7*r5;~op6*R+7u*-PmJz(C@A~5Jho_<qhVHc8)pMrhbi)NBuL?=#U1x5v
zc0l^u2m14N&t|QwW7#M1*=^5N=@)*@2VN_1|Eb}sS#8*O{h$cv9==yB`&PX1;kteL
zHh8Dsz2ef$>W^jrCr&f}ET40kZ^_ngwhikweXqRzn)YhGUZwk{Z*v>o=iUCjZf~4P
zt?Qc89m~Z}PD0xAS5{a1vP^IFthw>wVQ=p6l~3Vs>&vsxi@U5Znf2>;)w-AO<+FaV
zFL<fF@7CwrZ1K0EgRg|o`?xUf*4gIvuDBn(+pdLvPKwdpp5b?8e)iHm692Cq`tK<(
z9rS+x?wxLX=Nq2i`_p=nt|w&Eca~3cK(bVe)Md0y-}TBkPBU2dq1-JP`H+)j@TPC6
z%L>p<-}`awdWCNK&i}VAV{%N|A+?=Cd6T3UsO@CTnk2pA+q3<mXkCdrv!R>5-<?I>
z^qv3j@ZOetHM{@s+_dTFfy8+Wls{JAR9|~}&i*yqUq1WuQSfEH?<&js;FrJtPn`Gq
z|5MxR|NFmb{falaJm-JJ`L+Ka+iw4-U6uED(#c-a=TAXfySPuDt?YdIBT?sd7=yse
zickjI#xKwI{CNmo-d^8=SQe|hLg0^md5AX4dCRnU+AR0`x+b34@o0ub;{>^82-4v)
zG-Q(Gn0P^5*RAT$7cQJzeqM?;y+;X1i2ez3%_hr^gLU4;v5zQnjX;h5s#iwBt9A*6
zl`=1R#pZF9H$<D|em`o1++m%-6D~%!olX<9S<Fs|HpM7zIJiWMC0=u{Q$cigFV{i!
zs;Rnce959s`uy4~MKdy5A57ls8_w}BsY$fS(Eir{KWnx4Vs3tZ)Sz;vi#h!39t(y2
z-MymhPo_Q=V^<N==JU|eJj&R)<>I4;KW8C9RUaHAWAVG)^l0PrGsT4u=B*Gu*>k(s
zQjlY&Aq1URBv1@K=VcD)%nX?yj<^nUu_(w#IWq%hfJbuLn&bZZe0RigmxKI^G0VY%
z`V!RbmqmpN;_L<|jyA@~Y;e@$d-AA(S1nZLhpY8Uiw`T~Qo9=uM-)ED&OF+<x_Y)A
z-=C{2-OO93^LJF)g}Nur36kG%c`}pZ?*CVdR%@}$e^=T1VAi~Fj&*M<TOH~YrB@4_
z&_2Faz(XfYaY96p7K>2kVo|1_hht&oq2iDD`q*H_if=2N1Zo<;pAvj!7PvW7@kd}t
zPs%aT<3~7JzDPh&N?=E);0cG07Q0NtOOKa_bI5hzXcdHW$l+=*gmct^4q~WyF^x%p
z_ihk_lPHtGDuIT8R)!@Txf<o_%d}WZEew9lcj1job7~Gh#FpQ{bB{rCPh+I{kBj2-
z)0^j~H|M7|A6Jn6Ge>$4-?K9hj(SvEMO}Wd{&na!@P4Ys>)-Ql9)0n9_lw>8if8V9
zZ&V#V{mzs<+kcC1QO|gvyzN{6Ev>@+`<_3lOMm%Dua#f8{HN_2?ROPN<NnTk8XIT3
zZjZN(8t6crPjMzX?>>Fs1!{W-KYIKBr=j(#dv-IPpZn*(c$bp#!i|j+U`VI0gf->D
zlyxsYUf%mR9Y<a%$^T!8l8!vJIl#yx`O>Q6{@=f~<Jgh6`SIJu@yGNRHR%~IT%euk
zXztLI*T8buVe97q1!yTLZLi)0>)-8eu?tR=GV&C~CY*cC^!dik|8-HZ587t!VkrMs
zAD~wH&HnQ3ltKsD;@w%y&1+Zj-nsGlR)fl!EN1hsd(0H}cb8@}yT-;k#98LWIwWn}
z)o^4}UMy&jt~9*qk?+;T{QdnZO+KA-pLu`RTOPB$_;lyO_YEtAXTEPRd1hVpr{v@R
ztLU|+_h04YIrD7HZEOXsY$qJ^^Y5Rp_h!Ew`hK%hKVGbn@4dGn9#m_tMbw%{_d;sT
z{6*!LrlZxGKXcKh5WD$HE5dE(C03p{e>2~`QvVb0@6riRW7#ijykC3lNi2J?%6qF7
zlfN(8WUQH^pC4U*t8`7pdE2FLkN#}?yU6{ptVi}+>2LRAXD$BB`}^opH|t4T^KaD0
zXTINEbNFBN>5fI>C+iUP<d2ZK)uGF)4*!#%CThJMv^jp^;@kbRuYZ|cz3%1ww>y{J
zzf|n|KjwV?jsHLA-QVz^doTDnl=wHkmwA7y&JzCmJW5l4*_*b%dzW8d@%`fGJJbKi
zZjseA-e(+_;N+Vrkmc1J5G<7v*dZwZ+8fWd<hcL!&-dMf6^s+XM}AF#?tWgfQRKzP
z%cB4IQ5xk}rm!jUvn^a^;*l)3=D7d$KhsN+u{X-4by%-F)O~$=|CZgxmlUSY+~Byb
zMfwTb3dg(#sV8i!YJX05MeXk>e1E$6R<eO>*8Ja}%uZyjZ_W&<mNi-Q?emT4|5IPq
z{xr?M@qa0}1ha8;50alyd&#Qyr~dbAZ%)7evhPpg$9;d2l`h@S+Nb}2O4m>EvtOR>
zzf}BiddtiY^;@4G(%;|R`}HZbR;-2Ail^+AWY;q+P@iyb`gB-BIDh|QW;=@)&68_3
zupVu+x7!A7{O++K)cE!5!%>8Zv&VsUI3vobG-&Jj(hSMhA1`+^ug6isolh!!5E|$`
zeddKrQ#UM?Rsgqu7evWal%i!-?1g@X##)OHTfrd~QTX6tVqwDB{Lj0$Za?|RVRyY(
zdeha$Tfaa4UG$}!S;aC)!9ad0SL585*QRjfy{_zh@Y+^i@Xo!@LXAs`l2w^1pYBj)
z>OATN+9uu!+9vLzuzwDC6;8Ras%q2zU$18g9W;Kv)1|=cN>9o$+2dz8TK-5tP)cCO
zOhHgmOIvc>Ute&K8jcoNoKi(DN}2Z4kOPc7lIuWqqu?Gr>^kkcRhzE6$Ht~Pg@+$n
zm)8(;mtp6w#;nc<yy)|fs!cIU2Nb4r6m=e8+vzgFo5gHKNaus6cb5nql-&zHEJ~;`
z=5)Sllj(Z-A8z@RRheq*XGOFooO#XOcVpF~19NTl`8*D-vG{Oyo;drvSGij(6xPdZ
zw@}y^QRtB5smbTDq*sjnNq*VMi<^{w{+>PQ(E<CvxxR%DBtOT<SeOz@K=*L;f&BIP
z=7{+=p23}fmgC62=^HHy+^$?oRohacn)8zF#3kMdOQlzcv7bX5<8%Nu6d2fcT1@C>
zHiNVs4le0p*7w!s>j+yHDpTQV{_<C2{?}DU8DBq)E?uz2{72dU)Y$!N8(1{HHkSS0
z(iiVs;FjJb+H@<M!|&ZMQ<kJfK`I-Hr)W9u{_l{z`V`Ckca<|ASlj9g*KB{D+O)e`
zT37gl_HkWd51lZz2@yf3Ky8Usrk{@^U~LJtio5><b%kwyTYipFs|dc*mvYSZ_#2KE
z8A%8N9qs{6Rb`-5CA`NHd)k;Er&i&OlBz6?IKapwxet`8g!h<Y*J<CK+O&DmK0V`w
z>$MZ(%^mjTHPqa7*y>YIhMxImDop1zIFZ^Eqqf0OPxy&ZBd=Ph+K;&Nr&*TktO->6
zQMVq{o?qir;D7!!s69IK0sG#pnGNd{EzYc0ydk;2?ZFAoFpgup+7{fk-?=&TYGZYE
zoa}_2$kGS<=7qCAnZ9x3tA;&AcV0CdNxI6|sk+okVWL@->;%}EV+CHTnB{*=pY`g%
z=I1-DK5Sbda<T{8A%p^)wU>gH9QR+(w+Girk8!dU?kIr;JIBx?Icp6_=Nw^N&C^#0
zb_V)RpBZp@>V{>~3cltJv+@>1$y(^64Z-ZRny{K#;>jzAb$mAz!r6;T53o&M#W&}!
z?<&4O8^FUPVX_vhXNSn{*uVYds{;w3Lh3d9xi`PQGK-h~`Nep0QYgn0m+i}(J}jOW
z&LQ`rvh~5idEp#S#5Zl^YTQ$FhpX{Ok|<NB>QX0AASq6WKM9^`**$X-*TJ>xSFIG_
zaT9I&HYbGRRY8}}{>{5LC9lkyGWY8#x2R{a-M4%^<~oFQ`^<F^Yn@@$xWdPDp<mUX
zlE%95D{`sFz(-n{vIwkFYzW|GYzbn39Bx(8Xous3JbP=V*!J?Pk0r$}l!;xi6uGcS
zX@RbIz}IsNJD=t6Kh31oTqzVF>oc+8)dD{U?oH>Lt_I()f8rZ?U#QyZM&`Yh`DV-J
z?)I_1Y8e*2T+VSxaKAz{w{4Tga=C*&#nUC1%N0y55X+k_yZ!8?+|94I-7LQS#yw}V
zM6hZ1De(uQucozDrEU!M)BIPv=3kCorQ`LQAmiB8lPfL;XntI@_t$3+?XL{KzZ`%5
z`mvN<q{;W#a;dMKzmnHFhy{NOE&u;e*L0S}_4`Ku-{t)huRL8G%aN1KzsM;ex_ZK~
zs^qFaU(`NUqmNqLjn|hzYk!rP*S<$<x#eH5c2BQOH2<di+34|ejr<<xMb9<z6|H+d
zeBUH}VZpo;r(>?%mHhdu9BCC7c*9`#;?u~xTT;%id*pO~@uu|G33|4>JIt#}C)iq7
zZ{yi^Z*%m`&E2QYE!nVlPww5!>l+{C+P}LQH)nt9KXuz%ja#n$oA#5x?CiRq(a-8{
zJ(fLr>%P(d&GYJIYQE@xwtt@+wL!3SZOZyHwpUiyo&Iz5ZdbwWhkc(82JC)&{Os)S
z`c?PN*6w)z?eFP%<;C?^Kj+V^U-JCE(f`-?X8pZ0HGR&lxy$lrPR~g5*!%zMBB`&-
z@@Lk+xw7he#`<c{FHSBqIrUva4v8yCE#Ma9z0lgh_QlENr+CVaH^0%A%`Q02wzT=X
zyLG$KW9#bIGED)`1zN1y6|PvaM2aM_u^S0ox*UHXh1aiteq7uS2b3(k^@5{ElH-e4
z$rFyLg!RvlYx?ovSKmINEjHSJa+@!#Y}&D2{Op{0>x^_9d(J(+boII7<~lj;izoNY
zZ~W8z=sBa`bH+u_52kM@dn2|oreW*hz63+IuM_y!_H8t~{%MWDbp;V+kHb5!g|>a2
zaIvzc;%nuaQ(Mnme=0TS9CM2B&TGmMJs%(W$j-as%D8w-jM(4un6yLn$ESYS|CsH?
z{X*t1?{_f%Esx34Je+DTggP+77#sF&?%`B@wBCM$p7r6QbB;etIwvDm+!p>;{9}^e
zsnFkRy$qLcZ9O`t=ITEFWxHlvUL{hzC1A6kp*wr8NO9EEB){zFZp*b1hR6R&zg<(Z
zXVsF+n<n)}B<%j5ao*dy?r7ca|Fh@CTW=J&*}r9tkc#x)vj5v{*T4I5_1D{f@2l!Q
z9&vj5sB>EE7ya8D+W$^i?~eVF?<!~66YKo(eB9%owfaGiGjktIsy%xmPj*V((S1)I
zuC$tTd1F%lk+h?7n$c^uzKP7<u`B6mNBxV;x#_82Ce_8ReZI7I{ntHf-fx{Z{rlc)
z#YOf-D_s{(oj2`o8{~+v)!$C&zqR;VIe%Y<;?GyLozH(H+UQHT6*k$WNBlqd^H2Lj
z%Y6kBKP$E>*e)zx_hkF#E#5z$e&23lb39e{WPZ_p`PU6fKc~u-luY8^wjkt7{`wV~
zqPE!~T3XAug@m-bMr0KPWc_?$HU0VNJ>7w`Ij@R2gsk$J=OES^k;}Mb<LS>|f4qD;
zwSR5#Eu;793CnpGb#A!Kws4gYN31x*r3g>g{`ql1KYv&)*Zur3v-tuHnUvkC`txPA
z?Yb5AZ>Kt>9%J4r((vMCB$tDU<}@aORbmY$F<&o)X04K&A5mKu_BEo@JMGYC=7nH%
zar4H{%omNH8Atz~vqNf;`;Bv19<p{RdUrK8zdgVC?e<UZDh4OE-JkOIb;28O^O6f4
zZ(k=oo}QPHnJ{VF`ev)!`W|1oKmD1uulsbHC#cA*nAEv%ANxtS*!!i|uGScqUat8c
zurF$7#J*L_JnDA;@2WfciQBx!(kbId6^rnn{Y3|-D_`NNGhB98eBC$M{o(O1zrT9@
zYwxeBf3@|0J+uF6|NeN|{E3L`%dczBzF2xG$xWYia@6AE+-F}s{cv7(U(tHGKb5~K
z?tIYr{pC5R5cP|nasKri?k~rMzZ9<cbTm!x>4g{RFTDEfcWrb#KYylucK#2;zy9mK
zHH22Ff8N)fZ>%_zU2!jSWXtUykK`)QId8YLuUqEM<oB0u@q?lz8!umbygd4C=<7M#
z&a^M*b%LQwH!@#;Y!8brzZEI5dPid7nfWW{yC>>wGI*uHy_j#4L7!re)s3)3ojYRI
zdEHBICtc6o_<Y-q{ymzvPp2v`)He@*vrGHi9z~f@*V>&k7VW#^veEkf{AJfY`mW0R
zay)(Z@mu1r#YuK6)=S2n>%acy>U?*t73<|svvjX%yl?f!{$AAL#xG~z&2<i9eqGRI
zTdP&Ibmy5J4l{xpRyb^#c|rA{Lbvi#hK1T^9oc*}TjlKUE?I}8Z*;ydw6{)j{=0Q=
zYO~khn>zEut60^Vuj?8gUftbqe8KJ=Ysuerw#%z-elWe*nyMBP!Kt6qAQmQ|mvesR
zSMGM}n>^2N@^t@bJ!~bwpL0}S=Gwt+GZVFEE3)0>Ic{+H+LW1zUk*KNI<sNv<ApNY
zN-ihV{b2ci`1ox3ZNJ~={!BeAeoHvqO?<1CHP38g@0zCQN00v=O0?|ASR{L-s;g*1
zRG`ia<%g|%AKv^OeS|0aalBHDPqWOn-|Jtsm;HFP?^fc%tcWYIKchp|{n9n7I=WtG
z-iua_+~m9+Mn9%^$lWS_!*t*K_SEXq`Ir3ng>Torw8Hw|^XgUaEG*LI?Z5E)R_QGl
zqxYLGr8!-%X$qLl(X#F*OXeEiCCB~uANsy)#pRPeFG>`ifYB5t0pB_BL&<9|zFv%S
z)?_4y)AYSf6RtIxd<$Q2+~54SG_Jv)jBoQh>-56YoDPN^YRYY}o1We9<(9+M*ZFAu
zfdgxzYySzYuiWzM73(3_qp!Ap+<oqNW9fvocF}uzH(m9=^6KsW6*oRluc+OXopt}+
z{Vfmj;y<g#t$!K5O~RsQM{RYg{@d%^rxVY-%iee7_13>#cea1Ay(@Lldaq#34D+V)
zO64B~`7HMJmv;4kzOh(&XV}k`a-T2rl>T{qph)uFwGf85>)-ns_wRcj+F<_W@1n$?
zsaMQ8yG^?pE1vFPWdsjtYq~OamK=6%408%}_?H3R8e@Op$RdY}1+EVy`LBnt%ribe
z|L0y!Emxz*RmnjtPFXw<v`OR067ZCj=B3BW!`bt2q|D{v>~_-F8@vAN`R)jz^vA9;
z3asL52q<M(vhh`eTz%Op=2D9d-_N_gjZJf^4nOoQui?#IhMT(^Be#G5^YNbZ;d{|H
z?-`!>X7~Ktx@ODG-&bFL^Y=<!D))s;=H3&_Yon*f_UxP3z3a8~vYEdh*8Ti8dG({b
z_{Q94alhJY*T4GpcF(#uzie--di~z<e)+D+o6Fbev#ozU`#}8VuhLbWDt2e*T`O(g
zzh-kN+y7(xr2mA<npgZZopCV!rl&#0o}~5v&WP!+m%IK*Rc>=dXL@Y6zLZ(T&&d&>
zr8t^`Kkjdnj~4xrF8^wJbAQ=|`TbFSXId7qJHgN<i%l{U9D}DVKJH(BS08jNJhoAz
z*zesJQKoWtDLetA-ks~@>ic58dk0>hI`cy5)D5qt6t0^(tjkWg7Hb|~Z`Z#rI_3IR
z$Ff-2l<RX#ukT$t`^m25x%W!hL$6<5yf*0W?A_~j$-m$A%4@>1UGv}i`IUCR+@bfk
zr1;jM+OVKpyK3J>=TB*Wdv*TJ=1;E*Yr~Q(<Ld8~O`m%ICurZSPxooNjmJ{ijsKWF
zTzvNJ^u@EIXL6cv_o^t)ZZO-<mQkGTV7`5J!PE6Fx}IrHfh>wJ6e*H4jm1ddQj6-#
zkC$VAf{*&s=u(gZolfo|*aAjN3<Iw`UcUR&^|1QxDN7eT*uL-htIdb*n{mw5>R9Id
z?|$a9FC|kKviF~ReC_J<#QasQ|9_?2JMZwX^229_<)0ZYetuvaVO`c8a&JQU4MCk_
zD<^#l+?Ln<k6G3Dp?!eY4|xsdFZ@#&f3cr(`1<L~dUw>JvxI9)?d!k(Sg82-Xxozg
zQ<us&>-;rV{=2s8%l5RuU)<vVjDP9<DK87>-XGheb8NkSobI2R%wIEW_nX|!PmQh4
z{5AVm>9zkV+y5Q@bLq?6kKUKrH)sCQR{#I3?#{3Km-yHIUt7Dq&hw_!wf^{r#b2NA
z{dM9RC<*;iomRS5{`u!aiCZRbueUb7T>tZN%%|(R+LP>eYJU9x|Cjx>AO9cm{H~Xs
zRxj8fe|dlH*2N*Qrx(TC6gXY%tj}1uUuxp9kf8qgbqjyWqL1dr>HIi`)^%7e&h$<O
zt%t#DcEmmX@7Z_f>I$yOR!7&aIrqcz)b5uPURa)zKF6oLR-ALf_5^mRE5emCckj|Z
z6u29CLbOLEdB>UUi%ui=D|%wHR~+8`s<5o|^659PtY#>M>pxnzuDRy*hc~Z`Zd8Tm
zn?8GGHDeLmUcOf`dqESVn@WBjkCYEDlhwXg9CrWhpGnicKR*BNGGEHm=kC!HV^5sA
zbNkn_r{AhR7Zt9&*tg{F|5)uJ{~c3z-rjw$efsri`G4E;j-2XFQFXtvHHuHP=2pSg
z<*#2z-|boi>33Xtd)y^l|IxgE-}c29{_ESf`<G>H`Pbs#&tAR#S6MsxYuW#~f4%zm
zaqPGFm72S&H)i8rb5Y0~>DoOrHtp`(|Mi8`*JbbP=IysWTx)&n<y6W0lKKV<)66-x
z+B>ee$-7D82oJbCWWMxx`Rkv!j`DlS_5W@LSIRNa1rQma8JAbzn<ub02ke($V#t5(
z@$&3{xaReEr!l?yFm3C@{o-%iPy5{94?py`fcXl)xx?QA=Bs9ZzN4*(H+Y@<qh|V!
z%8=jJT5f%?x?#5Ud~SvOnT5ArFA&_ie%r&+4Y^tS51Q?%NI4z-vAle*X#M3wufl9%
ztN*X715Iz)IJ$o?*(<8wZuoqC=F$20@0V!*(-eG}-+R9+|K-g$*BoEU8~=~H{x&}7
zvx)4<n=}8`@6Y;wR88uOYs|0vcgtV?uRFf<zpeAj>GzLI@%~!0=ufLT`fREgXg1ZA
z!M3q<*5_X`ug{*=PX~|q>Apo;)OtL7i$lTBLQ__zU*BH-)E2lS{8edY;bB94CPiyD
z2#OR*iUXG`;x9j5=4P?SH3ufn@eXvWflY}wV@F$_CIdvbqBUFQnZB<-Ua~g*##P}*
zDEvsgawS#Gq*OKM72Am`yc1SRuMp#Sht|n*&=YvV#K^YOVFEXc*$LLB7=;Z7mvFI&
zf0@k1^8PKjQWxcT=c_FcbK`zV<AW2OVjT0Hur?iD<FLTnyVhZP-7J0GtBkhKu8FYg
zy{ayB5K24R*!??BX2SiW$GVt5ohs~R7U~vdSD7~DQG?5^j6#QhY2X@r|9(wPKAXp`
zrbiin`F;AiyWqcja*VX@dEQ#~mUX>snP<ws{CN53{{i%J!254AdU2um#vbeBPrcCI
z0>tFc+n{pQnw7g7U$y?W?~M!8H-?`t`1R1=`K~A@678r5ok(P>b$U17GtYb7H4pdk
zZQAoXLF(z=t26ezPUw0P%U=2^E(Gb+!S_3t<X0E&D~SJ+v4c5tZSBK<zkfY@QS<QM
z@85frBYz+Id%t|O_`CWA@_}EJZk`wXI`P-6yT5k0%e$Vv{g?mSYVmjRx7MQ`fxqJY
ztbY&pW&cX8z5b>D%ilwPPyeoa_|N@!cGoVI>cm-kccy2gy*Tt&m@njN^~W2P?@s@>
zxz#rXlEasoaF{N2T%n1c!<})>DYpK1MHxvjUFvwE8Dzkv8SJk=UN-&z5c}bv|Jb}4
zODj(Q`n12t>_n<s&IIj;Y&#jVCP*(}+u4{E|1TVU7{2!Bnr{+56K_4=w}*Grt=9=s
zSL4`wSNyg4_VoR0m9J0judUB9ESRDG;>AC|p!k1}|N4G^+TZO_zxwCCKcBTBC*3c4
ze+Yciz03VpGEcg9|2N<CXk)V5(Z+9cMA%;y+*$m(psUDE=&SC`!eXQQOp34BAShBK
zX&)=7_RoL$@$%Hq*FnLzVh*JCpCa4>MoSEzUU_`JujtxC2Tu83t%YY=yjHYKy}+Qk
zkTJ-KdGorKw`*F8SG2e;y?y(XSBGQRA<J+l*)ubRyy8tAIqh$*&tZ)Em8R*o;{E+i
z-50-?e{`%pY$9uOr}V=UKUel{ukYJ8e7C9Yo;~M}=+w6Si#K?$bnkc-dy_wZo?hf%
z<G(-8e>Hqo4msVk;^>b$bw-n`H?AJIyw~sFhiNjpzZ1N+yu0{6Y;ozAx%VSq%Ph~h
zo4?3U*?8f##tAT_(>I4L<-(N9FFs!0`ffYei=_}RZsBVIqa}uGFF!s%@!DU#Ri#G4
zS#yQ1SurmuW%GE=ld|@7RJ-G~U296sPDD-LdX8=KrCry*#k|go@P57S)|zW8vt%BH
zeP0<Grtayx#i|l?2&r+HI-^hL)sy${f*WR?S8ZQjky^btfB(Gw+LiV4SNUdgp5<;i
z14Su?OJo(Amu+$GpI@i?ULHqb_cHv`z9r(`$nzwhIGw=g(u|r{A20v?y%gjzEXO<F
z{P_N&{^QiWGcr#5Xx#FO*upy@Lt5dYS-{>&-_cLCn^C&|eE6qxi|=p$G<A>FiMsX8
z&Zpl;7r*$veA&;xS552AhkuH@_D#F;sllQjTf;xq?TVlH=h}?$PydcS*>Zo{y|>=)
zKQ5jB%G>_z^txSqeK%G)9WV{!a5%I^qF}8k^M~CxZcN?t=~Q7h6XeE*wcHAq+_ITJ
znePT~oA~SNS@_^vaaI@em#a6P-VtT@>$948X2;zb!i^Ion;}St%TS$3k|Xm_=a(NZ
zk2Z$mYNX?ycU&&c9w&;@!8yt(pvBh^P{^=k<0DW9r;EANV#4=u_ouOGPL<(@KIJt$
zxyx{JcVp!A??$J8D=NO<Y5Bfc=Y6r>d#f47mG-*6_tw7--^Q@kaQ5fw)%W%(Rq2X<
zzjS2p-c8XPwnt|_=-RdY_xkrg_im57K6}BQ+rQG@FRgE!wqoIn>fQg_=G|}g-lW2G
z|K0wL+e6vvYwz>^J9CLQu8ueDL;4g>wtmnx2R9!*z4|z6&yi#?{f}$1Ke`@f)89Wy
z)%~>H457yQAIzpq_SfS#)OuZsPEiXn7YKr)2}dVxZ{V5rNzArx*Ma@G<|Xw$tVgYV
zt63GnDDzC**B>vL{_nz3EWY9R^J4nPUn^Fg_Q`nVbpu@aDg>JcynVM{5p7yzbDYA7
zyMLV*I|M-LVT0$aO_gun-M`?kB{1jm>KwxYiIoCde$RiYUiv-%P55e-D|hd|y!-d?
zqq~3i&q-)ZIP>nGVRWeUj?4(@2`!Pi51#G2&SrPpe+92dX(?mn(;cOZokw3W37y_m
z%II<{BNw&){rGCuqXRMZJHM?ARG%cdUAkCFz$h7lCb%j-a{(O>Z81^Key_y`9M_@M
zRTVzig3>yK8*uDQMF0Fa84FunvusBjjj^onEJ#D2Wy89zX~)v}Au<AMV^&)f_{|KF
z`4Llp_R)bv&guXD?|!Srcf<Diwq;fye(norS4j?&{o!<fHFNx%{4G|XSz9ZGjS-~|
zNuDb~v$kREPx>LVw#;)Ty*e<zX5%s|hx_Yn>#sJNyDs%ei^=!ta`5PNgdnlj86u55
zEhdVtRe!#`I)H0g^2@7@+d-KResS6asb&Z=S#}JpGaA=|<_K8}?Nu+0gtK-DT`OT;
z@{-NtGH=Lg=5(}vA9x0hp*cqO#8pOV$fR0A(A7r$uaj3X$G-!&+d|p*UGiVSx8n8M
zK$#me3!WaBynVIBf>*muw>GZ-w%6>#)_vF6^4?bGI@F0uujU1<@8b2)36q`>5tPL&
zl(~2-<Il<9^<Dq|EL&n$@FjM2HgofS+h;HCa&O(*=pncuwEy<kirv}F`O#}#KD|HL
zl+&Ji?CG&794$vAASflULld;r%d=-v$?W=StoxUKf45%bcp9<k_@p`q7<J5B#kyAI
z?u_$T7vM*h+$mnXNz-^?j#lDL6NgQi4Jnr$t`?r}MqMv>;E%<->`jkvonC&VaJKQS
zRcpg~&5p*ePMedoI`sJ68T*&Le5R&reEMn4$)}U7m+q;3UH@##C%?79tDk;)bm{4*
zu4__7mC^RgrcR%-Zfa3ON7%OC@$cPxK5g*Y{j<RQRP0piv!>4{weR+RS2X?3Yq6Pm
z-Dw?fdeYjqf4?iH%9}Q!{L5W2)$N+wPR!F-@A>hF@Ry5k0<M|mo4A{ZPq}eMSyjo{
zt=RRm+h-T!BO>N8sZH=5a!Y1ExbUoPk$K61RdRf5Kgib#6d!p0XT>qb`KIUjew=%u
z7N1tLJ8yQ4z$Hxxn&7Iq?IgP-$41|MlS<fY!m$Rm^@E=%TT)?L>{?2^KnI&zW824?
zc9HSE@KnQ@oT)yXr)Mxu0&nqN#aH2omPa=+r?DSVuudp*kWOKrBhCKDL;ob>e!<cM
zt1ruZICs|KLGZT2jPs2w+5bGdz^Kamtf8D&HlWCQ9rLN|W2Fv}yI(a3&9(%c@xnf3
z|9bH168*H>vLDXZ$3AX2KPR2NCck8|zVW`;?Y?%fP3a<_Z3j=9d0K9$#e42zj>CRl
zHeW>`o~?o6?#<I?!VbOt`Tu6Mu)@t%M)%9ppIR<2()d01@|_oUclr$Y?9LS~$aFOd
zee>@6CAqxz-aRk)<_m6bza3lJ_PqOdT=ipK^SC`7((f%BxE|Rk@L4oiFf?^(oLivL
z#q76Yg@uCFxhCdCFIK<*{V)Dm{ndM`vr<FftvXd3#xil^tL;YLf4wiAbnEr@eRCs4
zq*|Ps12R-Mzi625n(9;KBpH0j2y|d9!^s(qo0#L|WW%ZsB~JaYfh{9~*<?*4Xm{d8
z@a{yZn~c(r8|3fIeA2MpRrbT-Ouipqdo3Ome3spC*RuTh7nKjv%A8X`$Ll=vU`j1g
zpXyL~c8QQi@^saJO*2`%7OnJNu;-wdtghyy?PsQWKkzQoouc|7F`08t{v4J#!~HdD
zwbp;){y$Z5V=b%xdEW333cF5i7q#J7`(Wx4?t9T{94hB|nHvKX1h|%{#NJysM*(!q
zHH%ZDgT{nOC5F4Rnn35u3UIJ8Ep+g@rWyNqPX65ccR7pRZHRwg`}SkVr|4Q2lc|5o
z-biNJIyS^`$z-f}Trp$&S^f1U+U*nP6-Wq3xpDF*JG4(cDZ%^s+Y5tB!hFn5hczB1
z^1Lt*_D&PM7u}m4ap~FSC1>|65!K6djk&ZXuzN{nGOy=lw`p5)6*Je~Qt_3(?Qv;~
z;Pfp`JZm=`U9k3V&dTRrYD+~T&uq2+zU9uzygMsb&w6@o@7fdQ+&bT5jq~-=)|*IH
zAKw%E@z&hmQDuRfvM0nAojH7KZhq+-rS$b8!rA)qM&4RsD%BE`=B-$NbA3Pimq3v<
zcX#f6T(9qO%$W0cS$dUF*>R6U`-~@Tc;Bb;rh3ALvghaeA|rNx{_E#*c-rr}3xT5A
zA&0q2Hzb8JYI}VOT~Q(Wda|DPhyGum_rG|&|JCC~c6u)#E7sbq>39F~afRJAt+4gK
z%2PL1J<0T4w=PyzcfLpedhzEo0v2+$aD^&_tYDJl*f`bZ#0By7wx9#F`!X_KaymB$
zWC(EaIxTe6FyUwoo1~X<-No6vpFeG(V~GJbuT!g*K#`h&R!HLnV@=QLJIdXhz5OL1
zNfD}T%cRn-IMI7sUftTgC{^zz=jj-)9cNM-PQ|dTIFq^{CU&=()tWCYhqWFi@?HQZ
z&Yioa9p&xS72X~b``Jxw`_`kr(px*Cg;!@U3MkqdzjfC#v4GeZ;lG|5>;7)o-1sPJ
zdrHaLQjzOg|HanaShRDl&ynUWs>@UI)c2X|ZvP#4{O-HsvgNzym)v=qQm3Z7;bb=7
ztKjF8xO0rkb{<f>x#oac=e5UGQs!X^lUK%;Y+2&*?8k(~hwoWD>Ro(q#Z_keE$a_4
z%bR{XQ08p2f$KQy8m{Ft&hK6<q-eYEig_o4BuA!kV5&hUL*QD2P6owJ21zc%&VWgI
zcJDjerp+@j;b>)BctHV{H)ki=JvOe--_oLDTNf7Q#I#Uol8~OF<O$U!wO4msxUY88
zWsBi%wV)?LLQ*$fwq0`BVz{w$OO~-<?h)T58dE1so8E9gr&nglQ@&4^`>ao#w~F)I
zwfgZ>6;1UB%a^-#%>^yHW_HN1s%^UL`{Z5U^l5cB%0w$)+pP2m)Y29$o47IC%hRTd
zbM0b{sS~-b_Be?yo-r@(&z{9qfjgr9ELxluxFSp>PVZM{_Yx+1d$)SIPS=g0PnB#>
z=0@oLivPdnkdU0^wwAfP8(ThJ`cQk(b>o*GmoA7MRS2nITzH6^Lp08T?Xj!jiGn>E
zVUyx?tLja*d})EC8dwl$d#)F&Rgj9RIs^|Aa2lDq#QHM(;)P3(vv0njU^SzaZDE!m
zM`*XhiJ1;7qL!ot&soXkf4cD4=Bn5&vy^QUP2D-ei+KV}k28c8^PH(NO;0adF|&L{
zk?Hc#q7^Y`Kf0Bzc>6Tq){3JGmj#~vnX0~U*5!A9PPwewaJ3_D{*i@WCTUKu+PkF7
z@#WD&UY6B=vwyrlKUGG1RfJ~x^0%zptiPB1VY5A{9NqEu+<NP}=6ieRP72++EcDz@
z-{Yq(_rI;Me7>)5dU4(Lne*~bibPpH-)nt$XWjjeMdzx^)TR^{Oqp2uu$2Al%i@!&
zvXc8R9iEV(tat6w|F9{=PvlE4UYumkSNV8@d0)lj73NuAxBUMkE;{d9huZzC<+UY>
zdbY^N;PM>fE}ZbNLUEnS-OF|&yt=lDNI8*h;RUZtwr>w#ym0Apb7Y2q>=~!#37;BZ
zNW=5{7U@L`m#Xu?vMx9hqE5c4xK;7XPDs?~B`5MBxTng$)|#N@!_Ds+f3Dl7R+TW(
zR-pGy<Lu{+YuTz6+z4x8w_7T`d#(Qx&4=6eEiW@Kh`v90`J+aw37HS(neI1sZq1M4
z`mtr5_5z<~%?FovuWK;co~;Gh%N+D~^2YEN@|$Kdc`aJ0y<pFNaJ5l>W}5YbzyG!!
zVr=(aclEAB>=W;P{p-0C`JJp-A;?G|sk>&vLV@TfpcB^nU9l80CG3AHuqC<j1G5()
zB`rmE78nXKvgV6uWp@AVa^>O@^%4`+HyLavF7ixREIFgp{q)vdYF1I}u2w2r-+E>_
z%S`H7Mtb?(i+P!AA7wme=`%}Q`|hOlh0Vd+oX-ENtE&3eGjZNYky-O>i<hLI_qu2-
z(<<s4XTEaL$sgJxYYS{Ay98f1uFTf8iu!bH+U=Y2e~)unOPlO;`hN1or|2rDbOuEj
zlI)p&U*Yh_Ptl&c=GJOq%PY5UE=SHQiWeDSC~#6<y16@+u(`Q$^T#i>YO4}2p=?~A
zqFpk-mrvXF;Rde?P@8~J>KbU{`nn6I7yotT)MuppzHRe6JE`^E9h2YI`Wx)mU9jE#
zTGYzYVT+UczD;?oHJ3s}Hhl8)wXC0bpzQgdb@x|lF8`8{+j+p}H1qt+rTjH{*KI#k
z#(sC0`M}h60|)5bnU&0^ZuDQCztczk<{4(yi&ty|)G9AtoTN1Kv99>R`v3Of9S>Ie
ziXA-f8vg6j<Lt8Nm(3G`8zG3N<%XAhipG(!UtX77KYYG;;gWD0I8noDo3$#jEc*p`
zb#3itw6a}1sAB@zV+tmxPAU=HqlzUs&n)7oGt5f4BrG*k$jpd2B?WXW;S|>o-WL;>
zs>_6N@@F@+nK~SnI-xYfRiIa=DUxOFMAr?5N*cc=D>R*df6V2>xw)Q7KbqdLxqJu=
z7CgwA-FWw<<pb{B?;4DFpEc;e+Qoe8j^C?>PqRE_1CG_r`FDm-<K`Jg)r(hT1MbCl
z_e~QyX`Oaj_QU@__F6I@9{xNne%j(_)jk<fj+cd^28|4gq0A5@$zj+Cs$CibCgs^~
z2UW8fw&222@iik11sYjj3vOlB{^@naYl-?6A=MmJwi8}F6Q)YcDAhh0f6U@{SkRPx
zJsYR4W$DdPot>_FD`UpgKQ+svPp*<zs4g@wDt?r=-?GsE&$A=PCr%I%uH#S6F8Zrs
z_IkC1y}f*$=wojm6Rv++ZnkH*4j=G#pBCtoA>n#bkIS69)1Y+ogzqO7EmqCX*%@)?
z)BmuJqngKeMf6;6kDnXAPiA`f-PZM6mfjD)n5(v{Yui+_6A61Rv0c2nW9=5ljHPQ;
z_D=e|b%mTqzx*wy<_raH-bKq37do0OYGqTjWsvN1*7hu)bsLn8nIkg<gbbm`Hk6y!
zY2nl}doG7Od?T~X=iIr4y}HGHfvPD_WL8Nj|6Fm@a?8fH;tXYL_cFfhoJqwQ%I{=Y
zIo%V*js70hzplU6<z{G6|H`(1)66g5cwOJ{DsW-Oo4AjfE6ScPjn%J<xnO!Vqde}~
z*H_2g%crFr7K(V8oWJtRNB4iFt>R_gpAz@~iLNsHy{E9reA@*Z746x_r$66&r0{Ia
zk|T}j8(U5Ux(PL1m%B3m{DtGC8Xg-B|7vWz8Gh(~Rb6Y6&6<<n*Vo$aS~GQCK_s`+
z=_rAyYfTq6iKQ6CU2EbwemY9^<@fcq%2?9tlIZj1$k~74A`T8wSBDc-4jxyhEQvn9
z9c!T<alYbLUjMY5CF(jiH6BjtWz@PUv0zef<EOvb_xsRGfbgzQf3r8|=dPW!Rdi=i
zi`%wsXE$%VX0~}-W=Pk(ZQ3h?zI@7i^Ka|sa@E?a543kp{C&M__mQ`no_FH**S~c*
z`7mm-_=KDbf9+l{%71CJd(rj#`aBccjnzv#WtSa%@-8%Xy7kQ4UOTlPeP0i0i(Ojf
zEm7TiPjPnWOqGjnChM2}U%SHS<nL@yxlnmrrl{nGwELdIE7Du79;_6fCi_9~XFq%R
z1CiG|m9+#eJ=RKKVsu)?20=ywNlc7JB1udORqj^p6Xw;mUHG-(MS_@A%NlkVazFVd
z!e5kc&6C}M+7stp@S3t=s)T~Jp+i_w!nL(3*C(aj_v)(oFC}%;YuhESEf)>6cdmFH
zR`l+UR@kRk2fSYVGo3Q^%OowYpwj=hn!Qz*E)x8{{^XJZ(U+!bQm%*oW`DXgF;zrZ
z$}MnH_o1^+N<MdWp4e`Bk#SNwT2D+oK1xxn_h0ql``zmnFIA8E(|qBPe2dtBg)KkW
zC3~)4R5<+6D$28}@Z~vdP4BpvUv=1Oxa(`=U9c4Tz8BYgocX_?u9EXq`o6<vdw<<}
zoUT_LtGp;(Z~yUXw<v!B1?d#NFCxp9=7v_ZZPltz|M@06)N7-=^wx~2X4fug<)*AX
z6_wiSusUjM=2WvsQK1upPv#%8yj$~rN5Eb&r=|9@{r6^^tIfJs`^wb1ME269<#+rq
zzTOr0V&{(6L0eD1wy~d6zIxVotMk(3g>#pGde42|E<d{M?K#!h-G8=PuYdoGw|aV+
z_qNpOOwncUKOf_~r?FbB?e6=-asegB_tdA}mEQGlYUv{Z{%v>ezLdN%dsp1MiI&FP
z)y3CO+Zru)+<82&PUfaWU0u&Vn@xs$J}mfG(`L8sQIf>3!e7Ok^Pg28zAC!!>Wlr-
ze-^)JimE!qYRaLz!ZE{<*NHo8!odnhULzTO@Vz(vi!qx?p1bn@sz^mu)fjN|E&?^L
zRtYo)v~sj)xhRAv22FYwZQqNndH*Onv?9q~%K!fPMPIdFa=s4t+EJI<@G6{bMP2HG
z8sq!1XqC#0ubU$G&3u33!|oWf&u-s#@A|WDtKR<0Zn|~my_;r6Oo@4WU1N6awcfjD
z)IX(~e?G~$$ozBcz1}-#wja-bc3XD;%=b$s-QTLVe%Z|hX%*E%`+DB3d3p1$XzX_D
znQWVPZ!fv~E+tQW?@a%=;(+;9)5Ee3-_ZWTcXQ1XwNA4|mAZfPT~GSL>Y!&xogPN^
z>){p&i~r|+xSL(W-SXx4qP=HZ#J(x)%Glm&d%~eZ_l;x8%6#r>)tC3ae_qk+(eJ+H
zg2IzpC=!uMvEW;)a`$(gAhtH^-uKgy+pHIEGq>#F2P6NJZz|$N`S!dC57eJL@51RR
z8>UMt=o>r4r6pY3t8@MCtQV<k-=t=w=-;-{zwCB+&zp5WRrGgn+TD0t@_!MtSJ^R>
zDQgPkZ$Gr#cDXiZ`tKWien+HN*{N+-6Azxtba~@yH>+>gL}U*;Mx1<7I$3k3TwUu#
z(Y$1#j8|rA?^n6Hd*8cJB>DUFZ0(da7wgr2%zJSvy2>e*K@o-|d&2K29R7GJ+H=>8
zzgF0a=I)z&k-awIaRUr#c#3bnk1dnl6x{sr%U`psgiFFwGla|xm{StiP8{Hw!d>*;
zwSDTmf(TWcHH=c%7<jW2t{v4Ee=<v>&Q;9bWYdq_c|S}yDtzB;@=^Hq3cV9|ZRdwf
zsE#hb`|bL>m|OGO{%tKWI>S)@%Xa!AjYSP+!e!^5&MyCHJH4pr@}>jZ4>P?D3%`6|
zwY!wY=grI5#1=B0%2o?@kgjfJR+?S8KxNi0_ET5%tfGQcjCVg(+VMSGRLP@Wt-}4W
z%ZKvpkf%%Thri2J+p>#&;VPLE4jpUXIj+!Jt`hsNZogZ=OaA7jfB*p@I{_{(C#gj$
zu|MWrinLwvrQOj{Lxbb21_vwaLdnocdX@ffkEr-p*?_9}xonDa*%sbpU3fv^aAAh(
zX64GzihR>~iJrG6s;+FDWU{JnrF3u3N-@t%$D}4L>DBS%ovxCbqwIOfEoRb_?epzU
zHJ#N<`PCi2F1|{4)9NSheuy9c&p9*j;nxkaas0Pme%%nOZP&4kT}CqSq1Bedr5Vd#
zU(mb0uu{LR)XOYo|Ci`0F>ob*N-HGCQ`JZHC|9C?3G=VJdn6}Bc`apl==nHmhFsbk
z$6u$Ke?6A`wPO~;%M;pvmS;R_Kew+oz4g`w`ITAoZrSAaw(j`S&Rr-F)zN&xNhZZ0
z?sC%wrx?#&w`^*A7hIBOV_mpFK}4jbsVN{p^<`G^Hz~)Z_B>op;DBLeUAVyO($!~k
zOq;ywB_N7GA?P?YW$sC<<n^bl7Wb|(j!a)Ou{3RU>9T_9Hy=(vI`^H??y#D@ZJ*v4
zb?SXqDWA!{<Fv=jQ!&rpKh{(BPnupC^unm#zVo!rgBt}i^Z#vnW90lx?aQ(qKB9ht
zUFk06-<BR1-?vSKZQ<Nnfm=7(7tXDnaIiv?$4ExMb?TDSM~$%-j2SlC$OYphK7n0<
zd@XrXm=|(QoiuZL-^VrcZr_yMInlT3ko3(dA9{1xUbvYtmnhF}jNCs_*Zb@nZ(qUb
zvy|?iXmgu3E7>nAYPEOoU-M71rj?z1Iw?P;`n}$6sqNP0=hhY}-?rX0(cj>0+3A~)
zr)|Ej`@CZNoc)TYH(sCh;!WAbn~xW5zV7<GLVWIJ??snq{Sn)iw0Ym6*kvzG{@%Ru
zY}UH_E55z)JCfV=;j>p!%f=fwUh}=W{QOd0n6^?;vx&6eoN52IKT<QTWCr)i6!T@i
z9TUu#`RcazQ}OY>-&3Eq?_0aKQa;Q|f7gj=^Dgi>oPZ&=$F6243ig}`n-q7YvJ6{&
zT)yEmatlpyE+Y&DPI{Mc9^1gs=En(-UsmUZY+Ir}MORfPn$2Sy&x9PwfSX)0?<>(O
zjnd<%<-=yx9@iFM*Y&0%BjxyQo8#H07e21@F5Xkl)^}V!?AH&y{~IRBIGC*eblB_t
zt2~D<|B9p*r8mF(?#TaR0sj}rwd+dvT;F<b&b(i-*{|9&4gOYt_xPWZcm2wKr4akd
zvo5dNadp%GZ&-ea@ul>W&-0ne<|Nsk@8@dyvV&`eL&JnsjS$4sGQ**Pr*(#dhUfNq
z;-EqgcSof%5nD&4o~z>9+9fCIxg>gXRHHM&y_6cAvtIXvBTlwVU9LXu-FodeT<PEQ
zZ+|_ir+)cDf70exK1P}`=~e4H-6nom-tcs(@@Wk<lb%H>;;pk=U1scxJW-u;@!}-&
zZ819g3jcF$T#$b#``-0Q`!#m;O`BKnk>9DiULXqG8cQ*Vb8qH3{yIbT<<X_$*gDf+
z9$oUr(#ZDQmA2OeIcHo#x`2uI(i-kXvs7&trfDXgHFB7h+F)|}z?$r^e_fw$USCu6
zQ9XN_Irsvmj<nbtg=eF_&VF@I{PG&xrRz2Hv#&8LmCm<~`+ie){coE*sYh2YefYg~
z<K_K}-#wN6-)A+GdF7ui)5Vkj$(F8v;p2Zi<<pvP#n0zx-~8=+a$0o!y{Q4e4+grW
zwO#j3Ntdus%b1k$s!c6;H@Hcfm$7_qNMgnp(bAn?9$Ossj1!B!=k5POMd1kyHGljh
zmtqljPusJ65;v$oC=r5;HBD}q07V*^6DIGmd8Kkm*s4>gOo;i33fl=!o-?~9Ke=@N
zjkV`QZ~KfC^^<-{y_uGsYxWAydBQARILTN#>eocihnLQ?pEd4kxzxX>L`C9gOHtsF
zqfSabu}E#^`ogHz2%9}8=WAEFmdNVbK7_ZJ7ap}gk+7$aO|tL$MXyWV_Un7Fw8>sB
zogeOsJYao{$q9-Usl;y9>%yXE^Ww<IFRM$hEMKDjMN{=nFx!dcJQMsS1Lkv?yfekf
zjlHMmYtO3f)t)}BYfWKBO7Cr(-fYu=$9eas7O>CP`*&RIxV-kSZ|j!yi^g8R>rk0#
z!Mk&_<M-bS*lPk->RtV_anWnv?*_cfYUGWcM(4%e@zl^2O^o!6GoJV}@r~%W_MEha
zNg1!$H1gNGx_fs$k=^{1>&J`#0Sg;=|MY#(n)4`beXmsGmmjz8^)R&D;fA0Tg(E!-
zDH=z596Wbb@72TBR@r_1C`wzUx^V&&Y0i}WzUok;bgWRR@=MOU9-O-;G8QQ_KXGGA
zyW9Ke`>V72q(xQDPpRtVr<mWeF~8(?_|A)J&Acn`YIf{y6uKVy`rD$i=XYc;3oK6B
zyeiIo2Ak+Q2YE@&31=S6;%ZUez;^Pr+NA}HmN>M&?q4!<*S{0i8Q`3Gr|#e3{~?L?
z{Mki}Z@yj(-S(v=i@^zoj3m}?a%_7Xx^2=IrW;GKj{O|Ehcfo_lZOL}dM1@{RwrXA
zsb|V^O8eiRy=a@N?ZRBm#M?#=+fo~HPCM*m`1b6@hD*YHt6SPZV?PTLdC%;6@|5Yu
z8}B&1hDv4cdtqL2!rTed%O|P$Us=41sVr4}B~zKBwaH#q^SyJ+40Fr%-ro4S_U_I#
z$?LAai@8{MbMhSN>9*1p`}u1e`2SApt3UCoeD@#cu<+79&S6D$mxB)U&tlTso_GJD
zRK;Tt=KWih9htiW7@wL7YbqRzTiAFaO-rM5*}A4r@3+6m@SJ$&&9vPQR*Rq3v#`*u
zKeehS_|5Z8D?B{<wHrHGI5edp=!8Q@C(DU|j?R`zCBJvtNJUjS{bmFYi{0esfTI3M
zH#g4LlDTnGG|+nDybFF)Hq4b!ur_okOG>!*R;B(_*0;AyPR!rrq<s5i*sV@8sWkOX
zJpLOd_p_E6C33zxsG{cDY?rD!`Ow#8{j)PfIOlJgG`&w}@r(-wU6W!(^2CG^U!^I&
zp9pDNZ`|?Zq-c_3{D$j$lrBdvdY$o-Qykp$U3k=<L-(H}+v8G`69s$v!Y0L~>@vcZ
zX2oZ&>uQ@OCx6DN8QiDuVQqmTS5WC|ibc<vzO>%u?{fp^PnvgO`IHU*k_z*U9PCmT
z#H3VJmqfheY!5wjSZV=y<|!@qanZ!gZ>D<UGxtn1&C@nr*Xg?K`MKT4?rxZM?5;?L
zjQGrX_U~QQEFHF-_|o}qSH614_M1EI-dymz_5!oriYwDk$#2do*UR<y|6!MTsJ+tQ
z<?kt;@@nOmUQODQru6;r4edYt@R7d+@W@|u+G*7fpZ<p_Hhz(<J3INn>cy*TUVi+#
zYwah*eI#s7IaB$KM3Pq5sJ;~XfqhP{5p_-udKn3;lO5<jlKYZax?yR7O!q~%8ouPr
z_2IldgK?7~b4oJXDWQs7v_RR!lE&$x?42;pAv=XLr-!9(*KCzWb9dDb#&=mb=e+*&
znN8-yfe@JucX#d!-E}&^FJ5QE-Jdzv-y8jjxo#V9E;mZ<$Io@u55#x9YusLPmpQdg
z{VQnpSWY9^-mgB5e@f1?#z`5k<TNJlfu`%~2j@O(*-Y41RJgBD?#H`xpPsJTb3y;l
z_O>J6T=&?R#~f*X;dEc@qr#RB(4o-pXQIp&*H^!1X?(IXQ9*!<#i?=1lHE6dBTp}<
z%)MuN?&rUA@BAhdxBu;WpWVNF()~Bei&j3_o_yZpH7C=Pq6ZGnvd0hCEZDr~#u^#7
zszZqm84{{CJ&dw$YRM*N*cTu6@VDUM;9cC(Zn)sE&zqT$j*9>6^YhF;&kLLKZl{jd
zyk)&nHonr?6|>AXEzjll&%XLB^VSWwdCPitEEiSDY}IAUeVguWyWL0-JbfI#HTHB$
z>fQxwtD|>K(98*3nB8%x)JN?0qU3L0+NV07oDf}qcjtQ1ohOTSzE_t0e`flgpqw>#
ze<p2TlI*&vTk&P*uUUV8RXE7|9@=+Bw)V>Ew?f~ZzWbu`=Gr>%8{xb3664?QYN=hD
zEp+YOoolAt+2#B0^=8~Cy1%*X(%KoVRuLOlHHfPI)C$?t_0@B_>JRt7KjXiAjQ?5~
zC_nwn#|d_F*N!XystA$K7G3@BwAPWXeJ3_lvTl35NL1wI$FGlMgja<&2CU_3$qH3q
zR@^p;UGmqXt?4SU`_}bisa9V$eOs83@$%zA9g_~ew1ti<!dRWou{do7%^<736#D)U
z%b?dLzl*C6%??Z0tr+!k(!33qmbPfevaPt3+MpH7c8YadzYA(7SwZ~9rX346raqd+
zopbNRqix%EWG)sf%uZ_b-J-kjz_*uvYYwRW+nm<8_U=zN^Pt4rZ$-D+e&o6>sk$_K
z?czgb7h|Vo6<+?dE5C1#-?D>y-bE{~-z^)roZU-j9b~9-Qg^n|H?IB8X4>mK7k#am
zcdS?R`jfv~L1}kSGM}Z@n_lI67O#4vWIyohuPr#>RzLT#`{M4Jmmi<*(*5M<vD^WI
z*qm}Eg7S1X=uU+SY*mwI`2o-@7h;|rHWTJ#wN&LUqrDuqJbjTdzw6YDFD*vPT2jw2
zoCGhrNoD`zf}W?D)7V{<K`U;uQ`mEQnCo`We$r50lFX-3x{-zbPfa>A-w*TP!ULyv
z>*T+xX!K7z#8qQnzURRAo9Di`e6WrcKlr{ZmSz9-Qo$*l^FmmjnojOo(DT>g?@ZT#
zO*1*X7Om`F;PZCTVpZ2q+in&K{&~Fmv{Hpu?eyf&E*~aeoVxGJk6CxaeknW&fg)zb
zZI{?3xi(JSH>pJMPY9NJ+Ee_XzdLdp&X3gzik7OxvgG$+No<=nn&x*(&HU2hwydT1
z41?rMMk6EURKY(v=z+nJCg`Hvogn6zog$di!*TDXuh7Bw<;hAK+jG@A9`NsaE#~<9
z+hold4_dAsQ1|y|s=rYRSqu|+T{S?hJcx73bsw!pqi>JDq)&4=nI@#sxlFI|6I*o2
zmL(qdjH2}#?RVuZW#RkxFmU;s{GBXp@h|q*w5<B-dW84()rxGD4P3|HuI5^vasGLj
zREy5b=P9~cw(pqI1QecRK@qcJnt+0{qLHo{ciE+~zA~>bvsY`}?TMQaI>Tj#@s9o%
zA1|xE`g~Dy!n}Y*4ko*N896*fAgIGHMmBiC@%gf<s}D6A)he#?xg@MLStv}6d5I63
z$4s6xyNr7O-#E}3IrGia+b%Qx3SL(nxG^_Kb(Kb~$hxhoT&6x<t`nGg(d*(W``fkk
z`F|Fgo;o!}DeCp*RS(|@@7;J`ntjd3j=TI{jy3OTd~Q?py?O6Pf&4isy(a$F=cJ`Y
z%w*JO&o&D>>nnZx_MM!$S^sAqI(f+9_Ob%)vu}UR&C9#KEzEGce7U!G!?ZXZ*ZW_7
z>8_U8yRUoC%c-aD<gTv|K4ljrA~Lc6nP}Nb?*IQ9WA>Xp%W-{aT<Q8FTtIG7|Fg{c
zRsHI(AJ2dJ*!ipB-TOE0oOycYYTc!)-Zc;H)F=OX{_FFsdS%CXRlEM#T-vVWd)Mcx
z&smiNGk<Mfc{}n~#-_AcX{Ww@|CMp-8%wQ8Vf7-rWiM{qYyMBK{p0^@htrEEwc9~m
zq?dNzRcdus-#+omjn7<YU;XD}Cs>oiuK&(yy=t42Q|g$R|C1xsdqL!eT^}cXo3^6N
z!#^WVAq9q-H<mT<yuQR|TUWL4LpLan3b-6HkWkiaCfmBIn;*R|YEF>r&kJT)bTOC9
z!NblGg0d$2+t%%>ueY>fy(H|%$l;4X9=YJC{V(@F`Hqxm?n_SBXwL3!j6%80D!159
zsa{-<Rx~DD`&$<ybhhrk%>MaXJZAlklfL<W_Ji-n0W;^`cz@q;{*&+aYd=)4J0EBK
z{%fRVk49Z;?YH#1lb&o};kEnt>MgtXdR6ZU&;0)D?XJTwGxziEz26=exXSjP_txsY
zA=S^)a#`-AN;A$dxXrWUwi)w>{E0y)Y-8o!e=}A*T~f_7>FJrkX{O&<Cv3U4m$C9Z
zcxdtc{@hKL9~R_KHD{NrjGAoDzE7%D>1E+=Lv<!aV>Sqq<Nz&8=URCfv@Cr#b9}d7
z<Cm6T1}7vGbQ^T!m^phMNUow}Hj_YaUN8gXq*<plo}k&1uRmTkXWu6`m+2+va)y>=
z2()CI=7q=SA3Zx@zG#}B?Ly?kVk?Uu%tq_!otVvBS<KM8$8v%>`<jX7?4O=Bm@eCD
zSx~K>S^VJn-Ah8u?HkWFR$F<_X5RlIub_AVXz|>&w|p`;*4%B3FRLwgNIO%;UU&8D
zUB;isBksRz*i&@rF5}6h-OQ6zQ_CGDUE5`?kbeu@$>ZJOTgHBF$Lgf=2Z6r#WPgN(
zbS*jl_1F@QmManvl%fFIkgTzUPxI2_<?s3K;PTz__k1<hR;-sEgOU}vaDboAlM6bX
z=f3O?Wn5|N=-tNmE~c?nPQKxXX5}@Q+-1nz)%fY%f%3(Nz5FfK2+3Vz;04W&Pbg>q
z^o~KQvRvUkUxe~|z9)AXvwgD5AKcq=x3PNHQdKs-<lW5sJ#WkYC@pW^&HU<m^j6Cc
zOU~Ys*|GigY-XdxZI&Nqugm8<vH$2VGxjG_C+G2bh~1W%prbjPdD50^XCdijli~LB
z&knFh*9Y8_sd!RRtnlOTn$zwpqU_IJdv@S^*uSa%#Y%J9kCxq^_`Gc&^CG{Leau0#
z-@o{H`RM<1oPPfEr02<T%$4)VUB3Ld|MXw){R^r--TCmv;l&q+ENffax?LOoCp2B2
z{Jd>ByVG*^pxZBBe!Q;v`0?g{iC_3{dG*g<924d4az1dKsjc!K^#tAw&>BN|*Z-G5
zE7uw>7<g4kFkAKb9Lty??~bzQaAw8lg<S8~9y8W?|JXib_S3zVpW>$2U5}sgBv!g{
zPj<5O$=zm~_Lv9Xi{5&2x7oIdd$JdM-Rr(|YRQJJdvxz+UfuY{cK@3jQ8hQ;Mk$BC
zzrf{EvQjj%)nVz8i*LV9_`<i|BkaHQ?)`6XlwOa^|5{zR(aTkT(qEaC{#UNQxqh7a
zmqymTcYoGBjz6Ni*zQJ9nO9j}yY)?JW%up!=IdVWf4ghh{p+Q^|6{M4{{0^Nzj=@U
z%b)U3YP0e~1LNzC-JQF|{<L+_mqq*he@D-%zcOEY>7E&X`oCRUb<h0$%7FLoCOh^1
zPk#EpU;9m3rL2zaKBIZbPOg~(T3*cofl?_7pdF$bOZXr=MEw^BE7;22UB=+D__jzx
zfU8)Gia?9jCWWn@3*_u$AJ&V3a_4<kg)e#x3(FZf_HsMCh-C=6z1yLG{<@##uFD;&
z4rwwhL_#ZbxxV~(eTQqsp`tfjGAq8_4ZJ!{ZoYePu0T+(>Y7_@*8<*td|}@oc5SKp
znl;)FPwiq{wMKfusa=d~*T@@d&42yDH{@ra?7oiGHR}#nE3f|37P4=v-qh>I%z}Pi
zd$xMdU-M0s+5IhbH`mu){bu#2^mADI_4(Fa^R9h1`m<;C-^<l&zWqA#JM;MUsJPDk
zxy#q~d~s0wnf`r`fc^WvdcXBC9owt+#q^)u@RTd>YfW+O%IDFB|Mu^CWxrTF@Nd}P
zt3USZ|EvpKb?ml)wE5rkZ+E^t?K%5p{?+2k_S?g?PW)G_-1ql~#NUr4wSTf}Yu4Dw
zT~R-?^y*{o^+&yXj`y?Kdsi=0{#zxqa%)AdPF3<r>GnN^5z41#zwB7N_}-0{zYV+n
zR@~s1FsrnGARfp&X<k6Hg9i+;Ir%g@v^l-HpkQ0~tL}h4C|(|lG+aPJSIk(e{(Sk{
z5DyA=n_a$)9d6q-85Zga3fvN6T&OEJ;np^nFF#)XX8i7gBVJ~2k`HKo{4cIG^`pVB
z<3CD6UN2R@Ql+})E1Sn_-U-*F1J+KTdMe{aHCjQk;PA7*jKR0|*e~eK-C}>?)NkgD
zCx07vePiFUvG*H$)azUF7u<AzGp{Xu&)@U@vi<t>-^_2%>FVBBSh2(Z|INMN68LW8
z=jv^Kn-1Uj=5Vz3*ZCLIwzI44@&A87q?}FbzuxvU9dCHISf79S^DRSB)h6o;?`*bD
z-(VZylbrvc()PY|Mcw)DY;hMp?`8bS9(n)luTQ5s&DbX#HRDh@{dntho!T{bWE?I@
znRC3Ep9xx>@H3oi8^@N7s@5$3%e;>A9b9nI{Pq8|rr!&n?p*k#;lkew3R^w<<?QeN
ztG7P*@?`Ph%ghTeGp~I6<;#zkY4!J65NCRCyL|ca^6201)hui)^D3$oN~#pL&YdS$
zKiAF9?)825{A<(Y?A=%IYz{aZ%X$3{r1)9hu|AYf+4iA<f=Q2%+_45e<;jLp&sJVc
zT%s<+*3uSu=&(e@W4<P>nlm9kKA-*NYw|;B;i2`tHJj_qDl&8S9o(K}T#=ij+<e__
z>Ot|PQ#}*2E2DQ^Ud235E4y%CWc-(mElinf>o)JRSleNBe~rGLY23s$b({bFy!+|w
zujggT^Ws#zt%Bx5*Dhat^XE<8&$Pek<=!Y|jQ*RQ%l5B$KI`A3dD*|btLirY^RBMj
z{Eu~SsB7&{N&VNk%V&R8J<D@<&Ho$wR|UKe{v02w|5(JZW|q$PQ_r^SIW|o$zVy7|
z!d2!Rp?e)yyy7(yNGfAB5(#RLefjb7(~mDvnoTR7a62_|I;qA_xWy9Ozu)iRnnR}3
zYgQKoOtOuZS+Yq*+cwcNjB|PlZ-D1DhN&sMXR18S{)c`|c7741y707WWPZxR+e`~D
zA2U=exvX)rt50=GivF9a(-?I3MtHe~t-rsi>*DL(8S~<Hu94Y&UBzEC-PLrP#?RQq
z>3iD#g?^p>{fkiij-4l${M-5OkN7W%)oUKDT>koe{o4NitH+yvHSK(IY8A^0@4F@y
zRj#4;%Delf?I}DV-M+W*h_w6OjhtcE|I2HydG|1R_3c8RsJj)6HGUyMOVq!Z2z-K~
z2?ryB9NJ1fyX5Tmw*BmRA>!e0!Kz?_gtq!N%Gt+;&X=6a^z!2iGgbwuyUQ3_LPQ~G
ziCO5?$L1cP^F>2LUvh?qa;{#*7!=C9WEERlY-o8(Xzr#}eedMXt$NkFW>sY9T0`em
zT2qg+gw`H?wQASx&{F@H(C<@2uJ$REGrXMqbe&x7&X}Clc{2OzA3ymzRr|@;qp|wd
zr&`ummK($s?)qm_6tSl&sDG-??IX!geRqPI(zlN!>;HPr)n6O&E#mOqb2|f@C(a9a
z<lq5AY{|`xlGjoVs{WMp+v?+JmH2<Zk?xMG*m<<^aixuY)%i7Fe%PF9EpmA=FH*JU
z{F=s^^KbTL#iZohhV9v^QMuaelkMi4(Mu=p-5NT3d)DGp(cF{5eul2;H@G%W`n9V;
z*x#EiU!y<kt@*6C=KhT5yVJjRe!tuo{@o{Py6N`k*LIy=v-NJ}DZT60D);w(>;AmD
z>UG}tYqo{?wyKZTmQ`lGeEANvm}P}?)Y}H>@7Jz>bIPxcJ-_$swx6Q+ZoP}S@O1s2
zP4nd!+s|Luue0vcr{-UuC4cSc+9dY%b(Ub^)uh>X4Sv`EeZFauUDLl;CHoSu6?W~l
zRbQodNq7}E$4V$Vk+4Qj;qb02uKn}#^3V7BHGV;~d_z41Ryj2Vhzc#)rnN+_KKRXA
zF8|Zpj{SE#-yNp7RDF$;)<dl&jH{fa7HBPLj9l;ZOgc?Ex7c-^)1ryFdbj78uFCb3
z-g@JX$*Kv{OZIYAzPqCpdZN2}sj5TvA?Y;vs7*T`N$gJiHc9lI+T>Xkhu7GAE%{sd
zB<JXpRyWRo?K`)A+3!`k`FX^`K%^wTQML8h{6F@hVb@>Si~sqP_iOr|6UEL;83NxL
zEM-{ftNG=}GLb@F+4=Xl(F#EOy{~>ALT|p6KN9yvZNB}psx7a%rvL8PzDAF#KQe3o
zaX5W-SB<GRS+KD0abld1Zn2j?V%Y9PN>5v5W{Jd0&UVIyiBmopuoan>#+&MWk(&B9
zTKep__lus~zjfm3l{fb<-KhDWCu{s~ZLijUJumIahpv6vR<b;l-N)nFqd&YZ+s_yC
zmVV7w*tI2l)!F+FUw-L<+GA{s%uC+xI(^@v<amwCzV4LIZm+z4$Gxjg-u{QzMZDBo
z4crC`)?dEw-jtYS8=pu0W4m0$dGm9=LRIkj>{a>irvBN(vA^-f&)wTDn+tf(lGIwE
zHz$1Iz21Mt2gO~q>n=Uker%~0@`YvLB6SW?TSqphGYy~`-9W*%Zr8m1I=C`U_z!MS
zWshit-4$=Kk!X3fPho52208oK&+)iA5$lV!7jRvV`6c&1d5==m<7x9Y99!FxeTQwu
zvD}8NJ8WBy$xgWzFNwClC{lmzb9??PE?e_e?`X{Retqvm)_&Hk^}SJ7_H8|TrA}&T
zso?9%+_h0r``3g=B|R{xU!ZH48mWFW@yt){e>NAM?hUHlYx;0`jenm<efFoW-Jl+)
z-2><DKd*N@`e(Ie#r|2}oxf~V{;dCM<-z!i#Sf=*8b7S}KHnPuPxsoNH~E2DM;}jR
z|H@i?@@d7%qGuYXXMO5lGC7yw1?Q6KPoLWE1`pZ&M-18d-jJ!d!74hN`ODWM#k@CV
zRvdbyBpOjy9P&WqY0cC%GN<>Y7H`?}Xj<L(<z~V~Is#wSi*+V63KZ)IY+Yw1<J~`h
z-AwVdg|{xr&okqg3q>Be%hw*CFS~Wkp|h)Zol7;%UNB2^>8jT+)}@<nnz;Dss_Zc1
zOcT$uTQ>Gy@sSSAQrlnmHSk)|(pA~soj+zcT{L`}mi##_`INT)sc%J*9xqP29{%z)
zE%9^R<l^NjiLNW3MXB6*665nC+I8izsGNVY=U#a%f7!1TRyF6w-?QJIeAGSn>&fM>
z$0vq~-d@<Wsxf^<L)D$7qJ38m=>L}0e-Xd;SX11u!>qO(x-T3v9QlnT^j|n;MPC3N
zF1>7h<l`+%?QL=eta1gkrZip1Y`zkvboudeaj*E@$1=U^D{d*2+*0_m9(1@|(Cz)(
z<m~T8#cxN*lz`>8&fO+wAN~5<rfYxBdi!p<R^(_Hz1n=!*0k)cZriTy*m~>q+Poc?
zU$^bvlV|b1^zgY5)oX0F51Q>Ru-8uD|CJzLQatC|$uNT}!3Jw>ubyhPa!K8KA?|2V
zb(Uw|+o!@m*QTE6`h9w}w#fG>YTECPX0Q3T)IR2K^MzOPEiwEl262CzuRN=&`crar
zZ%XgwY5zWKaeM(oS=PC>b-Nzzt3ybf`0DUti(^)?El4767goP$=Y_>ohla)ErW`%n
zmEF5$M`YpVM|tW;{|blSao_#O?waWLgM~-`P7WxPk6yZie_l+X{9MuR+iZ{D4G6oV
zSj!yx*^cdZ+l%G@mmI(TH;qZ*i)4VnFCGn!O8FBBIZO(Nclm(&JG=9Ha1C=@{qBp>
z;yJ<Y_`-Mr$eanc-o*FMUw1IS4~O1#_s--0C8oRD_iNccd>%OU!}CDi3s5}8o~^nP
zZD91%@r2En7H?cTb>9j3W>;nH+QU=#Z9O|>pJ;H^@BEan*W@~F?e=SBcb7e{-F@cW
z?YYv=eco%^vsI_A-*V(YqRXe-bHBgeR60r4&1C<l<PG6py%ybH)PL|@+4cL6*X_R^
z`@f?6@BXeGb=k@C&3E4(+kd>be!cYnk9mLk@5(&RFTZ!|bN|6pEuEjYTj#(2c|76i
z{Y964b#Jv}(_U}0LVt$$KZ!Ldf?v1mWbd+{wC?=>=wI<q#Tsm%$Com|N0*%Y_^Kaw
znD<pB3!AaaeQWJH+vqsioPAw~)x<BThad2?%n)wmX}$8$rRvX@vyIzvb!%SEW)62-
z?ob8q6F~;46ph(dp6&Vi10)UVGGXhfZr)+};o6F&-u@Xcy>5U{)K>5|2MuLJqX+vl
zhkG&(%K3ax&N5~{Ior6$GNCs!mv7IW$FrGN*STN2T@4;kna#Yt&x}3nZ{M2L)vNRP
z-e}gkhgB9o==_=kV=vepIh*<Yi=WRLd}ieG#a#Y;mhtEIi2Kh#!%k-zPbSR<4LcQs
zhMg=G-rocdJN@16YsP+V*W#q&2Z_G-WGbq!bS^pm_ShVbmNU?smr@i!S2t-a;R9XW
zl+PC<3+lFG8B|-I&$kCOu7ntNg5Oz^3%ax9zRV6a9QD-vqh}k--E?ECoV>#ifp2bN
zh}_lq>DhtZi_nIhKtpg0QkBID`Fs)30Q1Q%ez57{vje@WbNTjc2G=XwEDK!KU!H3$
z|7y0!^23F-w`D9cH=D7qdkYPjJ-eUJX8x4Fao<_S%BM?aGfz5d#;)Rh>RE%z+R|c&
zdz--%wZHRc=kWdcUOwHNo$brUrZQ(U_Ej5pc(6{pFC%K<YPr7m*R(G?ENA97PAF}L
zAfA>P`QS7aUG=BrX-#<v*An#*KgihRDNZLa3YuN?>SMaQ<?4b3E$=(E7Jk8U01R))
zA*Fvu@4ALL<))}!abunuAk7<m==+x#&in{p&il8ubs3|oHi{@;dA)s2(wAR)b}Phv
zE+2gC%YM~3>_)=Ib@`w2eBad_E&E~gzU+Ce?LV{p*;nkZ&;RcvpIp_xeBL_yev$Pv
zV%~$s#Xrx=3cs?Z^wYlRk}XeqbCXKbxBTC|>8k0ke6{`Nt7I<;&l2aD2}LIo*2pRx
z-UT{cCGJygJji!*m;`1a(1csd9Qx<SeX6Z5;aYMWH0YB78k?QuF91dpZaoR^pa1T@
zd<U-L>iGWS|0U#my0(33S+usr^)^E%cyRmar1zz01G6(q_n%k2ulB1}?`M?q@4aSy
zy8H8!PJaKZS+|~Td(iuxkA64K3$J;qk@RD#=zacgUVZmxt$1|%-JIRYRk!xn?06j=
z_xWz7>HV_jyXJ}3o^_O8qC0abd$#wvFN#$SyULl@y|29c-P!iO;E#gyulE^EeZBhk
zDQ)$;5)*X7zE9Q3&f`<aTr17;$$t~5N%7})&Scpp`<uZVoej>E-Z%35c<%M$ORBc(
z{MBL=mfV9PW<|AF1!u)lkLhyucdhM3K)GnIFnDNeLU|JeU3oUQ>d(!O=d@%h9=0!z
z)39AAu9c{7;t-e7u;;>oHPwmhew$t7?vD9>)$N;8u1s{?ir%)$g%KBK#qGFr6O<~1
zuho8^I%k8$u~+-#U$&OU&%APE*LrYkeM!a9Cspc<+dS`vLmKHDeb>ATR1b-WUA&+D
zO&gv`jJw~JaZX~~{k|BbZt_5z#JDd3@+OwT;(T9y|9?X}F^ox!()<1H?P!aj|Jq%z
zo&Dnb&Ue|pZ@%BM*!E{%XX*XtXVicGb=Uqgk9+^~^Xj5?`ERDG>^BX37_MJ*`BI&9
z)qZ~6)Ogunjdoi^YF{qi*ME0SZ$tl0HwLvcX3Tc?K2KWzCwk5LC)(@hl=wSriZ~g*
zY0Xakh9z6y@t&wIyLfSuQsw{L$!8m9%SCOpEXex&&!bFKOnl{mM)&(7rW!8`#f_So
z6#LmANRq>_nMsms<>3Wie!P_X+li}}es{4wsF#jyMk2q<z5n^gU?p#xe(N_$x30_0
zci-98*KuxfOh^@Y+lF`?dli>(b*1Pu+uvL^hvR1dpI0ipcbmtDsN5&})93CDlk)u(
zmFx3n>)Km;!lXmvq9<HAwLYoVWbxjW&)t4^&XZjGFURS3>pJeYd$`}KSC_2Ke<}Qa
zx$OK`J1^JmdLH^ZcHI{9?=hLrV>6$+-3Xmhwq5(}yd9V32?a*&w%Pin<a^~~mi#HT
z+iny{-sam;*!b5+e@#mLw&$OI?YzfTnOh!b=>M$?+QZG+0Po@M7W;aAQ+LN2vD<I@
zqyE2GuQlb%L*I4b^;WCIFA2Y5<#-82Clc0(D;(bS#i@UO-1>dEX1@Htzc~-8r{Q(+
z!X#Y|(QL;R5!^x18^ikNzq>gf)Gx+1TV#H8^Xd;r;%xpM{}HpLK*u)mVFagmA8)|J
z8w{d-yi;CZo!^hTC(2=6c=~$xWa-M<b>Y#<)u19~eSXs3U!V6bsoGlcs0%d3k@x>-
zUeMlO+^bLjo!3(Jb+S^?{V4anyFL}4D$?S=a?Rc9pXF2i^1sEW;$Nv{)En|X-+y$@
z{?uyiXMf}0?EHS(XWjY?-SyzMjb4=ZG-LP3Yj+qNE`7~q+VW-psal5WKGC&LdqJx<
zkG;v8y{Pp4d#y4Kzsyg32gMg9so1WwP;*mQavX}771i88<x9szIs4l72h(sR!rJx+
zph-!jiN}6N4=~EQoNQb7i|^p{MZpTT*v5w=?<oE#3c0#eJ)~514fxiz39F<v-V5B3
zLhA?aah_1lap8nDOJ(~3smgYR_W}{gQPwQ+uT<X()a-;*(ar_g`kCzywD*RVbCju1
z`R_mL5g+5-i~DZB&$utVfAQZZXK%^;$U1M%o^mLs_(8VqeVGaM-CuM0PH3y&m6@Qk
z%~ByU$c$YjbM0*APxHW=`0v%<PAPscr+)1XnFafEZ13+l+qk}D`ubI=>W3;La=yz}
z=Do01c;)iUT4C$YJ~{i?Kl`oFM`(loZ$%$@5dU@?ZGfVFu92PH>-FX3<xQLKJv86t
z4Vx;um{$^h$b6Udg@EH94eoc`^VhdU8>yX*JW_jN``h=ckF~}v-n1usvFE3_Df{x)
zX`I|`_9^#w<h`XEe}1~R%J<&ZjlEA|r9(f(O$k$LUHdfmrQhnl)b~Hju3vlf_FQOg
z`PbQ2TbLsM{k#{JBD(3$_nUo|yY}8w`TLA-y$9$Niiz*1N59*>HvM{R^<Igp-Jie4
zxm@f{v3GwZ{MGdL*N+W$U9+}ZmzV7=(O=v(XS=m|3F>sw{TDlz)o*@2>)+aW*}vY}
zUjFj5e#yN1d+OaG(?yrdFI~SV8eRQ(-_n^2t-s&@5P#}b?W;`Rb*JmKqxz=E#Y>(y
zT)4=DLv*R*3JuUWE*lGI9GCIs$ID;;e8ZJOV;}AZwJ{NcnO&C@o*YyNnZma6Z1dM2
zFK5-e<7j*AKex6)YfonB&-X6ePc8|IotRqCc!xps1aCm&9fzHI|L>x$@O-kXCal_I
z+r(SXckMCVbSpdAdevUDtia!D*PgmAdcJjQR_s4(@D#&O=G@Ntr>!!3cl~*Lm-qV9
z|3*rG*Op#+s{Q`XbV<X%(<dAK6@O{|ce-w4`wK?5U-4Ih=lst*zxIE%?e>4x)p>tE
z@o(DqXwRNc3-%@G?X=L3JSUSHS<KGhseYUFg0P4FxzqNS!HZ|!m!7zom@o73SYUq6
zAwI{C_ru-^%=yLNZ<YFg&U*ot`b3>gq8C;jdz-b#)S4x9M|khk>+Y<6AJ4sCJ4Nqj
zuc<nB-pj&TqnAvIU)dl?lEd&NlO&g+Z_uQ?F7QDe8C{Sa#KA0zV01Bf>jkg0<8N0L
zJczsBziaxJmUl~A?w)4YIi0acpLz4UxX1e+mBy9nSN}9CTwiio%ggo3wN=YPx2@Qe
zxwInm=8YhoRd3>6e_mz6duzepl$SFds<v$0yEOe`th?U&U-NhN#dvPbUizhG|MN@5
zx!IyGe>(P;y07<7vaUKg#d~jD(9zN_Nr|gAUk`CFO?+bEx^hY6jjyVICPzva&Ri6-
zU*}N1q;ah0b)jIvX~Mw*GpAhsl74xL{H#eLob`O(aaKkXmCibyyX3ywX4b?RwGneK
zzHw2S(LSSbM(>Q;8RbhPj&tpY?oeLx`lyGX+cyKD?oGu#A&>9x5ftvOx7hBaBWEVo
z{b1dFNwMyaUGLeo^Ve)CEeYIJn^?)Kah~`335AkmRgSe2r!Em^s<&`dsLYe#Xkls$
zP*8oDx>Md)z+;{?8`DAu1rZO=UBCWRpqyK?()95h`_6lNwQT2knJsW@dG|f!(D!O7
zjr)6lU4D_f6mcfal=pFeBaz1@KiysZdsX4W{n?w-G8cQ!3Y}u&Exk2kn%T7rI=Lxl
zZ;8z+^|^1h%VSpPgk;fe5?i*)-(9$APshK&^ZC+ymp={rn!I_{wO8gn|CT&A?>VKt
zw(85}d9feE_J@8+x7{Ba5|_EX>)usu%dm+$5gt*Rk*90Rzwhx<c^o;_<4I!Fp|79k
zU2m+rSp4!=>iO3W`(ORMJOBHaKkv@RfA{(p`lEJ!dFiEhziqtb!#}P|sy&y=`6llC
z*Sj|(CrA7}%?dtfCV3;*yO%jF5qCv(1E8nPgtE4*(ozTsWkfk`rnDUE!mlm!Q5JqJ
zRREth!wNlZCLXlA1lwsd>vq<e&f6F7#vOV|SZvjlf`z9TL|5?yEIj4#^WKqoSM)~Z
z>*UAP!kc%TUA4ovO8rsEQQhmu_ZUh)TGktNB$q9ZD`w6XyRv-!m3H4|$Gs_Y_^7*E
zHh@oHuk45Idr$N>RR3{1aJNqR!N)q~2XXraKR9^4jG8?CYz|}xbjTGycahDP9ev-N
zyJu*-ad*B-nGwHQ*?#HHxjuQPc<VsZL$Z6HEwC%qTf3rdV>pN0roV4BE>^ktKR(u#
z4PQsrE+hL5x<N^(;?z-5p&uO^S<acdFs&|FFO~mb+urI$Y>L<yj-?5BUE2EmI+iu_
zDP>!hbD6KdXFPpt;<K#_HLg~>ZNIuw_equiHMZ<4t9ftTaJ#jjcZZqC(klPcb~ei5
z27hnXJp69^y><DQy<a|G*~fkO@~?#kCm7%K?V9_J+yDJ;{W*8Pb6v2k6>m%bVqOp)
zYq(HaWNDUv;CbEB=QB7&^cd4;vxtOlzp`+4{_4ro`*jxFSaqn6?M_?iT=zX&lRWKn
ziejJNd%+b}bx2f)V{L+C1}nFdcEp5(6|CGwGV2qjF1cP>2inz*aT3aFtNp#mOT)cX
z6hf337YcE5O!b(ug!^1AR`0W<+tlvw7K>P-uCqboAyYS_)&_|MOx=w|vCqGwbuv$s
z&eh4t$eFp|>8?KWO$&0DE->A=?(>;_)wgulhQ6{oAGI*^@vd__YrmUVI&4{2ESDXX
zeQ4J?N!7yatMS)&Zn3qUTU~blwUrV7vXbZD_pVc(Y@HeF9%q~x>wZk{da26ntEDOz
z-E6>n-(R1Q&H?v2PJ{Qp&yKmi^T}UPAqxrb2j`A?J_t_v8MO1%bh-HTx3%P3YPcXM
zMd3);PX%YiZKuK~={5Re+n)SV=*Jc;OKd^Pu`Sn~t<?C~*nanR>ra}RFFzVj&%V_0
zV&>`h-{uMan=+;GkLF77nMG?OPi?uFqj}j)H)vU}=@K95$Wu~!skdfCoQm4&In(S>
zP*_Ol*?E`CWvlb<uiw4<&arv-i=}6mOIPPzx6@zhzjOYg=U-z_%)9@6{h}LB?Y<qJ
z`!4zOyX5=#PuiuGnhS55TPB-b9(UpUdH=l|-p`+36?os?{_CSU&r@%L5>CIXy=QSy
zTGTD<XKJ<n%iqUWxX8Mkx;1zDx4Wl~$ogGk&bf0+@5Sz^C%4R=x_8a{Ftz_0+H2n2
zpE&hqfB!}K$TLq;*}pzF{!&<~I=QjGb<%BV`@qEL<z_pdl=+od>e@aml4_ZDSz*f@
zwuMhkP9*G^!zS4`{j%4k+}Dy=5|hf^ZSy3N&mIX~@35kZ)#<9Xz^XV+&+Ti|u^n3D
zyK$|S%|_YY<?mx6ABSJtw?utSt>(j9(-~LQN-nrHy|L)z+db&*lu6OstWCCUz58_1
zZ1YWbucns!>g?>aJbAUQchc?FzY!;Wc{CS3`S$4_n^#`H?#<ZM?<UQTy0+!cJ5lBB
zlQRvrS3f=RHYHDe?GEUQX6-zqvYn6AZmxNx2HO>W6#K4l{ry`HF}~!!F#CDK`98f*
zOOH=~z3l|M;#?*OlH}OvYIh=_L-*6vC7=TXv7FCxmvKHQ_rlL-c_{)rl5fhS684%r
zELFfvSN58?kYh{Krx>d0B(r%O<C)MSsbT%#Guqmb39<&(3Q{*2H#0{mTPGyTd<gxk
z!oFvPeDQ(s?~`RdnEvIpc+j}E;J|PH^NjJg%h{)78nD;I-j@w{Ru;rQ<+{(S2BWf@
zjH<IO7pTnI#e52O`b5r7=D5#$H!<5Sx){ImN7D<X_^O(ndEH3oCpHR1KWXM^y`cm;
zN^qY6mOUs-tRHlP&MtwSZUqT0Fc~!IU4uT><;yP`^gA)va@S;{4Htt>PefkJ9e1ak
zJ?5*nsQ%02G9SMFU9vu8&ldT@19#swR44Ie+|RRM<y^Dpa<H0%$%ea?&iCJcsY$;7
z{>zlj)}H(u3WaNe>r<L+%@3M?zsr)^rf%w3dG?6fjnzhuC)3n4I+x`&8Jz?Vhn~sX
z$+_>ZxTxBX6&pF<Wpr?cJrrTxt)Rtr>9Kc>Ftb3A0R&BG6cA>fuuwplS@k8?w@ugz
zpLGf1Zpcf!x!9bbXjw{`$Qmy8t}mZfD0%v?@bHS5$TLAnQo+^8;C0s)W$jC6w1WbJ
zmV||=m~2wYou`z!II*iF(tf2+S>=3D&-MOE9#yJa`wzV}w7=Bd`toAj<;6SCIV+b<
z2~w;+VDe<ejWzmH_Z-q$QNUbv`b0wt({&RCnMPfYIP;0DqN>bVcj{EmUU}<&&jGYf
zW8>3LpZ(w7P5oJ!GI@W^6VZ#V+rG4<F*w1Hk%T^Icbe<ANnea^XoGf1@}M5O<+-bQ
zFV@4HtZ%rYY{P4AoB&0dnG>4#TD?lRBy2T9sLX)*Ndnu6gFI(;H9yr?o>|MTJ$>b-
z=1OJjh_Vg67B{9Uvqx|BDZT0@^Je{xgIfE0T!Kz)U3<4O`@YGVyOq)RSBK=k_jf*G
z@TpGzXTze^zvGrxx!+$Ma{bF*udnC+efi_F?82%L@!nM-?_cd+ym!^~XBkBzWhRw(
zUHaNSCeKsrT=p*W(|OR~gLL$}%>TQVAG-XazuxqH+0pAR;*Tx~-(u#t2}LIo_6V>`
z_K80NoldqDYe9KAP8_+Q)a2)YqW(!G{<|%(<e)Qs%X^o<pR{6vr@uyuSHuaP2@@n0
z6pa@+iB&v!jaCpCyq24hAD**_UF;_F#S?NnD&=luEnx4;Rjqii_3w?hT}nZm+qL)Y
zme)M7ws!ZTxWHu(8ox~3diUSNt+pTg*Z6+S_P%Ev<S>&h_`vr*k$`7qzN|V<e<xq}
zSygXT_S4PvWEvM}Ctky+e^KBS{)W--8r1LZKg1}1Z;!O-@zY1WQze7ro`~<;Fug8*
z%l4TU4#~NQzumS0bi`__ZHM01+{E=NcYoj806!{$3w#8?ib*Bcs~<~Y90~CEoo_bF
z)PDxxgA*X<2WZWdUB3EI_3Dp2)`9!%H+K4&tm<9K)%#?HnEIt-QhrN%-?;FWE9K@W
zt6y@v)A<B+K!Va)vG}r&L3z`U&VA;1%D4HMZ}XIXofRK~Zt&^1zWow(L+|?hO8wT-
z6LnnS^JUlBS;y9v?fi9S_pcJKSHV6nY+Jq0^G%;OSI>5LOx3g4Dyz$r=IuQ9@|n#m
z>t#02-x)l;C^Pj~vwAOgeASGNKVE3_zv@qa@i^<);=^Irc6ar5`fWVBukHWB`lzy*
zig(Lz?5Vmodoe5b%a2Rf=;k@DFkx|8swkkv+sJdQJ9@&yio(?@ceC}nv25yi*;ia?
zvgON<1TiTWP-(hEP$5K$Y2hUSj;U=^mi#^^iY2|Jl-)_Usm<>co3=z<M@RGFp-GHd
zI+6<xO=>K%eSHeOa;*w0GdI~bakuEsd97|`+s_tnSG%_P-u3TQ*W90ntxVs$Uw(CZ
z?Sl7Tbu1mW%=>z<Zppl@%fB#g-m6!7eD@XGEh?pJd#`T(Hf3K^;ri8gKdviN_w{~z
zYwqh`apxyx8tmM?@BLSunYX=mYd`v`Q+d|0_Ek)gt@km#>zh?(U)>Bp{3sp!;YXYO
zRu?$zT3)!Xkgp;%|7TEW+!OJC(#}c0nd0V2>pgHRnV8RAArN&y+w=SG?S3fT(cOD<
zk-MXp_P%*5%rfbDTcd-900(Q(Cco!W&-d)wzFYXooy7fjf8Tn%^2zjH9T{3b-`+4j
zRBv^wq0OqVz0&=Qeuy{PLYkdwRy|)@4#U<!pE=SO**ZHse)jo3v(J5DI~I!;x?gtF
z?OWDsD&s4C^WwJF#apwAO>%RT-7mY{xyU87<&aqOwr`u4&Xb<GW>Ko)^>=e_7pnda
zhz~bCy|y|!Btg4`H*iN+?T&n{wDl(9lUaAffKOBnNz{Hb>G6Dn-3#B(H<%pW)v?uD
z{^fL^H6GUtRBQv|H^<BKzgR4BW0T#tzs0FW^DH8E7bn+O34PnR&-=#l-+GDWUt<s1
zT{P#+F1NdB&YfLuceNk1D{lGkRY|Tzm1{LlhHspBYnJMbwxe60|MhK+;GG>4Q=Pu0
zO38L#+?-^mQZ0d1!HofPxj@bCPYTZQd%pE7IemRM)^h<C#7ZNVcUM<}54QAUbvn01
zCHB!hSu9(*u4z_<R$T7Ne%~3kbBX$zBF%?d(-~J4NiNWu-dI%lb}xG8<mgtNyo{Wg
zJDxt8Wxi?0THU-`D_rl)iii<zjoQ3pS@x?}w`OSsI_187_Kz(qyI)T-Ham9f*49hm
zZ5=wZZk@E5ajWyf%v&dS&Rw$p{*GI<%id>wzqxPq-RHm7l^NMizIOeIDf`!z#V5a-
zO74z{pPUmGKiTDVplz@8>h&j~$HY{_$EvQZYTRFy)XH{g{?5F(+PAeAOZR>G(RKIQ
zPlc2aC}LLJb`G@Tt90L_65bl{L7_!V;A5612@AlG>Px8~jaXX@jq=zw`ri&<KK{8R
zHe}BdbrF44oj5j+Jv<XCBm+KjnSB0*md&S_es)F+!WpG!&wM?|mYFuuRJb?U$v8UF
z_~HtGWBdF5m(KiGT{biRg?h%auERHs-hM55npd9p^7hdyarsxBEBDTPR{!<UL-F6i
zL1*pz>UB@vyOcYpf8M;bx|Q!|X)aHi_4Dbz>}PBLa++?Q5o4HoXJS&4&t;#JX|pst
zm#v%mNer@4%(OA>mUQA|+t2U$!YiH~p7my?{JaOt94hlPI2E*n)HoGXIK3{(ern@n
zI$3<!K|zG0g-O%%`{8~clx+UHwgfqwUwc{lc#gT-`^Qt{{8jf~b9V{8Ik(^I$2oWA
zr@t-i#r7Tyf^Tqb{8T$fz8`5hvBSRp;&@ZNP0LLWUhZP?zwD+vZ&|OYjj#00%gf$m
z-kNdaa@5w!S!UZNF3(hcm&xiGmDueV{rhIn`L%Df|9+~S6aRMko#Q{Z*8Q|Qcl%e_
z(dfT+=hlBe{k`y1(Y|%RE%sm3zkmGa*?(Vj-bB}K-*EePUgG_CyIb#G{Qlcw|78$y
zyT9T_(f#kgqHE=st3bA;7S6tN{HOf?@0%ic{jxvR&M|k@Ho5fp^-)W;kORyMndCS`
zKRB>C<*4!-eL0$a-eXrytrC{D#1igX>Wh&M1YH;<!C@)ParKbHiJ4QDc;8u$B@dsp
za$V2mzq%p3wVCPm^2Jm2UUF*3dhLL0LtSwxbwNzRZcFsjQ{xg&e=j_@Z$~dz&e|P2
zo-)lg?<+05?56wZ+7YJN8dqkqZYz6!u5QgC(1z63wReBk&G*hJQGTAce`2x!AGdSv
zQ>U!ky6#ofPdC4fuEo|fIf|_<m5Z%E?w$PQt91Ep`7d|h*4-%I{yXsgUB74Li@2mA
zhkV7PPTqLhyvWOJ^#;#HUw6zq)*E^wB}*K1I=7|WlNa!lXAji>`>$oQ;bQ!>pEF-L
z#aGpw%;T;V2-1R}35^2LFF?H-r+Cj@>~&_?!m;|nbCh1qQYJ+x3Yzq;aehCR`g`+>
z#`q4dnO|C*mbJ8=Vc?v}C}hN(%D+byE$nVGZ)RUK(bk}Pf$1i8vt!Klcgp$qB%1R7
z@w9)|X#aLM`zgjnaz8fL9bx{iQnTPaWALW~_Vb>cHab6N9`n7ckj~wn-S7D{img{N
zpUPG%b&%ZsreV@qnE)Z*yNpl&LO1MgyTf?Du&$Na?AK|d{T2sht3TZ-VB}xaT@!tv
z`2tg%=dSy;naKI+(%rYGk*m!~?^03f*^^dtv*&!)zBpTF>G9x``d<YvhLlAw656MG
z(c!1s>Gf{!>!!fZBVSN6`RVmVNZZLL<ZrqY-pl<rcDAgq^yb$YuKP|3^}fl-wvJkD
z=6?B@S&>QZ5!tm0j@mhf8QJ#HuXav6sdX!F)1Fmty}o^}JLNlV(~WJjb)HUrmbCxH
zZPEO%vvuxY{tOZ*oBXtN@1#!AlTvL<)L&(Pd;OTPc8k*;_Nus!$sgAUeE)avi^`kn
zwbM7me~mr#?&a>5zq{U>N8Y>reYQ^hWpJ%nX}$fInz!c$-uz89&s#;4T;r$RoU(sa
zY-{kE=i4&Yx>nVcS{`p%6{Qezm1*HBG0>4<za885U3n|%X});9yEEpA;3d_++>je`
zAy=6c4}<h_NKTtnDitQWZs)4d*5*~0%@>ENzvNu)=e6TiO2aBYwiT~Z60WWG`*}Xw
z{6vOZ=<=|W8AVr5N*~{KG2`(JgISp&bL(#Vud=$E-W7Z!%VoWPP{}3lWihY5PSTpU
z_`1ew3)%Pg-xi9A&$%`Cb#z?etxW6Ux98sfdS|u&{qEN`sRv`-jq>)q3YikJJm9Qm
zNMYB@m%V4MX8zi|@@%kpOs?Hk?-lwFIqKKi+n0UW)%@$r&Mi{Gf`KwiBKx0ZT#3l_
z{MRZbt6TYaf;n{M+2SzfUB51IF-xT|8{K(ZqrFRS`n&)&2M-uxdt7RHqF|3+_@q3C
z?O4N!`4{MjDR_f7#X$jvyrfbb%3XLps+^dE4zZ;)@SI?foY0u#aI@jU3AQP`C!}sR
zNF_V?M|&78Sg!BFld)a;!n_@fww27=@BBUMbMpL6?uCEm7*CR)c|hdnMCA>CPP|^G
zYCkFM)hFfr1&{+fepX(;D*CB7YqEXx*SRm0qN|*Y85ChivPb-;!r_lf(VoBdmf!Ei
zlG$JKecOw?-QOeK0fwe5vA!`EYg=6=$ENmv|EXzTS~e|hNj=SQavI|#U1qK5#>amZ
z&<cso?<#(74&D5|V(p~IqBlQYIMKJgvhRA<g2%SXXFs~w9_u?ke{Iz>r%UOp=WP`b
z+<RBAs`}BQ^8c9~^LTgNKW_D4vHb=1snWk+Y!2<8JMY(A$T?Wn`Ah20`&}e>3|8s&
zaEk|rXTNE%?;$i2){mt^@!ZAy57g1Eft@dT33?rez$H!9mwZ1aV`=ypb@TnWyrRd`
zUnAKo0z3?+AZZ*>c3?i*bcO<GXTJ$(U}%e5*@0sg4}Nlc^8J|8I)mA+T=68MYt*&3
z4eZMvHI(%)^?cy>f03(Y{YD3C!HW)`#XcS=dtS+XS@_^{{T&?p5)Qh4Xyw*xGCDZ9
zYr&qhAi*gcPb+EM^kGrGc*PZVZu47R@q@v6>jWHsb>Fm>R&OdNcyjYQEPk7$*JO{a
z!g#6lqZ^CzO`1v;cAw+j_J=rE{W<e=mx)r<vroJCrnl@5IovSs(2=Cb*6(4DCB-(+
zjXcxGX?WR9H)&a~=@B34$TL!UX}4xXoQc}nIn(S>QrLv4C-V<kMhet#f9>^c@4Wc(
z@|_b;uDaWkbFcS}EBCjrQopAB)~x#2<bBgy?9aE;vF}p!V++)0m#gniXq>y|lX8ZB
z^oRZhu{$2fJN^zjZ{PIoQ|qJ|H<uomb{Bl4V9?q(qTG3@+rQm86}R(2*tb=!FC%Zd
za_6NQrKhE?k1NogEXlhj=jWW~o~J*2PX3Y}W?cEu@$XNb+L+i=DKAdVHty~{8L{!~
zzUs$<+e3ed{eAl4ndrSb(bxcQ{~Zh17Q)XoHImR@;n?<=b?c;(`@7V!)z-hStw(9~
zNQrS=-QcjIjn&CiNA=~t(tIpquQx^RuR0|B`(nkfI^neqp8gSx!G}Z*m_rzi9YhV7
zpB}oMk2dx)fjj@^`wKU&)lKKlzjvZ8eENOUjUUvyl*50Ua^<g&>=3<oq)CV?e|>C3
z`NOq$Evwx<KSaG=vFGC5>AU~FJ8k=Mx4yis?cVCU9`94+eBSHHy`1awZi%Zow5NR0
z_n4meU6t9QcVSZ{8oN%SOqD>63HExh(q6;+!OAb;>-xX0m*1)XisjPd)z!sJ0-N+9
z2()mYX~IH*VkXs>-@fj~lENnC#qaM&o=|$j-2z44C*Rx%7v+2NLUYrtbz78Qa=!K8
zygiX|lQMIPJ6qb_zE9Wt&+L;GRkhAawRTdzeev1NiDpu1?$dQtZeDyXZua4;vVY8W
ziI=<T9>;~`T)W;MlO-0igK=+Sii1&Owv<5M0Wp>Jvrja2O=1+y0~N^M5C!tj-S^i_
zncx2Z@M6E4_gAfH(3M}9^;4j5QJLvIsh^Vi?DMs_`QrFatt$7M)_h5L79+<@C_0g_
z=LDN1*TfgouB<tu;xl*kf_ORCm;J#a+dgb7-KM*`_T;+b{_B_9>f%_G>;L`ma?q|T
z_-ZS~t4t7dv2%4Y*OwnJw^f$mScqY7{m$y&aSL^=m6wFQRtZfDVOCkm<`Kj@MZ5ic
z2kJVj18a7F+)-4KSe6W0VenWuMql1w+LMszd0VDMY`e2bcY3eY(fR*#zW?3(vHhCH
z?!vCX_2=ie@Am(4tS(<>!!hMD`wP<ipV;PoK5>`-+cD=og_BG#wfwQj&$)1>aL$?+
zw>(0>NNOa^+IXY>*{e+-E^OQR%ggnD;d#-&x2|b*{X5nQ-a%^g?0i++u_=dLPS41X
zS}@f;u;`oZyEnV<-s~?=zyJDN-6HGVG1tt}-kE)=lmFGgpDTLy@!mx{uWQdzKVK@h
zXuav;|G~93k@Ek2<mFfOzg%{{FaKJ8+W%*)>&s8I%={a_?ZGzv|6<v<{(XA<^4E!d
z`s;l@))v=%t~#0Y)&JzDino^D@@K!D{e1T4S|u&dv%lvr=ui9af93cT+lKm}f9HSw
znE$2l=(>hI>EI^)_xNAi4ov>CaSyY>Eg6Yi)<608ewgR?Y!gYE&HTT--oFyMk~@vi
zN^To%Trq!N#=;!s00H!s+!naD>VZ~rr@LcW$^8?p?~UVRg%69joNYWU?&ZxRbIgqW
zo!@Pl9Z3uKSO)wo4Et!m^I4R`P5F%Sx?7)j&#k{S`w^ex)8|p|U;TU@<!YTedBNSE
zb?5D`Yo-4duywe5n<YQ;LvE9{c+Knwt61-=RlGh_wVe}m)PS&u*lo25I{nw@ZT@1o
z%~!!Q`>xsq^W)$JIQg4>w{h0}eSi8kOTSI-9$$f)?2DfSSA_*_-s<~d&I(!2{_4J)
zf*h7c5Ol(!<EG$=01w%+CCB~mt5xLT$Pe@P`F_~*0>|df+Sw0&;#gt(Z&{9T&-0J0
zN?A7j)_-o#TXOt);5ByTMV~doPt6njw@sb7ic2`(I^^Nrs}*fqYyaf>N8aw&&Asln
z;4Nq(e_ijIw~@EkPF(f&){KbT(OWZjo2}ZiS0P>BDY5=`6Zh}i;yyRNrhoprTKvxS
z=XbB)-{^4t*EGA2b+;5BrJvFhQqLB%ogn#p_p`e<p5MJue@9dLcgxd1!OENRrZ1m1
z@10%#oCm#J!Sgpv`+tGObGxG1yO-B>b}T>b*ID_?TKvxC`&$Ekm93gydN_aiB<Rvm
zo!9WCq07YAy%2i*@z>|bUlA)`9Ig7gr(;K@;ZO63t62}~OM+S(?Khol{Uz>p)Va#(
zBZDFgN%kCNPKlUa@#5oU-aoE5f~{^><9-X21RT23afKJJQ)t$NTdS^A{V8Ft_rwuZ
z`!(6(TGh>-eY8L3y;!vPC8zc?uN_Hw4O-9GRwU&uh*_~8y-~U|V1BQBucYz6m=)jM
z%>KDa)(dlg-Tzia^ws)U4PC<miOv6(f7=^S@0~r>>F;iFvo(MFyE5vtWleu^o~@se
z@b9R}mjAZg>;4)gF8ljFw&3mb`tGk&pFf4}WUD-x^iDrgZoO^#%jBiMm0$k)vw!LT
z&^*Q)#cOQzVVgM0;X`pbhn^kamW$nJ`Jv<Evja7c&Di6v#qV1+Z7#?2#Eo~$pX^-t
zzF~#%%=Zmfo>^D@Dfzg+pb7N|jLS>^Jr$la&&J%wR=~=3!Yx1l{`q=u*3WqG<;l*6
zFB>nsY`judRrROz)Q=x);&0x+yHc+Jv;b0chvSL^Yg@SQu$f#bv^leSQE3TcuVsnE
z%$|z|;;u-?WGGC3{XO)t)B3>3ds{E6RO-jv>)x>^TY38H&3n9)KR?|YIvaXY#;PZK
zHP-rYMW4QXX>OS8>3g52>|1;E_T1{Icdz!pFPymV?#}I^k*zx4ZP(`a<*hgO6rU~y
zS^8KucgyKTv8;d1er^6;C%t#iy}ZTsRrZTsxNe%S{1tYBn(NuybJM^4eO4(M`ee^t
z%jNN#immUQyeDC~>+g<2-}?Ja*}r~^$6U7G@L<-zgRGaols(_Ys((Iy|Atj7^z9#8
z>rVZebm&se#0AfeE{V#^Ok1H>|MY&?itigvmi`QPKRpq;Z*vM8bQL5U>b^}}`(gaU
ze_VG%-gE@gUTFYYN163G!M1MKv;BHF%I^5*VGg4Aw(R<I^di&FFD<M^sxb=Y4y;AY
zAqwUJ>rTfDp)J*onSMU2|Cm|O@0jV^-NLGKbKJLHchk+fe`MDljdy#tEm@GaDL!!e
z{|io;osEb8G;WK#<-h85eMMq(=}*v!pMtORug1@?-@D|Wt8ME~X|X#$QjeYaVZZ6Y
zG5!A%ueHyd*5-f3{cIBVXYgr2$Ft8z{)))_?_qV5uVJ1;Rbt$|E!}<7L{3V7fFDJF
zqvdX6`=8{a?+z?D+4}Rkf57i4&)W_$J8ku!aI3|=e}3M->3*m?f$Mf%-Jgh_ihKX|
zqNU>fe$w*uzs_FNTKV#0@s=Pnj;Wib7HHmf*lF<pS;o;A#IR^l;=fJESH?X#^k-jI
z%#%Yk#&7qYXsygmslI-!Y|HnU8PntUYlo@1?lJg(sBWjh|E9XC#D7Qs7A5|3{rlz6
zALHLo5B>4}C5U-C%beT#;?IsUgoCc|PRZ|)xm$N<$Flm8gQkCvv0neuue)Peea%7c
z&*r)niT{MTS9ksTWNf!{!(6V<lhWF_KG%PquYKkB6*ci^`u*3GmmUv4R_wDvi`OYM
zQ(%=>Gf&G5M$jIlM2D(BCARyOa3mA|@E_nUZ}7(5!c`_5l?EK4OC6ypEFRZZ1@O+`
z{HVl#t1?<+st$4O6k0bydI8r?##IxfHN>CYM_&vcGXs{wW}v39Lwh>@?%5Wmd!{~X
zA!z^LKZoc?pEmhLEjIZ7vXxitS*V}((*2?JvH$$Np8fy7`TH}+O`+HKK0B#p@HE;o
zmixog((SXJKa1NwPdD0Qrcg!3?DRW)=7;#U9=@CVb8Ad#`}6OM4!?V0|K?raiwZ?U
zE)_;5&jo_KJhLVUGz)O}b~p+-d2~1m3(aCbvP4j^ZD01<`=|cAtv<KzR^-&>t3GA8
z3rOzXH@EVe)#^#Je@DN5vU1V9eSgw#>;0KzApGzA*4Q6U%QtS@w`b3%irRxQMe%V-
zmWd*#KbJmpsa-Rr-o+}C(ZOF~ufG2Ko8Sv7|8Bp1QBMBN*MoNQISz#n_W!Kc>S8`G
zC(iy%I!I>4p~C)khd%jxvfle|aW6Yw5aVufNe;vN;4LQGzx;T4sxsAt)ks)Ny`wEp
zlR@z*3k0q7wfy>H^Wr(776FG=FY?kbUMQrMsA}TimC-Qe!hto~4{O2>v1ug+zWTOp
zlTgxxsT&@8t!Qr3d?@=?MfFe3?k%htFWZ+&EUc?Lt><Qc|0w&{$)`&S+Fvesb%D_=
zV#=)jt+h{Xs^9r?XT8SPYVNOlol@7|(73#N^IG|jt<m#TzVd&4Zhz_cjC&7P9)EdW
z`KY$qb!*NCEPpFQw`ylyv#PwWYZ>+JpxDM;+<xmf>{>j#X6x6h!Z8=L&ht)XYSGJJ
z1s@6U^6j1P=*Kiwt^PI(eNle-BYq#$Lg1ei=s1AuZtJ&AleBl&oYBV-JAX~fdVjNk
zeYbw?dXaj;NLY{A>9oefRGum8xBW{fk(|jnopE96ln+L1pRQhW4}0unzj#yq>jgLe
z#ZLJX&Hgj%^_%-fH!A+03y(f+zn}e$57+I}x3A4Dll|@c;?U7gSFh#A`0T$G<>M7H
zd;PY3tLN5j{d)K9r>ob#Z&Cgft1{bs(Yh_~{}#t*Okcn4U()WBM`b(Ge<eJ5{O$3t
zsein4^Y_*5d>i#|>NfNE_qo5XUW*44+0*~*;aI<I-}=2%*KM1Yk@h0%_3HEM1Ky{b
z{>*y)da<ni%#U+F$_QswH3eK2ZkaVtfmt!l0kr8uVuGA~?0I_;-^Md7nhXm?8UnZ+
zG*}g;Fip4>wBWdZ_z!;fU<G6N{lWqF!N&mn+6OxZz+MQu&iG`_1ze{$YJXUGwIaz>
z?!S?p)Z4<-J~tkR9kR`1zVg`2!8VWi>FTxiVzFUqhf=40*u<6*$-HGvV`To?=d0Jw
zUh(Z`R<?A?*6RnuLaJr2Ec)iV=T_8_Ujouw!!p*^?~T2c^uQoiwo~)8UFOu)YxiH&
z`+7ZEI4-x=$K_Y_<nlYYFX!(2q8A_aWdEzI*Wy#o>|e2*{r}l*-1}9|wEU0G6|egl
zyXI|HcIDHLprcmG_8ciXq%rOJ>oc9dA~gSZlx$)GRWi|W_cnX?O%px&JAeCPTe};t
zn``ZEG(0-6Sx;A-eO`6W<lmpneJ=c(0y;y?X|4Z+TQ%<e^Y#A8&qr(Vl&sueh+fOI
z{#}NaG~*Zd^q>FrEF(TH?T^gb$-GW;b5!q~Gz)ll`o2)^jMF|lcsO(yw@fz-cz3#9
zX;;sgmeUOvjJzr&nRn{fr<m#$#qXQ>DelR>J$#$?yiU+QRsHTXB=vPw#Q(efwkZCe
z_1iD|{*>Q-y6?~TTQ6&WdW)Z~{kdJ&O=Pdfw_=ytDf`lv+r7Kw9{BlZYd2U*f7+Wn
zeBXWKLDfvm{$=(1-_H8iye<3J-`wk8<hSkB|L<`7_b2{s;UZuEp0{{^eOpj`+@hHa
z_x<@_<J(##fBDJ2KkAF$dO#DNS93tHRLiVO=m`&W2|V_M7rj_>f+YXlAcjQ?qq!Vb
zX!1JA@i_TrPPk<g0XpVue%~^Ov_pvWD&WQFk*j&(@%oJ-0j=h1^y{OyIO-WEHpg(9
zpWqE>zQbU8f_KXAPxCwVw2dEb@~QwOHpZxH4)0E<Z#|yCy|VV*>Dvmc_wsF9bnEp3
zv90+@@o`7~3CPCYj#{W+e={kwyYX;IsY;#xZx!nv%X-)Ne+$2L)&AV~{pt4OzdxzF
zE!n@dZQq|ihj?}K&&cQWR%&O@_~)JH^M7eb;xB#ms)m2!UrnCN=k5L)zvJxM{}Z=G
z?@uYpJzEJ)fX|Q<U?ii%lF6ZrUsx{9{`~25WYLy29(CrsW#4{07$^Vc^Fg){j=bGF
z7dd^{qh|g*fBu61n&)|!vbX%24?8}}9`pF9|2xqVoB#H2*65p)dO^oWop+!8+34}}
zjJG)gS?Ssj%eFNZ75_VzaXlvOP^v?Qr0Sj?kifbZMu<}J$+JHbukJW;_Gg+@&BWQC
ztxmA5Z(f`6VW*}3|37jYj5Qyf{rO#Y+U(EoQxo&o-kGz%XyctZ`;!d!TGoF}+G$yT
zHR;IZ?i5e=EAB6|%U(U!t2MFSpSJPNocjMsC(petIRE9~iHmQKf6e~Ye{0=K`@G%D
z{x5ku>)+*V=FjV|eS7xj{B7;7U;onQ{LYSEX{mqlOhEBJ_VVx*--~D8nX}*e*5oOY
z_d$D!&Y5tOE^}OAjJ}s>UItf+nhzt#Qihfw1}AU{cx4)sZQZUz^@_8YW`4Y&%c>x?
zYbisE)n(9DqAN4mpj(OlAH}ZopOiOaXvN`KpX0mAPNu58nWX(tZztocNzx1Sc7lTL
z^u^RvwU{tY{p^NmrVgj2PMrO@=9@&0;@0zLD^be3oO9hX<QFX#o&C9gn|Cyi%(B(W
zFXKSP-LpUXw_fL+`yc7@D>^&l-0LFi+Ly8Www&0%PWzpI{H*_TC;b%v`sJy7_U;ef
zhkSn2-z<AL{r=Hgzdn6B^%!)-No{54)0RM;^Veqzf87}LPw-_5D}!z0mwkKoq)(U8
z)t>bIex7@E&4%mjziT!y9&Oa#7pup|vr@l4z`2V#)Z*yk{X(W1Gx6<Xt<48DGL|7i
zG=R$?%ezs|{_f)aQofC6Dqc)u65zcX#NZ^y3qe7%-Cuu9KfZW%!GoRg-Bs((w9H%G
zVtbvTavkHR80O9IcCx3Q&t33bO!a(j>iMGz)p>_)!`XR1-|_FU`95u@sLl6}WtrR;
zF7bO`jQRU#T5M0<zV0Wl*q2$p6MVKqYnrykyRz^*`?TNjh2K$*DV%R;dsNpe_id8#
zKcU;gZ$Id7w7pq2b85?at<4oj3x64NuiaA>v~X&K--Y?}*Y~TxN?v+K%(Q#W9?j`8
zy1x^;E8aY>k9xSVH?Qcvc&X4#&aFHxTc9Y#piF2&Vyxey<No<Y^|rH^W^x8Y6BVRd
z-MZAFf4<)H`yI<1(rik+86nLuV^&2lT6xyz>yMX3|IXq_S#M7K{4xFG+7+#*eKH<;
z-8jTMp;cOe+dLqzsNQ<lnlmk@wH~JOUI165oAv(hJbBmU=Xu{e>6D+>53Zl~$9&_-
zzaLG0iXXQL__y<9?c+t}JD(an`ajk8-SS(n*D=ho*vsYMeLihDAKy3q1>R0|(eCn5
zU%c<wZ+bC($LY#EpV$XSW%En+FL}JRak5pM^aPi6QPLW#UNL<-<@uUP=rrfzh_JQ1
z3YVf@Gk?mCf)rZOOB_C&IJiGhPk?83jKUAUE8Qu_c#rSlXn7+6K`9DH_Hd+VEaB6?
z^mw^A$3AK7jR}8!ff{|3+z2yZiJA2^uuggGI_Do{I>^6hnV#{&mD-8H<_^p98hq|L
z>~tuoFNvJVIX(2yX{iO^Fe_?Q5a%#B!P*p~u)$GJ;0aSB@3K$@i=C506fBkogIXJ5
z3Og*<IuvB3-(_vuKO?L0f%)TltGxDj*7}xkc0bFE#jBW?m+rArklg(0z)RWnd?)mi
zbFYF<iMYzxd2|)CkhfQ<gVNfqRtovI!0me89j>dG?;h4(#e6=qsPsX5pynjW>(Y~z
z1cH(wXhNgFWTgoUCs-}<=${`aTcLm>J;ljZ7@!0W?AX^OW~SF3FAryz>%v}Do=+-$
z@NmVl(>^O+dPQ93ov=(=!Ph)s9iNR5TAM6J_QX|2o}yBPaQ2)DtC@FNB{XM-vd7)>
zT*Wu%qGqmPfy8RQIX695@$Ff&IjM9({I9;6omL;Ve+^;(we<Z7`3Tv7>Gx+mI&j)L
zPG&+&WZ?r*S$)0}?~`(mf`aELW9QK>Q1BFjg2zGu-tBSiV&1=d^&*RclF8l7_FYyJ
z&)_+T<<Wuu#hMdl8i1Ov0$$MaJSZA8*>JRRzDqDt8+L+ZGXz~pJ684Q%cBGSICO4~
zkoggL<x;BJmJ-#Rmux4%C$VUVv)9R?hmXvOql`R7g$m;AITN~>cUmMgXNt0)d!-`E
ze(v3rZ4wqeqU`5hs)(}3-Hc2xJn-xL>`>W?V{W1B=iXM7IvAy0ZTxH%Cp#hj*sWE}
zpH6wMW)|uWWmlOt<yC`ARCcMuy)EEYsB}Sh2)kcRo@?oYNZ)mQHd0fSW)|)>)MQdL
zWrH9|4ns{)U(dPg%a4~=8{<LVPK37SA%U`F8|eJw)y(~_!N?&Z)jR==t}FwE$g2bT
zI739X!e-S=BjK!FLf1-|m%L>2xXe3cHM76V^r<roB31XSVT`)Qpqs4_&R$f?&<svD
z(obGFtmC_p5OuXtUtcYhJ?<(r#nhA<uC)5_>YUYTX07yK9lkBz<#InxExKRn5TyK+
z(b{??{~7%|yLSY#HeD~-<4{m{oSQ|wWRHVFXH0aXL!4!1qeIe0rp6<af)plrWa|o?
z&`&>kag)+d-Q0;x2kZaIpJr`3xY1Wnz((?`(#*ochWbp3)@%?Y$ziAu3a7)}Uw*t~
zZCbtvN6KMs5<jiC%ZSzJF{lY@QsT|XanlfjJaWs{9QW53*rS3ySK4>8HcdYAP|G(q
z%_%ti5V+i7n7OO5sPVy*7n_WP^;Wk`2UY(IQhA>+IqC@{OyFkO>5$MIqi}+iN!n+%
zgM!_jtVV~wFB@dp`jWmj{uZ13ih2FpHM{K!zJ$DH7LK*~(A2w%ch9=VTNyoPTxE;9
z|MAuVezEIO(i6h{qNFE8tT9vAxa10(%B84m=1<dNK?U-?`sgKQ1+V@G1xhcl-+z72
ztpl2Ys*@zAO9v|n2qi<%ghqj2aG^5=ROm=sm}2+d{5WX~U6exSh(rq*Eip5^_IUYq
zHotycg--5+rGcK)X9irFx?zd5f~UE|q`U<&(iX~SRoG6m3E9jNPi{G^<GrD9ovkSM
z0NdqNynj+cq<3h>NzaI{a9+OU=z+vF79TDL7CP_=Yx1pNSQj9D<K5QAx;<tEA+}o^
z->$wlCE#mWau##`n~GeAOL<!x%}e)~6(pvw-3lstwla1e%>orYxuBxQOd%gK;_`O8
zYZmkLf5*FSIV}Fa<l#(0fm9KRqrJ1&?8B!Fu9RcW+eI2OK#d-FDBn5~(LX;<y22H^
z&U&$I=5$xp*fb~4@I#aG8dUBwc<yQ}%6;$#J?(&kCt*T1^G>sb<{0S{TN$N&R-1iD
ziOO}@Qnd8ef!WraTN{72ZZEkOD^qbyq?`FOxA_*Y`#J(&r+hl_=TLL^zq3q*{+a@3
z^lkR+2o!DFUbe^S!_C|{#fs?T+ANb!ZVlylGIeqohl<#00S_HbQKrr<*SH$@6oMOy
z<`2bI3jDdYKP-gfMZT2Wr5#)cE#Ll?Uz=)ps50V)p6#bQ0aXqmJw8<qS(D{#>vsL!
zUxeNbKKt(uX3yj^)}BfD*5BL*Bjr=%w{%-Qey%Zpz4D^x8uLwW_iXv+)=;BiePO}8
zW2g7*+8ghzHGSgD0!e`=H%|Rzhv^ejdZtzSB9GdX%<R+_2(558otM~buOeN;%)76k
zS^p8+`sM=<N;kCf#IfIeFgx?Z+FLwv?9nG4lm?`JaLr5)x)FD8+q*5VZ@jnl*S!^2
zQ}^&wrFhxJ#TWTf{-5vPs<eB;-{OA#Su1Y8K6@qp&NJDQe+~)j{+_d?-}SeMiRb+I
z9V?RO@BUVG@ypNFZfik*{Yk2_f$~e&-(1<h`^&{Qe<t<a-nk~LOpmRiVeajR^IkSj
zTztF#_4O~_*6Uv8zu&QJ|Ki89{+;W~{PpM1ZmVZ6KXbo-w?^aD@A-wt4r;w_?W$Th
zEpE+<7p<=<p;HPM_XO0hoASx2cTa${e#lYos~?<X_XIHNU)k5q8~EPce_g=)gAse5
zOE0<?YLT}o)WVhLeE&D=)MMp$i+xtS=5>nA7kE_#8WfHN4+@7@{VA#318RaGx<pa?
z6jWv?T=~Sh(%0bYk8F`MS~10^w{x-U-n{M>y~#*;Tgub|?`sTOQ+NZsuN_#kJ>~i4
z3xB;hVppq_>{NZ-tWz>up~ULPRDoTYTT=92t87iVe_1n^G3r;Mrfejv8UO4h`?9Uc
z{U5xy{$FyXSJ$g9{D%9y+C#2$)|pnm->RV*yU;3p#VJwMUhP+zoAzyAEdQ}}_H5XY
zVnn*AzpY>Wzwf_(r2nee13E%svlio(rFl{nRqmnp%Dwxh)o!@W4)5%QmEWxt4Rfz&
z`}d$N;%<fa?_Dd(PWa%sqT%w_uNOs5_{?B})U1&1<4RrDsy|<jy^+RMrTqJQ45dW)
zlrI2A6K?&9?!T|_zb^R7{#3Ou`KoX3vz^$_JE2~BM(KY$i{ItUe|Oh-mVC>XPx*iD
zUv9JHr}w9=J^%gP=a<QSVb+QL&&Bm->T_0{UgtTDd$x(u&WMv&&Qz^c-@5mDmFw~9
zwad3JfBrP0e);<S-WR9JzTXvh_2atNS7qPt@;YSZcK!bSk7=)$Z{NA;^!tOe)^5-9
z-k~P7-ome5Zl-md?aIC0HtIc(Zk9Apk3TYLajJZdV6*(bwTB+ezx%{{k^Y<qtAZ=@
zG*lHzu4;PZ1}!@7zx}g0`bg}q%KfS6S%2-XwdnIKZpm`<zusOn-Q{%8{KYi~`Z!`;
z*0ks=nFZ`q`L*c9)>DWvhbj7<`z(+L!G2D9p}e|q;rzgx4@xhnH0yiGep!_A!Ro|x
zm-Oc82aieD>{E&Q_tQ23l#Tbjn)G7xt*73L;_vzGSNZj3+exc<q1q_xzmr~MTRrt&
zWPhPEqiW)t7ahMeYR~%B-dWnO_WXL;sp&5Fcdk`=4jztO^5Wa$U%G#H^+o?;x6XTc
zz5LE4`zs&47unwd5tlS8s|4eOYEK=EemN<lGp$XpbKjpXuCI2xPQI!=$x^0#p7$+V
z%Nw>OX1gywUcUPKQnY}WzwTZ>#vtO?y5nePfUl3<dtWj%e<o+DXiG{c=jm0Ao2vF}
zzdC{xOjm#3y_lM!7Q@yuE%4B3i4(7CQ%v<XRi%HO<`|YQoAUMCtK8<b%kQmU8}Oc6
zE#Uq2&=udsw=G@$efqYkSATzfyX)%j-nW~o_AlJ~@YUaoeXGA8w_114yd-9?{k_7k
z`!+s)RU4dc`qi$~d*yZ)W9L`3w|PZh{avGWU+d4N7f*K?J+^*qskX~_Ub<7bPV)uP
z(`bW#_l2={+Wf<Re0M``pJoK<u!2TkN-mmt<jSo%?tlHyX&f!oY#r8+M9VT(yDd`w
zYmPmRKlXc(_=?j$6^*-u_Bq^Th+UA^pci2Nfr;^8>Bn%iR;$DGxrtlPZ|BNibZh$h
zZhfz;`RlvCJBI!C4FHcouhm`l?eY%2xT;6(FEWn4ev}Vx+WUmN2gy&Uy`;6BYyVPb
z>;6S0t3T|k-S_(R6XETz!XBRQ^r+9~oqiNCN~5RyZoPN+uhp026aKl{h99Y4^!SiI
zr}4x8DaTvm>%>>b3C4%S^6Ia*iHkRwUSkRw84P_YKhZCY;ev3*LcXYpLJhXZ*L6()
z{$|qRRM$`cZokdw=l}LAVLrdv0*en9WS>4dP~4YWRQTZ33gMGIw|gxGIbh3hPB?T}
zf|s56EjjM5&liJh_*I`TMiynHCXEMjNebkKly2ttIGcBc4`!`cdfEr&=t@1l8U^&`
zoyCN1W{D?{9Q62ZD2THc6&_%_ti|WiZokmt!%9EUaBD>2gKK_4g%2iu+ttnN?z_<<
z;OE>*OHob!Gx{~V9$#m>bM0d`vtCwyNb3V*+4TZ@mc12ix@{e&I3fPTEiIN$r#!V;
zgt|jHRHjYgYIKRpZgse~1Kf|w&+881xOIQc3IUnRPq+?l4K$o287?ENEO03qf<POQ
zl|dcvBcL|0;toArZD7S82`0#$gO`RJVC0cowdT10dVv^u><N7SQLcmSi&p6wFI=Ua
z7;5gYDz72ruES2J4-e6scU+F^1QI4_v+Q(AXpT`lAqwgmI4OwD2~hlz18M#`DR9jV
zQ2Y^-epj^V{;Z_dghQv<+oVHfb}W8;l+kmBD7)SLkB=Ju=v@nwnGo(5B{LynjfKL-
zB_ix9m!i5s2VlW^1{N2ppB#8};9`CGnaySALdDpXLL0(N=dC|BJ67h$iAFz_{|nBX
ztcysylXs@m;Y5!k1hF}t>2zpwI+HYI$?HGviycq<NSJW2@;W&-2V77PG2vics}g&_
zUW_*?t;UdB%0+zh0>=|}Q1m54H$(O1sTyaKjG3IxYzr4SYGep-NwqYwEnG15%%UfC
zHd(Wcgl{bq+UCogGMnv$8SfO;@5j)B$i%(q{FzDF`uCM4MbE!9t#s>}Et*WxVUI;(
zb-mx+Vlg{wetqT4n|iZTr+e#7{q%9||Cu4D)VKP{W=!YLFW$Xp+t#ModpA2PpE}>Z
zqy3aYaD9mAN|94<^`_K{pHhy|DBltAuaEobmXM;|t5fcWe&Pr}DZ6e`{R5$ydfjRr
zYkJh$%1dL!^s{$6+`C&CIpxZ-6H3{O_NciE`(JUN>>PP}%SDlkApuFoy;C}TBz#4E
zrG15c1%j`9U=W<L{W>`Jwt0#loOq_!CVxpos<n*gL;If!%NnfbX=y+B+?TtF>7K~l
z$ESPVU(4<1cQRvzAR~dK>Y51)1#Umq_6$ET8+)KL=6AB`8t<FI%DbpL@`6H2FdTVZ
z68#{2aY3pY4<x8zp&n+`&GqBtitdv>FOs}&9OaqNEvX=G91wQE-xaNQubmL)z_W=d
zjdhD-*nwjj4{YbEu>NsUU)B)+Mn&VnpF`}oUKbo#?thd~Ti>ECXLfvn&P)N`Iq^S&
zj_X@Yn>>3ON8QfHN)=Z<SoU8k6r951uhsNvmZxjLHNEZyN79ru1bt&!RJVfis%M&c
zEX)7(-!7k0syGwD(i~l5?!=OB`$6y2w#)i|=JRsiF8}!HPJuZ8BJ0}QN1HF`#d+>}
zQd`y3@MLGAgMx?v2kR1**avyD6+p)?32?BoI5BE^PTzdqM__`DIS)q*6Vt+mDQg1v
z94ovhw>Na_nTn84`oB9eLO!j3Y^GdOoX#wD<#NT$^KS1Ib&VeyDQxKxiaXYzr+iXE
z_w!EVDUcUN!rf`2_X2y<Bd#3VzUtf}v(<~jd@7P(z3iIB7ba*bn-X|iXwkJ*zE?^%
zw7U4R7Y1sdxWsjv=hnUR+p5;3Zt9+P_RgO}i*>&nU7WtHH)>-3w1myNc4_NP6opSt
z^xre}J$G&Xal0pPrY0qS=8k_mrDTmqnW0M+Y|d`-hV`W}hf-`OZ}@F>dGdz!<uQl$
zT`c7MopxOHO|<O(tDm=9*R6j3zC=lCTm8F<ty^Z>%wN&3{^Bv?r_di~=U-Qf6+6iN
z^||=V$M!ECPqNc{{W!1o&f@;+uZ8pNu4#q+|CFzF<ke2!cN^{s<}N(Z^IrV<jDXEt
zEwe%uuB>5_<k&dZ=EMc_^|wKLTAyQ2j2GVZAScEvYnT+%nHHvra#&8DRMNRyTWZUh
z7I=vPDLlNcX;g()9Jb0ScaM%W65h6JO2O*W3|n{c1gt*ou=8-4Kl%V}yKc8M+ibPG
zorj+_>*THOp3RpfxLvL6hF{bdzT0=#idyB|e|y9#M_s?F-Iq_`?S|U*oBQ}~bAm2&
zTzJ^*Vdyk>zw@v6-7j1}*<{A`zY8A6sqdV-WvAMD%eeVg)9=Jg-g^41Y3JEl*+Lhi
zZ2S-Rnu4x!e3B74aZhrY^{vOvd+lyKZ;q1vV7x!}VZ&iH^XvNz9GAPzlg@kKxFVR@
zNxDMyW!?9qoJ^CRw=p&bI4Form{d~!`w|P|$>PHe4jKvqTppggrq@;?r`?p^bEbWt
zwJ$!Kb*3WZS|8KGvMEA&?vfhj*WdM)?L{=}G|aE>lZtgiOwrwmvEC#lzH{d_7I*EU
zV&3LA8LO?M^46W)U;Qo6PH2nLy_ntmgY(w?-7xu5O!b1`ymhuWJTuqXUePqaF86K<
zcw;mob!D%!y`*V=z3$4)c`bi;JQn&kxzBsXA1j|jb=N*VyLI0BUQG2C;fovo7T?dx
zEi3j_y3urYYjvAwl4(X!@!RZ+?>f)?s4MK_{JPR%g+HrPt=5D_f!imWd1^oJI_t5k
z=B_wzRN5irqTf*?L-l3Tx33u&j2?rEu3a-&d7WxO_kIez3T~WWtm!#@N4`t*w3!C*
z+5%jJMV*v#UC)&tQT%rHMZM`~T4ME7?>sefh}C1h^3*6GZ(Xf6dJ|;lw4=PuzQWt@
z#C&!X+kW+^FZ<SxXyMt}ivl>$ZjCQVI%`_EsO8zM<hi9L*W&_$c3qR2l|3~+UO3id
z=VYH5cRDZ4ymRuTulKvUn}s{AD`%g+`&f3m^~v)~WX&qie*AT1=M%o!YhvGR@XWcE
zIJx7RQnggxn!{=<!DDeoyWy*Pu4py+%Y-X7otNx>P~+^ff$KQ$8m{Fl&Tn5Vrf9tH
zx_LK)B*)D3MduR47#GDQiZMEgF&c>^i7ix#t=%V#E%2ll**!M?pSPu5#kel)nlsZv
zxk*Cr6eUmCE&+9s{?AGgy?F4=DvycP9ug^{H+@7e9z3FTX_c>Qi9q<oMOU0}?PvW{
zbz1d_wC?l?w{PhwisyW;yCf{TeZp=2k9C*Iqw|!CM2rP3yJnu4ENedT_pN);RjVFr
zeR`y~(j)Mdw&*v-<oTMaA2rmr^ewvLt`%a;721<jRrPVwx>v3bvkHU4uPzE$tFb5k
z%VIH4*1CVee=AkQj;4L>{-UxyVfTwi``2w~S$uq2L4mVQ;vUmKaV5bz#`{dS_!@GQ
z#yPC`%;Ypze8RzsU>+lx{I01>ws-H7#}))H-!78JmP9;v8Q%qIfHy`~#IiWORT3yt
z6UY+RyeW{r>d@t)IfY^M61mS$_}n-bc<8MR^ObXk4sT_cH{UyFX<>ckjCIJ)xtFyp
zL-L;gP_Vvotw=-m%A<hG8PD%r^~-ub=g!lzzB;>3=a=rwYOcI?UnMc6E+RrZz5RaA
zzxg{SC;N(KKb6VZdf@LzonN9=;(EVCtAu98E-ZK7of5h>b8GRt%xdfB@3w6(-go0$
z**WoBmp|)zF5Ft|_i|V9+^ln3>r0}{7tU>7+;fI|y>;AtyXki>P5i2D%Okk<_|d3e
z_Zi>H{0!9tEtkrZe*;-B;J9|)w<q_*E2RD=Zn|@}a88mMzl-@#BjH(`95bQlM8ckv
z?2>)vKfNxUeR4440!Xq$FU_%e!psI3(#V`J(?-NpcqZpr7tYz8j7GxDDQawKcYTV=
z)4!cvqETADY32`w*`8*Tzs~4<b4d8ilP_OqoV@rt<INVe@CiwatE?x9ynDOnip}B&
z_xj|P=}3IKG%-O$_>`-lI5%hFt0cwu6II<$+ubPHSaG^uuls26%k#0O>lwckl*sEE
zKYS+NV)kEQ%TM-&XYEfU?CEEd?E8Mv>yorXKh{XDVt(O{t${OXU8H><az(TxQUQj%
zq?Sb5cV<mH(=uymi|J{G%xR35beK1<i`>a*@_W`)X$QL#v!<G!X1jc8jqxteS*2+{
zn}4l~y#09ASN1y$vp%f0Ih@%MzIxO5&zshLue-PP#a?;O_OEqu_vX&)kKOcQ@BHwR
zyC=^XsXONdSJ@X$nK<iVsqjn5YyFzDTue$`C%1qjZJGA1<o{6%GkX6yhuF`3a4h@N
zoq~GaMb!nj<C`!1i}Ku6_csT*eo%>BTW9C!@ac|$f&dps3scafb-w+6sBN3hV}<{e
zs@B`g{J7$Y{5QdiE1t+7&vpAT+mX#=#e=xYe%JjCD(G#S)2MBm%RvXUYz}u#dq36u
zcURaHt3+4NizNZwi(V#(x?Xk++oHQLV{N>o>t)B7i(H>JA2Ms+_I>l(dE7I9bmlz!
z5m%X{pDVkzWWCKsiH(N)!sq3jd@7v(wEF4XyI-|G9{YaEdU^DwjY^S61zraJnl<;=
z4sZFh2jgz)-q(JA=iL{XH+$Au-&notUBdczyPEedd;R^C_5RoQYj-V(-n8M+`>E>p
zIe#1f{aI1_r?@ue;NGr|a?$K!z3vCCzdm<=DeSKK`SN72){$40zWc)OJ-+y?4N?`A
zrZ}unW_FU5M6HT!1+f+-P2W;XGG=}}s*@oQd!~tPp_vfq2!O2<p+^7&V@qk9+%B#@
zWcq#Iv6s~wbEa$0jxiE8+c~9R@@WRsojd`PPdAwDY`S;Ld(r7rK0DS3#ewF`8m5^V
zyxzODX8oR<;@gYz*J^Y|zgzqBL3r$)l7Q|VTer>F;k$VIw|}nh*Min0ZU1&F?@e6g
z{mkF8T2C0#N<_b(s*W|kl<>N`Ik$Sx!Lk_7mr>an8(unD*Ita>w_?TIbsA~QySr|@
z^j`L~biI7#+$9J1yyI0~Kl#qOThZEw_RZS!tO9hvOuX+ky94h9W`Dh=vS@3?yf*3A
zYft{p22HG09)DA|<v4qF?S}L0Tdf}asTAeA@xtD%X3`rmE%Tp7k8hXWe$qU_uMvWH
zT5hPxr)V58`{{K__QMlUp~41f*nxY6yiShVp5+IE7df8p(NA-1evu?*0z2JJgyZFu
zNhRzyrr27AX%`v&yHCwHgLZATjV)T;ev@%Ca}wy7w*|bLn9Ytc-k<)gA-~7!foZoV
z-;ZTqEgr<@>|s8qA7f;1%ekjOrEwDb<OAmYDgl$MSF)VSR-5V&xm%}EXtw797n4%X
zDdso8eYI&fOE~}Vi%(N6@DQ5ue%(V0*XlSaQ;wO1;s(tOis8%<B*|ge%pl2S*c>ov
zooza(fC{K~C^6)gYT3dLL+&TvWcZ8nZFzEL#k3PXD^$HAym=-}lTgq#G<Y2~>HRvx
z-%&wR)@kOX>K;_cK6xy&lTT`yYW~Yz)9x5;Z<@Bp^n%de8X@hA{XV~Mh2P)*ZR_Ew
zPdNVHx-LI?qR3Kj9`4^YGY{_0_6X{`I^WmiUfQBSl{>M{aaNmplAI1}c$Ee2i1gqH
zHs`MF*8$ZP%es$VeZNkiJ8|;<U0*l({rcYUWamRUNtf>zx4E+|wB0o6%ejlE)j2>X
z*0MN(8n0fLb~~+~fzqi8pTAiRS;e&#rjISozdN#Tm#VSc)G6I=E{iACb8eS>sBbNK
zVL{XSyt&G^FP=ggn!J#zBDpv<P7<jZ%NUy$B^BP99<ljT`1V;VB3(T<mjraDy-W~2
zd&%+I8r_AFYvU!)UUH1t%vH1oR_u6RJt_PD)ip`(yioC(p<BcLy}EWZ_W!GCdoJy=
znO^c%IREMTyx3b`*XNzoUV1cn_Rn4Wc76T!?!`Ar?t6DD-OH;Eef1Mw_jhr5Y3#0h
zcfY<nmm2&3)wSwf|E{k6UgrHR^hfx1z4v+F!ndn5iN89pf9bgHr>kpUuUjp!+Htb}
zZEN#e3nob3*FR^ta2*#%X{f`B)l5bLN!FDU7Usuom-L*z{_`S7Y(pd$;zW^$NLGll
zfG)dV3p&6SblLqYZ22d0@r%%hvwnv^{<2r?){03pHv}$ikq%{B5t!N_70PyM`rEnk
zXzLUr-}gT~{Vgs2&ZpFByAzML?b`8jfmmVolho?FOa9#uy?Z0II=t-fCR<B~FPrwR
z-gR>N+qWN1f9v~j`rEw7pk3FV<QhkpEZ5(4T3mGNW!Ix`N&<y<8}rSYcO%wT$ogb+
z=iT4#N$Mx(e%WLTY5s0mCUs3Oew+Hn$YNimU)pnL`g}3D6H)AYF9FoIKN+0{Usbyz
zlxezMpkm|s%ujn?>vz2SlzQy@>usmm73VWSkR->(SkQ5iZ$C|4;{6~SdoPnI9(!Lx
z=*KUVzJw5m6BMmTDO1_N#Xjj<+lnP8eKaz?A};YvSR$$5X>9O%(zN%n_gy@*Q#}tW
zc;7naIg3w9E%SQGWR<tfcTc$X{JpgIb@>#%q(5IToWJ!hoqhKFv-*3sJkH&oz58C7
z_2$R9+h6YrF4|J|^4nMWH&y@N{#y6_zKZg)OeNvVmrOhtWZr7uwJuZs-L7S|OJ*;~
zwBTAjYfoNK=;W{3)rB1|)_-}fnKetL<mAOo{ATwry<4|oOS<{a(C^1yn8l>k{LZ;u
zFyUc^G~c4xdAHk|UmUx$?V-Z1m)mkJP9*H%4WE>kncu~xYrN39A>e|-6FxZdx}^K|
z5GW+tw=Hn|Vxp5FaLR-u6*LHRaq{*BQ<p^F!aA0e;;fTf^DMtJ?z4t*UD&6cCQ2n|
z7YprscJYALb(_BM`s^!@Q`Ej#sJ<y=JMoxj!g0xf{_f~h(RqolPp{p{78yS=x>(|m
zS48}3!E4u7o&8m^LGAVLs?%%VOY3g8+u3(+&HKf%`%iuP=6Zc!f9YSX)9<(Ku~&D0
z+1Ho!cc=8Od-H$aTNGdT^W!@67kO_sG0dtxrT*a0A>Y_=hmHQh4jcWmFa7N{fBElm
z2unfT!^UZn5B?l#)(t-}d-uAA?Ip2{_7_W8|D=>xas61iPWwS`@w$fXWwDI*cWoUQ
zb$cc<KYDI|b-p`agwMPBO+QZj-|(So{qZXcR{!;9_qL1p=D48#o7;_q|G&?eYyWxw
zLaqGeJ+ZqFPWR*&=Qi^@?Nt_dCEFPAo+Cx!h}jR&X}Sl)C%vl|cg4~*_1yJ8Rt9V9
z;$OKZw&Bm54gX`MzS__HXl(wUS@D1Hp?~QKqW@PP+HX`hZFdpxr_F)k>ok7O<jeYK
zEW74Wy48s~vsC-bj^8$K{T1n0r1oFv+{tg>x2>CfGkw>;oxij7(w>*^kuC42zR53s
z_VgD`S=a65v8U~Bf1P({{m!YL^H0nwYTq%%Q##}6x;xKztxG8{*{iMoyX5t$yIUhB
z8U0q-5c_?i-H+{dD-1TRnsz5r_PgQEJ?CrQ%<21m<8Jrm&l}H|-#xC9{4BY=?Cx=u
z`RqS##NNGd{0TU4?b^iU*4zKzX}2yXc~eQM`MQYtoubiix(m14#Uw_bm%4q;k$2--
ztvQP<^ZWDmrK;VjX}<7Gy2b3i!j_+Gl0D}46%K!V7VWv~*&bzV4T4Li&*xrTka`R>
zvJ9?drMeWZ1h6bL6X!4$nVQq_EaYKk=1Ggy@4L)4rl{p4X+Bh&#+a2Pxj=1NW8{8`
zj|<k=8n3r?^{kFkeS0!jQ$FSG&8S<8o1?ZY-@57Bje6zJnSs$+HHMG&cHf&8^)czl
z?%l6<NPK*`@Y|nfD)C~~ex8+4lfN(Qy7bn*tLojxAFKQ-`j1w-<tcOe?7S1ucOXj{
z(oegVr=?WXoN-OrLig|c25;V{yjz}R++^Qfy8+guI(0tKf6CMJ3GW}rH3qMFeD@;n
zo--}i7@c6qNFx7%W7}iiJ(Ega@6Z7S#WhCA(5|dP3KV%srO3YTjA}pAa&2MD+QSTy
z?TnlFm^bIi{<M3?yQxnpnw{;Y)uvLPqgHw^ca+}QGO4siF-`Wi@Vm$V*i&R(U)D9Z
z*6us=p!SZc<{OE4lj9mf?;h*v%bs*KwB0yCV40rSC%MR?EpM8A#k=pv+HBDgE0O>H
zthW8--ix(c&a}*~7r6DO`NA`BXRZ08om7g&J$G%-{cUeQVXMD9^WW{!m)dgXN0OKc
zq`SGXVFDCscwS$ljjgIoDKp8Qvrl`movQIdf6c`CMh<qV4K=44euXS33`ZNMPPk^O
zSHC>-o9VjHEIHB0#|9^)wiilW&suP7?~b_(3X8ex<#*qH;41syZ1SFa>t?Usar?pa
zT@%?ZmE|jZeayQg-Ou^mH}*p3)g^cD9F^Ul=jV51-R$b(^e^pA)|ZR->CgWBR{rk3
zvwPobKdU`)e%0QkN^i7JW~*JYUsKz_q-1v4p)=!^l*apwpw*r#w`ad=T>j2t%bUiM
z;|HJpwOzkj{m0{gPj?E0`4>so-agWNK`qX6*W<nQDE+&;_t(utX=asg=0_d7zPS5X
zVVv~e>NumvfhYIBQC<{ya)00Lo)6m_c`^e0AE&PCu6yYL9~fkeb(>@u^{n|KQp4-S
z{amX}QsTezbHAC$o>{kCbZJ?1;3pH=D~~d*B92}znP8jiT@kg~%>VL1u}>zlM{L(B
zcxvYuXH?tYezkMz+$-O#ePit2-`#rJs&0Ab)PM7?zs%jgyJgR%mN#8}wU5^R`Mzh}
zs~_Pro`A=$!^^y^!c=xkDA{_&Zw{6(f4SK6##7np29f7g-n=^ZMdi)Ci7mBTUkiQP
zI<e(0JLl~9A63`)@BPx7=W_Vl`MGr;(*E1(cfxyjxi8nmwof~{>Y_R49=ltP6Co|H
zI8lzTs~lE@v!b@V__3GE3u-Np(_p}Qu9i8REmffkPkg*CslHRj*}Idzmhd}c%VkyL
z#O2<c<-2$SmY-%Q-NiFSI!C@6ZI4ObhSb8t373!Pn%}6Ia5T5H@Uo-sqiaXlZnIl)
z@^1UtnqQVIyDi(=Q+8W^sj}?0x<lbx{%u@RvNp5y#*;t3@7BHCap&&Nvrql}@}i2q
zznyqz?)9>rzt4HRQ#m-f?8Xz*<FA9CPqNP0RJQZ5+Q!IsU!|z-H<>#&^37JM1a;g_
zzJagj4_M7H&)Q;(PE*PD1J61i@Lrs|@63;Rcgub$JPCm!X2ornLB}o5-8ZR3@J|J{
zx@k%GgI<&(cMewz6nS~>;<zV*t)knk(PZx4>yzfB9DK+vt)b@(gXGM{O&s^o*Ba|I
zMY0&4P@3U-fi03nTDfVFJ*U!-yDK>MEu5>;bU(VEL(W)K@Jj0$=HILTC8pQTnZ{gK
z|D<6OTk!$;9+`k5*b#1#yWcbj&9($}-`J;ohfKBg-7I0>^M8l)X+Dqd208aar_G=7
zV3KWR-i}80h2GyBGMLw^+<k9ni87$_E^q!UlpOedQ#49f=-P{z#}@Xodrt+W*=YTA
zuXQoe+N~GJ89zN^LCw^sxff5%r>eo0UueXq{+x+Cw(?}PPXC%?t^cBD%lfi|k8wLC
z1R1PfZRURYpjeTKEc6&RXnvbmyDNC#sZZDZPK8br-+DSc^4_(L{(Gjb-?V3c^uAM{
z+V4V+Zu98Bbp6eh<FUUodUvqzdb(Oi{*9miAvr0}8;6xSt5Z}G?VDQ8>i^mCIy~~<
z5^2!b{c_noH^U>nSfW2@oo@g4>%yc6+vhjb%&+J5m-(k0``%sb0~x#r4Z-uY-0+i2
zc`^HXuG^)juQy}MA(Qkn=655Hv|U*ap7ODSP5EH0%OO)fv$E&+m+eeZdsC$OP;WZp
zt0Kt-dea+=ir=1lu?cnI?6W8_a~?C_J4MB3*(2|SiJQw_QOfhpS=XtjYI42qR<G&x
zBlSYFvh825TfDO@#q(v<SM%$2wN-K7KTo{wDRt(xoNVRXCuNtO!3IByeXr@6Uso~9
zUgznO{n3Wk{94Rpc-najTV#<{dLTQl<OL(&k2U+N?`^b>e%EkNp5u0X%c{4oF1*iI
ze|%<<AnG@9{cgSxrkjh;pL`aSR#Tks#o+Xb6@rWelDrs<M2xg_ADZZ7?Vf1=H#lSp
zmse|MQ)HuO19zL8eeBbBIJUPf?|x`|QRGBVd!8o4MbPFXl{N(knzh3!Xu<LMvS-&E
zYGkWZT-9hKoV7scngjEaMm7&--ZQ({`u>aD%HGU&>-6>xwtvy)EALDFPFN)&rfQh8
zR--L-p>}s-q+D>w$M3)VzaPxMv0zQ3=BFKIp)%k4KOOXwf3xXe@$da_oB!WquQ>Pl
z*ZB_z_kTY4{)WO?+xgF$OT9GuCg+B*uQXfLyf%07wx8Sn`*^1&FG#+8i8=R+x9s+d
z+0oiJUg+;ys_Wowue00gM&53Tzcu2DSN-)qUzB~ec$Mg4U&p{nm)`0vt(|^JImWAe
z%D!Lf^D|xtUtV-2N9WM~`5)eYxmfb0{OiY=zkXEz`tkeMjCCt@RxDeyY)OCV-}Txv
zwt3C+4XnTE8xXSRk;UJcsiARml~$`QS6eL9y;a3G%>T;q{g>Q@gC~b%2hCdYuh(wY
zs()+kmT|nkul=9@*N^?LA2V`k=br+NL3^0rsK0W2)0#e;vXr&TNB^qJ_q;KGwlV(C
zbLZ1?)n{%bH^)8uryTOOKkZ=h@!xm#-R8QaIq5Ph!jNRoT$YrG>62f4yllNV9>?B`
z<)?p6N7>+b!p9MUvW$am>vqklSH-^S#NN8d?qBL1>8%AbIdx+=qjxZFDqv1|$ac#1
z!Fo5;dHRHFPwVe6pZj!Q=I8t^+H;=9vq%1$t?=LYLf5>AfBSEFPO9H{lKJU;=}Ymi
zE1aYFl>f!woKUxC_dBiw+{?llGeYk%c^G}VZMAFr<8ZnA*FS3aKXox*X7It~`tR%E
z+xJ?0NV(q4Jn3X;H?wPKB4|N=qC*mBC;O_Sj4n~xjSh9b;BNl=wC)g&x^MA(y5Su2
zZa?8Vczsclit##}SJ3TsDu~CvD%yUp(}%Aa$m^-$D|T*u0j;N2{NaXOXT6v<%l)2J
zyUw(%TGtYKmto~D#-LK>r(6fOqm96Vm)bNqt`m5|)yP}asu0eRW3ZO%pk{ZR;*Q;0
zomwBP%mXb=Dk&{_wJ4n9iNQs#gWc7y*9rW2Dx%F&^7B{O{+hk-r>~cPIDP&51*fl@
zFSGbCX`VQ{N@AFd#meVL8GpvES!<!Ne$EyPg^dw~4oRMxd>%`-im^Yj-3^{g{=dzm
zFyZ*k_G#VBht<T{_sNzj%`Dt)sLlkxJXn&$P#wH?q4Ud+mq#1l<J#lV&3wNXb(a|%
z#0<#o!$%w6gSLQR*&UL;(c;5jEGxMF5VwNs&xXk%G8Q|-!CR(ecI*f7mTrId=)mRW
z5i%?G)HoO^J!Q1k1a0oHx$*HdyWESMkk$uR=7n?k-Fhb4bh#={ae~jfwN9Wwa#GkB
z(dv-oxl+Jm$<{EAC)c-t0_o4bZ62)&1rHZK<vO_Fq-fLc#RSsRhr`%6)YVnBK4`?7
zp1>Qcu<YTp?-p&sx`3;o1Z`UxC<z&y5N(Q4+yG5g%R&`@1gfueDyUiy-oN1V;ndL<
zuEwa>R@^MdeKtB6JiN;6o*$C?V5#kTUXNRA%?jMkXEX1Av1Y3oC``;0Hb&%v!i3jj
z$<}LZPjn#(=kGR;+=Sby?bEWE&&yqBdnO$uz2Z<|@47>p$9{T*Y)?6M^kfNl%Mxh_
zN>Mmc!VTJYclXlc<<iglL93N7SU8%1cDP8YbAXXY?y;4}=gU4`bEr}9pG8)Sk+9T4
zAu}iDloqxV9K2_C37$(=&RHNRwsgP3)l+UAj%J72q!;o@rM0Ago7D3mRn%>T{4}MO
zUq5xhCB5twf4$8IW%HWP>)cET{M8)>KNI4HRQdGkoipPePE+^%>-_g;{};n$<rS|A
ze|`4&*md%&ACrY+eO&0<u320Cpewdr*Sx!5^Wws$tFm{W^u70AbW72AVP)e47~(m0
zi_vI}xAm7FFJ<q~2Q4IBSlO5X+J0fAF91dpZk_S&pMUS;U+~6_ecTQ&Vi}y?GYM3Q
zH(c1wuw+{~Xs_!2dO2ULha%h;_%HYT<HZ;E)2B(EUp%GS-Q}~!{GQuAAMU4{Em$t_
z{pZJ5`#Yp|ooQ)X*TQ?3L2?(PQ3-R_i;q=~qVp2xpO?L-_Uq4z!_iOp^x1AcfBora
zm~zd&(Ano-C-~a^@0$1fPo(YV%D*>D{%u+J`j6te&&x|P-7j8JFDrTUX5ROT^DlQ^
z{y%GbMf}CmmG(#Und(#5r+n4b)<5z5<DWyexxZPqe`*T3xOPuM?Y;Vs_K~%D`Bj_l
z&)RVDcR%N^eD5{;nF`|0SMM!47RFi;dcJz^`gc>S_O=Ha9;o{h{P&|pZA`K5f)8fv
zTR#4|_(=WL8MQ0SB^#bJr^>TDWvDjJ^|F1ibGvNRDt4Rc|3acZzhdlnHT6hy;$>2V
zAxREHUQp@e-2COo%dZXbpwP!s#$0~Q*pGUygeOajmy$w=5aY_VDvOT$uV=Rr#1Z-v
zsvJc7epic5JA3%W^p7bauT#~oRH?4{%I5K!cfvJkjd;d?A!xZUZSVd0ubF$-@oy=r
zWoV9(U$NS5L9=d%e8$?9b_<%LUNK*O@jZUmi@*Ow^9<MDD?h-;?7s2Qf!pGLnLdcV
zH~2p*Zl{$)c*FO<Tp!+k)IRWk&%8qp`@cLtf4a3XT}6bgD>H=k-22K<2cfjkM((OO
z(Td#lA)+(%W0eA?crjHxbxCDBlC+eu^XX5k;zf30(^v&EdzUg+pVqUC3R8K%e}Tn<
zX5W>(fAYV}MXlpC`E~yM-iU9eQ@vD-^~{&KD5xBRB4)*9E}#=TG$zW~?=|}{30Ikx
z`(O!5nTEdS$ifmw!=ig@WAKr+T3B`+T|sYnW8HOBQ0kR?U`x@`M+bJs>hrDn@b<&c
z*6Uxxbog@oe^mWg@4wL^pz{BY;$3zBcWmBW_us<O7PRkZ&!*jhqD|Mo?{QKPkBMk~
zz-zl+z(ehNm?CJks^Wx*HBJf}mxOSrT#C|W`E(4tcBalddWqAA_53nX>jZ4ppA>Dn
zOt3{!Wx{GCjAcjqUZ)S2P(lm6MPZ?gE3`zLj2B~B!n+AQtZ*#h%@J)9eJ}RmSRAN5
z5z*>!B=Vc*>JW~5SE9>XALuWSP@M6in)Nexy3CfqtBu^%ak3M7B1<2fwIy<6@&Ch*
z+o!E!cK-GF3%ltOtAN&EmtRi<TPI0QR}9t=5Xyug&~85s&`M_!um1UZm)3*!rDHi$
zV|n+NNYqZpB?T2Q+S<8AuD;A=7Z>}Y>aviMR5g)URh?aI9wodJUP#R-y=be^oyY9D
zU86HkyIYSfvP4>MmsQ4$7jZU1ccY($3EbWO#VfjDT3tlf^H<Neze)P?IaVj!-oUr0
z-{9m`|K*)B<?Yt*1mEqbH?uviyX{!-r+c@!^QU~@Ht+Nrm736*N45Jd&yUP_dUZ0_
z+9O3x|Msjx+o^BAg<rcmXYv2OUzUOD6K4jTcJP29wq$ii$!kwls{VY@`>wqh#}3e<
zx;&H>-ZM2hz{n#vZPjuA>7PG?YEA>pO~;#+pFfU2wtA76p7Fv~?L=;K2eG^cojVRY
zPyc;|mO#$z`tw|`T==Pd`Oe%4PvhD5M}4|~MDM$6=BN5Va0}tczvtq5FRP|UgInlN
z#X@7#Ss(a=TIertzPWPnV4dV1l_%RvONx%`H&5SO-PY&gZN5yepzyr(-RWQUm=$E6
z&u0GgJ7TL@K*&|rCsQY1V^x_Z?6z@Dl(fT=t=HJWt*V<zuk&Uy9sK`2UOr4uz~tBY
z75mj*xf(rgOO9i4D&v75BY~ti@HM>RFF#)9W_b=O!Lb$Y+$`dtRw?|@pb4_g5OgK&
zc-5aTOb4@Z1o!3$g^JiKS5nnXN>y`Sv7NZWJ7J}?hB!wY`a~vpmn=^aXwQDm1jxqO
zOi_+?zS;tN_Ci{o3On@HI(+E6*TvejPn=`hac-9VrdOE`<{x{%X!~LPX8S|$7nL7!
z6J@`5^Yfzyl`~z;?_X6GI@F10YxAAZR@de8&<T^75E0bHER?zSC}ZV$Xko1zB=h6v
zdzmmjKAZFy8H*)_M;pyczSl!eNle`R{zS3!ea1j_qx+02&z67r@$%9CW9a)Er~fkd
zMLmJ4Zr6r*3$zQRv!{Ig7`cDv?RbuJzZCw@E;V}mTtj{>Y|G<^`)iCBINJ73uk_w7
z|MPMMV#m)5qe(p%jq-c-l#v$o7#DtA$n}23F=L(g?J1S{3-*X})+_q6H|}|zz<qKz
z-=;n0!S|xKp4`p1ZNi?{3%u@iUplvB!`?l)cQdauicZ@5<If>U?su>B-(KK@Zgmu>
zm}~*QE&2@Kdyi-0^D=HeUs9`cYx#noKmQ#18vFas*6ZC1&+FH0kPiH!bo0F6SJ=`X
z*R#*X*S)EF9Tz5QIX`~Kvgl34)_06+KUANpzh57-f7$-s&u7&|o;Uq#YkU36_isLz
zpNs3gpSk?J{+{*Q7p-_-f2=q5mv%*KRMo~si^RXiUe3qA&C&V)iw&Eq?0286{kh%s
z_C&~rq$^X{7A`a4FkR}%=5(gPq0Q-)fr4$_u6gme55ek2>8fL#MKm3h+_B_@>k(Wl
z4oP2|zTf1Q!t|LN9M`o-KVe(pnAafngzZ%A&+YE0{dI-!PdDF6-q3o*?)%f+30LFT
zqXXZT26W#t->Cn8XI@bJzb<fPQBqpsZoX2#J~jVt?a#$Zm+r6HumAtI>Sz5|FHgr`
zEq*w?W#)(YyTuQ&9s08Rl)cj2H4F>XC)}Hkeg9CwH_rB{-OTRhJN5tfaYoJmbmv03
z!-}t*L9^e#_;~r~|GDUU)%3o;pM`$lRbu^j^u20<$Bw7hMsCs9H?Hfuc9LzO-!`Fl
zDbgC>pWYWnJKbu(Ir7Fn!lzrs?Q#G1=+1O;TdB7WQQF_1zK_!R{xtv9vhPptU)TNq
z^!zIq5zHg5c7u+%Dz;L!-hSBjGP|<-cKPe;U%r38b6Nc5V&DG`=S~0Kn)m(b`q!25
z|C;xTy4L<Tw!3%NtG2Q;t?k#R_rHE0J_Xw7_Wh}T|2E~+W96We6g7FBd@}{I&`(mV
z$2FP}`w(2;+LS=gfdM7TN&`^3gde1cy$K9HTP;5#{@<#M=9siYY&(VWCP*(}+sT+U
z0TiI?`_QHza368??^j$<{6FWtm&BOcU%q;AcyG(TJ-4n~-TqWBSorVSuUnsPd)J$;
zyEE<o@ku|#zixSIf2sKX|BUms|C4RM*ZvG$vsM?nPwmdM=@EVsM>nndt$gX^pLl<T
zy}J7F-SqI4&N&Xb4<`RVp1AbZfdv=MZ`ap{bfz48dTa_u3;5`OmLn1=3P+}JfI6nC
zmmV*_&bF=-`ym3$ud~?+pzKde;&B3_pxF|yKVHsezK)~kk-pKaAU5Pus@jwiRh^e?
z9+!A0ERoi@&SocpwpIqbnVzR8SK&Ha&IHK*`pm0r^P;}oI^e5)l`ZeZRM5E|SK0L5
zRpdJG39sZmqhGUUds1P-ZgKWsA0HifpC4A3Q1@_3v)L-Eg5>k7nI{>p=DTy{^Q(qG
zM%Th*L9JWa2@z|oK&@NQUaZy3pO%4JxAz*Nmsnl+UC*x_&i=yhcQNluzL1AIJi;G}
zJl|ud6=U@HRmve&r(3)bWF(Muh}B3WsJ-Cj$IEj+JLA}re6#SJ)}F;u=QnIVo0^`z
zV6*5_@2%1Aa#m$2SDv1pm7YG?aq=8r_UIEgO9Gzed^*40?vz}AuCIOl6X!^Kp`%y7
zC<ll5+BcuM(q|BSnSTnit8c%;yk+ha*0#zy>iG62Oe$+JFZjRbNrvmoqPK_ap1(=f
zO-ec1Vih(;@4TMb<Ms3J=e!HuQGPe+Ug(Nq(S-#MUn;*mbh-cXeD_D8Ij!6qOrJ>Z
z`uFROF`ssH$l`p@e-B@n#it$0wd2VB?3gi|-|2SEgo72c`Hj|O&t8Ar|NX`~(5V}U
zjp)0w6|TfGFMKEEk$czFwyvuG>tY<?P;&oo1oo~#J@>D77O%}+cF!^rE;F545Pq4V
z)RZ?M{BlE?X|vqB<BL(3Y6iS}cn>|vM81FcY<-^jMmd9555;!2cRQ|QzY@xyk-M?V
zLAZKHc*&%y9Z9R$r?Ks5uMSmT*{<DGoxp#cPx*G|&(_t}-#GKzC-TQy_k1n<e{}!N
zznyVQaxSdeJ#ls2KEtgo{@?cWYcJ3K+T#CpPk(iGzHjZFq)&3|jual!=zHEDW*;~|
zI5NRuNw&7pmM{BHSvA`p|Fr|!Te{b>TlQ_BO8yGp%qRbrf9BVouJGd8o6o$Ojv)_s
ztPOuCvT?6wR;khBy0kEMr>DFETKNqDmCPv$N7nFxGUDpXkC%79Jqrp?jcp22prg=^
zh_!&x60?n0ALl!6TzlxF+q)m-CEg)CscIt5syc0K9z47gB&24P8qPVNbi=_=$1BMq
zOGG@9r*y*60QO)3XW1M@!z+%5k9;`gH%X#ySNJWaEi2ORe4qF6TgCYwtg7ld*RB}n
z`Rdgly_O+;{~Gu0WA|SjyM4^{hS9X;a?9=4AOEP*uxs{l-M{&v>nDG|3)+>=61BY9
zcjK=A-!2_K73Ti^iro&yF!Ott>XcT=8VS!5=a>maClc1kDjeRm#kqg}y@-D)*b{^O
z-i?1&;^<)Ss=tqVh}l10Col?{UH|%{^ppGZ`+jXd)ADa^%ir4!Kesb}%4gpE?mm0^
z|GWkD{ZgCPzPT>}*}@<B<^A=olmGlSe*OKuu=l@Q_X*S1?DMkP^h@jKhPA)q-p2c#
zKLI+&^3kfdH|+0exbMF!Bdf~)xx{nd)@1wJ-WkUqiGt2cjXl0vJ+!Rz_^nMs=a*&g
z-V+NsrYz(4{{7E3Y}#i2tM1Nr*Id^$r$lB&7?SMCWlf2gzV^k(%Uk~%gW?v;F$N{M
z`$0#TA+p&V(H1aTVwQgO@$&57>A0Hli{CzuKlXf4ou2W+`Pzy0<_>jv4S()9{H%Iw
zjW*tH@H(}z=KR|D-c1e@Pcun8+2nBeG}BtPX{_(wt4(D+qQS4j{o(5^{*uY-uK$b4
zp9?z6#F%eZ5~yTtn-<Q_x9!z|KZp2t|NFi}#WF~KMtsGF*XH*o8Q-13bx_uJy}+FN
z-?$DwuZ~lk5V9^xQDYU#@k*hqnL9wInfxi5CZnr8>Hb+UO~F6@=CWebIQlHVz3;2M
zc5de>A;%?R7ginne6?b;H_Lqc3q4QfPqn-$db-EDZ>Au}PeTYg;m|Qt@I*kz&Ynpn
zEB70N7U!UEXS-zjXB}wm9ehU<AD0stEn1%CsO>5Kd^fg5jVWTG-{-9;KIQXbj@J!K
z-U-E03eQaf!tUy$ZCzhrxvDxXEVg>*pYweO(^loxCPh!Xw&}*QjGV{`!ArKT*_D0y
zhRN%g<vD4`bEYNh?Tk8geEYxjEY0~>tV$Oo-@93S9JCWQ`QFv;n@{iBZuhoyIHDKo
zq_wqZcXsOit)DoqpOU>-^l$wgt>y10fB$kvegB2x`BSFM@ISS4rsp#0#gR(Yi*G&@
zug>cU-*Q)J|CQq8DSwtpDDPghNA0Mn{}p#*m&n_9F4|nI2}m-YKBeP~)LHTWQSxU+
z&k6<q`M@AO1+@JkOl79;_Jb2I_NL@NX-svMnfcKE=Y#)S98WU;f7Ex_OYX;><l}M`
z0nRoXxR!I=5MLanV7%|3xh{huBQpd^av17@)?MocOwvn;?_$$6PK0bh=5>NZ#+FGX
zjK7PJ*YZO&g2`YbYo@SPX2)M%Arn&6L{wCDJlH%Y@JvvUoKfm%rNMpa40lkV(2_7Q
z6`oBBxpNd=E=X)riJPF{5&zD0-Ko3p!k>QoyXuZ|Okdd!nZHM^p1e4}T+#h#*8SeO
z(^x%c^<ABRwqc)<(Sqt=9uD^e?n&2uQyg7SHgIj1?@W6Iy0ir}^LV4=r{%+ahfjSf
z_L)38;k%ppO(Wr1&`Vi3W-@~I>99%mncwufG&>=_7pn)^e@CHsa4w@F6a`Ltm+&3i
z8km!BEN)oT#`m9^b_V4ht*2@?%rUZS-}KoDo8MRL<b2lrregNX3g4WjySF`y_N-^?
zJMO#Tw-u-FhM%qLzg|<UI_~5ACFkPYeJ}LlL#h&XE!uLRB=BX!$``)gf8QB@b(Zq^
zzt8TH{mHkheucNZQeQvQ<L`#Dos4Q5XEJ%Y1cy6R*6UhEZ4<SQKEU|@*TKW5_<r0`
zvwmQ{cy`Uqk8gM7esc6!?f^k-PC1kLjYN`W*MKg=T8nk5S^0r{_vUGm@n@RYE*{m%
z5J0;@Yc4iTGhNyL<b@ndQA4{-{ySQrfOZ)uuz@xl+)@BvCciTGT|@cyuz~~mF0vnv
zcE4*VYFNQsS8U7oWBcrf4f{=P+5cQS!Kljntl_?#<$@<=&@1IPmEB}iH7f=!8{^Y>
zzaF$~?1}8=mwZ3W`{l#R4kS*N{qXyu@4hoX%I=!|R9F%WMa+uZPJ$Nf`0krj!v4n*
z>w+EY2Y*o(?38e|K#>>daxnvJ1y<TcM)?lRofb6)yHJms1bG~Jr-l3*70U<Zi}-#x
zsGnrqzgv#|&Q?jjALlO@A9&v@6Ywd6@5kSX%=7lJu}`_~^Qr--r{A?6KF#-I&PHao
z`U6F6vKzRLKV8kWJmS1_VB-YIa7JMcfgn=|0v*@D0lGJyL-pmg&jp|WSj!GMX`ssC
z1Qbm<)Au#x;Q=qX&Zy2aEn$mV)*fMq>}1>|#Jo9A^|8Is%(W?DYnROU;O~^Ct+{Dx
zf`PC0MaRQyp0Mv#(cWplVG`&5#&0}Ala$`-8?AG<@9O=vZ>GxAh`%>o9=EGWxgLs-
zo-(~pXYq^+23?b4Me@Xi4qZ9xbWhnEbimNdq{6Qc+ovCWbkjFa@2|$L{%JD{KJz=l
zS4*ZC+;eZ{IsQ9C_2t>6^6uDH4_6hxR7Z)x9@Z8pa`oJm_SXb!1iEiN_VRb+mE|dF
zUo=(U1hbu3&NIPZQp0?Dog7+cC-VA^J2A6rdrzNLl~3ut?bDl`RQ$5hq_^7Of<>O5
z?G^6~y_NUYY}{_Lzu=VP<qf;To~{*p`e*6hE&o3+e7-$OBzGd)<hggYEP0<edEei~
z#Z`KB{a^MRR^gnt<*>^7nJ4~lDBF2hW#deCFPGr&4xXPTElzcvbkkS-;Jf<r3Qv~#
z-rJ+j$f_OJeDyiwic-q4+5<XFEj7Fll%jA%hbcwlh>nxzu3vwYKpuU?3|{xT#7+T*
zywcojR~0N!>vz5ClICO@cql8W;mQ$)m7R@|;gjzq&Z;%lENbU$UZXa9rJ7a7j9Giu
z%wD2q|7z{x!(X=ZzBTX++Hv?xvT(1`!ow>1vXO3v3ll|DcWd0yQE6E@yLFHIG|<7X
zmybR&@3Y&ZBi6gG_o4pc-x)JG&0%{IIdcCwvOTUfIZ?2uKWx&y#3~z*J0Z1>3G6rw
zuS?cG{w~O+kdc@G45_}H^%b<u4D%d}zO>%U-$O8Bv3y3Hj`XWjsVIjD&nWFZExYXI
z^&NK-KRI68arVj%wXzv;^OhCbbDH#7`#diZw(|MRZU1)d&ZA3SZK~V7*|q%FqDu);
zA76XB`<?$@m$t9nWX9*ecjoYe_P(n?3+0_ED1~yE%KI6<<p&P`fAV1xGvEB{DeN_!
zHB-X#KBXSp{(9R<cE!0&5G2X5(HFF7BlpwPCDso-FJd`zV$!<?bvy-gg%>C{V;&8{
zJWpz>;Y`j{AI{S=7$+Grt0c3X;;V>4oAv|UYIp>E!J%{tdrl9t-K}EwJ*j!D?0;OZ
zFv`E)$$W}&5#Nu~CG2+|@>R50Kd`^{zQOtqV}AjA&A~4+A3jcG_L4foIA4O8GWv@!
zzrJ<C;{4S5s*=h2#`|Ko``W=bw4HG1$o=Hl=9Dvey~<t2cqwe_BVY1WxS`hE&`?yI
z8#L)%L%JK5a^a+o)q~TEjMR)5_Gl(b8ao)JHKd$zxXBogp1<ET++;L7!8b$p0^3bS
z>BkNJ-zLd?SQ&SQG5(H!(Shk-u0L!z?sJmy_EP;>or^kHqLhv>z3p*fJ{z{|X`}kr
zyUhOYcC*jBRL(!;kKe1tPqRF21Fq>+FF2AWry=Njml=9iN1yp!=Kt@-<>J0M?3(*m
zvG?<V@Gsx$W2Tz_YW=@jG4Z!r+&t;LM~)?n^JiBG+&ZG|S-uB#&)B8EZ{MIEkYH||
zj=UZ^rT3m`-{+}&|2YXfcl@URXVJNekWc*Z13T947gU(f#%Ho(`A4JYr_weTA>Gta
zBI$W7W9H{Yr%%8S(qC}e=gmyWF@XNoD%H2nR7VB=x)n>p+UHx_LC1DTa<5BW{<Sn*
zdDW#$y*`Pvx$ZiPtV>@0-S_yLsn3%2-`-Zg_veuC+7sX3Y$%@2Z&|(c#0<^LjAmgf
ztC5#M&)}HuIb%(_klHE(T~6y{mBjQul{cx%oYj^0RdVVciq>x4@UwOEFG1eh_TMk+
z)k?1qVAl>4*|10@zO^fSquI+%9C0h`_j}0yca)$0r7%*y{PknG+B>WJe}CB+w(*z#
z|Cb*&MexqLlTaPHrCG^%U;Lb8r@dMNuR<FG)`RY)FZ-n6e1A`#@g%+Y^`I@%m`!KL
zYG35^xiC%?v<>#_DhJ3-*gvslZ}2u)>1zqQ6>hzpG;_n{r7hO6Y%4COHdw{7o#Neg
z9c{syg87Y2I}$f!KAI+-gS0;_so8gn?!tp*+h)cD=56R)c`_~ODBJ9JN3Y+BudX`z
zA}aXR`pyNjZh6Z2ynWK*^VY>@`O>oIUt-_J-7Mc~z5RWb`pdan_QMYHh@ZUm^k37?
zv%f$Gd4%{M?)`dQ1#;F*Upe9&57Q4z>TUO|Y5cPM(5&T+>$~55PCZusX4`po#l=hz
zB+0R{7F4*s{XBI^_Jh~hI&Uhm%=ZP5xAmP^;Q&KZmSjJW#-=Gn%=CkAp#0>S7miQa
z&@ZVVZ|q=~wqQ-8IC@xRCtP#j*~FH{yT$R^fnymD*mrwMRm9mZYrI}AY*JA7F!XN+
z`?tHy+mG9RIH6hjAb%J8x4*l~Za==i_4nzQmJe3{eg|GPef`T_M%C?;tw49SvQOE1
znoncnOlB{a;BrU{99q~L`&;gL({Mg(6Z1aHT_>kY-d}fHO&->U1f?IdpP&s;C&MSb
zYXBcSgqeQWYqF8k52T6!lR+R&pw+?{nj%XMbYm&s^wCGS%MQG0kVs)a!<W(grlGg+
zz~|qdd=-xN&l=XhRkwWLUj4e@fc?x#%+snHi+)|>-cwgH^ZDyv_qc0n>#O8`wC$^Y
zkQ@8`fcdw(%&Pk*SAjx;f6CU=avG>DmQU+$mhjuWzH``H&SHW6t7|s=dsKE^oGy8P
z(``5TmML5i1lkPt3ltU?!zaCKoQ|#7_T0t3&lY*p!Gxej7}E6oexMw*XBgvf6P>CD
z){C9gj2BMOOjI;>a7t@vIpc7X`MxMxTkcKcO=iOrax-i%u-#;qe%zS<O1<(y>)#pd
z`*zNM(wP6!-NxYQtP8QhpAH1-7gQgrH81x)&v^e<Ir|io8O;0EzUR}}Irj?VQ`5;-
z3)aMzIdq<t2~e7~i}}=V=q~V_UCedg4;?<u=TTq3zVdr?<#!hI_Y<DCNmmHmeR7*k
zuEp$w*QLMjHldc}<<;lWj=I@fj#{*zGwu8Q>BZl$lw*r0)n9Q3?H88aF8R=Uio}Hl
zO~2Rf(XUb#LEO9pDbKah%kvqK^4$IO_vo3m?%JRJh8djb+qP@*)~sR^*&Idp%Z_(0
zatUpLZ5Eck>H7E6->?+vyVovyeUIL`BYxlgQ>wMUk3|2h)1LnAt#jY|?MiQF?|QfW
z)3>^xb=vP=`1>Eace9+cI{d!so4d8sH=O=ew}0yQFMsQ_-@kP?$@zch>EE;a?svI-
zaQgex_16#nUptocpZ>KYTK@Zs$8oiHmiKS%y83szY@FC?xBr2=wHMzNp84_bBN^dW
z!Hog)xmtdOC@?E-o6Iix>sjgSi6uXGX<=<hvc3iF2*!Cj&Ub8`2>9tZvofZ8rybIp
zF7yt(vL3X+Uc>ylod8-ox)XEyQQN^~ozZ#gekyd|e)VWsbJUTwoo7u2*S0*n_50Vx
zXR|CKoQmIe{j-{t{XZsXSJ>aPTa%YPFAe{8_q7V|v(oUdcVDY)pKNv=x<h4l_NKC(
zJJmKu7K3)EJkQ**@!70B`@v&x<!6w#s4&ab-+h?MzQjJu{JsGDnnQ&@R~^#4R-7B6
zka8^a01Hz~2rmSsC>&v7O3^sN;^evOYYb@buhVNraQA+boB#}|Zcerhwb(F;-)*f+
znv-_mp|GTeHK4v<W90TpKXX)#^Hp6}&b@LftjTfPq~6U!vL<J|mdu=zpc?-Drq`Fx
zo=aTpjh-%!vk&@hb>pF1QOkYCPcKzeTvzT6x$(Tac8-s;eOHk4%7CXDSIoO!o@|45
z3jeA}wR*V;n)B=_Ns&C-(iS-7&7y+M69ks!A#I^Ezgtrgsq-T3U!;!A@{XflFWT-p
z(~`vK1Vcs=?=L#GJ+|F7sbu4i08mT#C=<9}>!ITSLsLvVbnIkAxo2`7b>i%9WfbCO
zRuN;{6p^y=fI_#c7`JrF#*Chb7-OMs?r80fqwLr8#6ELRKVyDHM@2hF?E13Vt$Vic
zK^>+B+1qE{-TmHXPmWmcKKnoJi=;DWa+d#B*z%iw;az)<x1fGbFQ}h0F>F%Z&0E+{
z{QJ9UYriXUM<+;30ESdw&MU=!;9p;Q@8$0wR|K5&(FpO1Siv(PKvH3$QNX^Ox9Dg7
zo!NE#>FH}}_a8_7++@nL>3Ht4<6EU(6kcCiF(=FIYiPFoo8O_?pQ;RZo?3lv-TU>A
zS8aW~OtF0Js%YlA74PqR{3^J=f1*mdiuk&j`+U5A+FkmteckR>`P<(S_t(cv{^C>h
zbk?3UrQe5dJ=MOhVzwDHAS0%c5820eeD=CV|9j;>7^N!C+?Opb$upQ**!AO%NGZq6
zLTQ6`2E}M*2$JM5YzK`-wg*hovoi-}$bcHiDG*24TcF7O<eLtEQNANjrmdK9!bd~R
zE5e6o!VC!oLqmhtNt2{~HtVao<{2bTIGSR7)P<c_c(U{_J+<rG79|<&|M0P{sQD$w
z_DQmJPjAVaZ`rTn`S9PRUNtFKr+QC(Cq4ZC_26OZ#s&LrukQJMwl=A0_Vkm*hi#2I
z-Yw4U=W(h|QGL00u|LWgGE2U<+L@wkidtv49Yw{O!0cm%byijTEoXjQu_VbvNowjJ
z?;U43?k_ysz$SVp|J}A1y$=w_m0bWI^<XEA{iuh(&xk+jLA<JN|4#SlywLAog5H^z
zm!I!B6_?pN&${|s=k`y3pXI%}-9Be;&@P*8Gwly->`FQ4v}Ed}t@ZDo?JF-&v3>pS
z&ZYVD3Th9vWdDCLxpw+G|CuFk<?r75{`+k0uJ_+(?_L|fY5k%1=HEXYO1q!$r&O`<
z-yfygKkT(JmyV~_-dWpU{iSfd-Mh=jqmE|%{CL<q>FXZ1|AxzNFXrWfmg%b+0>W8a
zc4?uO>A!M7SsA@kyM+7JbClCiwl+DOnCY+rbP~i8mDoq`I<SrRns&vBuG?8}>UaP7
z;$E$poYJ9QJ0RyjtO!h9Q1kHKT(s+kW_<k@v9BQi+fm*49nX=@$&1^e&NcOd{O;>M
z>onqbs{5(T=Cp2*j6FKFdj3oG_?_h~@jJI4p6j>o;MRZpZvJDwdqFF9xB9+}GWC@P
zyM>n<@1DGQ_O!cq@0IV~z3FY)_nZ4}&sE8|l>Hr2^k1^dj@VuEcHWURS+mXz-EGSs
z9<$1s1il7EWi$L{E625JKNiLRKf8&O@3>k;fV0mAuH_mxVgn5)NZx-mTcTk?QzHcN
z{Hy<V&&FfhYOC)ymEKNIvlX9;_y;|9Kam%E^G1Ts6ir2YYX;>*4<CG7bntCodyex}
z*{1aJ+ZSc;>i^kwU!H#<ry(bA2FsBpot0t=M-<o;(^xz*TsF6)DGE3_t;k;cb?uq_
z`^9Bf?LudUPIb3_<aTV`{c~r&KHVod^>_KTlfh0tJPvJ6K0H&FXx|9Ec)>`xjcwrt
z1rezhr{;hR0j{+wu?N@nwM~=MPh04?WkxHTA}cct1shp&g|#xf{_+f&n4%V<qPoU|
z&0`|Z1SQECrLMP>wJ)8~4hjrf5*DVyvq@>~e5IEQ61%=UxEwoiU6$@*5BrSu4@C|+
zhv&LSKZ`f!wAAwYyDrH}UG(10{EDE5Q<a$~vBq3%_`s9n_-RL!SjZHf6$QU1nh0-~
zuVmJ>i28D{?ezJ}-&RkM-(_@f9`D5YAIm<>dZ8Ab=48yE2t$%R<~J1%e^iV1tdf3n
zD<fkjr!&}7yiN-pHB2~K!zR5;xbEtF+D8KBU9ilSNhR#N?WCg8_RMHyyO<;<)gr?K
zLq49(GH=>eG@tZ&k>GXXAkTzmNd<OegV%i9(#tl?EZ<NxTg!R||EA_t_UP{BzZ>R0
zNwAOVZnm8+d#1`&EwOr5%h|L4CB)JyT@FwCv}Lb%X=$~PQroH9dp;fPs=M?}@2Y9;
z`=Hp{-}J7QzCHPKrq06BmG^$_ewT55``wFvzwVm2PfkyCpM3mkk;#?*%2_J2b}T<x
z|Eg)Rt9;Im<+*pm9%lCLJNKaXV(*SKE#DZNV8}=!|A=GT<K7*UO8kFknru1K0`WgA
zrnNo4Z<)PlVd}B&$P5A9w1tiyl@2g8Wl8m|vsltaN|{aWo|^40tv+c^iou7#EqDgb
znT?-bc5Qb%eaa^yjB~oFLn?1TB=hEXjXz6{s#PUSv=wN6)A;&%<65?=1r}jV?DsD8
z&0SZKeA_>Kih4%>yoBwSMeA?HIXk~g75?a;n<8~X^Ka!H=0E2jzk6RV_dRuT@PXNG
zTpFhnxGFw-Fs9~)ha6D4VqfVK`*-rKr^2GDvo#l}%-X?p>UZ|Ri;I*hkLT=QF5_QY
zaNxP=O~(B0JOX2SZ?X7N<u2p<4&>Ai8xDjFWR<&NNj#Ay2X<rW=hchpY8xj;s>WPn
zm<kFQP!F%e5!Bf;JHdBDf_=}@xlbClZ%gK@h_*h+7+Mszw&DET4yLeeO!@iM+5uvR
zq8dMStr6AOW~9l#XYpIPic9<6HI{F?%bZ&Kx@v*VvUTiUZ#S`fnSFNfG%4htvNX0}
z%L<Qc6^Cs%{6ECSza-nq?&|&xW#u8<DaXuzEMrwrNq{0|#bvAt&Wg)ey)Lo7nTIWx
z=p}r2b3WZ8zWIVe)ETGd2@Q=fq&ZWTarL1_g}dUSDl<7*Jvq52F$$?Lt9Y=b#ZLGn
zel0D2Lf0gPt(z1QC$MJzW$|R^6<)IYmS@wK&EKT_rv1sDw)oU!)%SV7PfnWT{wE{v
z-@I+}bt?0#h1x>aT;F~zWo_Su`M%BXvUjuXt-Q~|d&qbL*U86OD+2;AEjT3Vamgp9
z@kxE|!HbLd&F(bVecZH(k(WRF)Anoc`rmCyRlCA0a0!Yg9IRN*Yb5i2%hV<1hvYii
zrp+`k;b?^=|K@-U)t5@&60l_q@r~^$8RLk92NX>)@i<Z^Cu%s8)76Kwdj_MBA+t&n
zTUzXqPus7h#UD8}ca=_(-3g^>t~t6&We@H2lBVZJx*d7vFTU|_>=C{K!Ro-G=~?yf
zgJcaJzSh#KUiRo;-LglFb;}+pPQJW}-RJZ)H}~zaXXRv8&Rmn-HS6T&4YNRAmwa{n
zi;s|R%=ssKw?4?Y$S%DuUH;c^F5AW0T4wKEj<zrUoj;RvDPzkLC`vK7R}Y$x$ya?@
z^{pEexl0*cK-qi}n*a=fq)vmR!lhcmkWrN9u6edXyt>AT83J73q7f2mUe{dDA6x$?
z{Gxr3n(@C=Pn9!WzDzy6{@Yr&AN^A#FH9G>zPIqJ`(h?_<Anz_6B~^kn9>_q&NXnw
z#Hg?S!1FrJ&TymSuJ9+q!iE)IFXC1U?z%o}*1MMpp}Wfe?ORvPzxD6sznOW}>f7&r
z`&M+@dRcD0i0}JPC9dxFcl~|$d@7IqIqzAz+P^(-&uyPxZ~gXR*^OG^$5Z(C@BG>Q
z?c=xE63e&VKVsqg=iln?`^;}SJMZ6HdRh4X-v0UXDh}G!RV`Yw>9X+AC^gmk{TaLe
zoIEu9&)-dNKYjP8Hvc($_W#h?Z}{!^2h_{mj1Rp3XUUHfe*!8}>W>~d>(9<@7xBeW
zp>Erw*Ex0azn61;UVPfq?EIfP*}Jz+`|Q}tzHqHANA6q4jBI`*fu!ha(3#e^v^~xD
zA78XERgDKJ_!LB<Ja_%HmE+YljyvPjoMFh#>$HmthE}QE{Zl85EzpntSygc7%Bl@D
zMZMGBcdj^a(nq7fE8+pqgaeWajm8U@#7+LyNuw4rXR03S&rWFeDY)`OdlP5Ug%sf{
z2i1%YUR&i8z#eRP(9`5!U%tBOKe^oF`xccs-QK@Q&HsX&ZDZ$y`clC^rPWvO+JD{J
zX_2t@+5Lr+Kkq+&>s|dOo@e#_+wcBQ$qmo>Cz|-!UoJTO|B`Qcz4j@apUqd9wSnmt
ztoUUSTG3oucHsQK=vHRAODXI%MubM&)=!=5l8UXGZg6);E`VSM^G)#6^ejJswF-|c
zIgp&v9IcsbBz$Uy&@}M0ipMdYDY74KWJJ#7JgxO`6WfY4j9S^?ITaqz^vV{;vIEB~
z9^~KR<XbWObrx&QqPa^N_UF2bY<SCcqf_$%i$8<zcgCkrqvb!G-1ePu|AzJ78J`~Y
zJ;fY<yO96SJG*y{?&WuwQ)^#gDUMy^K*e!b*<sra)iJH?mr}jHWzAuiyB_yzhgSX<
z?*D5QH`WU4f9Ey-sIcqec3&Hg+(%QFSl|0-%5<{!u!DjKM+=jt=lAWxSuB%&w>3Iw
z2yn0lO?sEMe!ZZ_J83qig$@cL9w)W-X3zP2q^#QR#Lo8l?wZE)yy`ahcP!iVS!3#l
z$|-CnA^oTKER?m54Nf~`q!8l9Io)tUYKoy->OBcvW#dFi0j<R?rzIjDuDPJKC*Jhl
zeyQ^tmcN~9oBPamt%pT+FnjPv6WKKnGObR`+oox=*3{Jh@<BDsLsV+(;%}chdv?CX
z`?WibZ<S4ZcIQvB?%fk2+g4t%b$Mu4=6Ad1j9jKu**4CZIY*<vElip3_-*22_0%qr
zlkIbCFXi}@ecpXDV&a-4kE#iFX|wOit=X=YxQJCPG3dOCPf1RY(6?O^TVyX6a$1+E
zBpxsIIrQvV|N9>|XQ+ct1UD(aX;)&FwvaDOM?1mQb6?liIrW*GeV69PFWtWW+HKao
zu~%-tt=jFJU;gT?-rl*ZBL40UKAmK0vFIf4Hgi{N4Wq}ukLvoY2xo=PC%{jKSPMEG
z0$V#sCHBHSP!S2w$$KG(JBLCKcb<$T_n*{qUC*^Xy7}$ei+<D3w9M60z4Ow@VXhwY
zm6t{Vc^l@+pl0GHY|)^jA$;x>HG_|a5HpwcalJF^MuPCgsEr5B%>V!LTXVQ9Nqyzv
zZ*AvRS?B!fG@8hEyROu4Q_Zm_d*1abub-T1u)g~8iPtH)ug$JMF?4?wyIsZn`V!Cx
z*3C6L)jGo-S4u(7hiF>t>iVhg=DUn`{<2>Q)A^UZYM5Ui-pXvZ|77?98&yIXcsaHP
zQ3<<^u2fXoA*5~vuhT-%H0o{Sy7dI3BMePF)5je4@W4gCeydq$TKbl?$ev}eoW)pV
z!o2xi#!s#pz9n12%1n4REnYi+@yi8?ePxNW7Vq7;xlKD^pUsucdt=XkGqH`<y76t@
z?ccZVm0ItA8@v7UE#aUopDZV&AH4YNnSMt9y4Fi_vD`~-Eqy1mn)p_q_PFdPm3b>V
z*o61|p;c=At5;_Q?cXHY?jn5MB=Q)md$-+<0`K3K+O>7weo!lab*>}taH?7fyFd{X
zO*mK~&1WQ&e;9P~+)S)3$xG+DkXw=u85N-@a8h1ox;vJZ<jsv+K7N`jeARF!XQ>b8
z>luuf44IcCvz?l|Yr8vI6FaYQXYh3wZk<i6NfUGn{_1S#oNHxy)9@gZKcCJ7>(WOV
zoO5>tTV=nvvNy#^Dq?l8`N7i*=U4?fM6v}Rxa`KIk-d8nli0$4CzD^jntw&F{FMrp
zwzOP<XDjoi`>SSWcQA)N+))$yP~>%`JN}W(J;qW|X`qozP&aA~dkYk~pL`SHFUq&(
z$(<EbPxxf0dfo8inJ`sCLEF&ab(qogwJFcmF43s{sQrCe&4jfnf7iIM^KPEBz4VUP
zxm?|3)#c^aF3he{<Ma&tB^Qx6U;kU75$9hGulT=O%RYbKkQxYTHJY3=xTq0g)U_k=
z!9wNJ8d*|qft$Jyopn<3xuf&Mb`!Ymq$l?OUl-TLj4$z#)#3QgW}fZ+z7JcY@$Ay?
zF39x~^uVu%2^&FYet{az7+uSo7uS6Jv{(OCu#xbuDMH^gnV$rM*0M~Q?fu*pEl$@J
z?%ZkeTWb1jZ@Uvx+b2q0Kl1F-%uK2Bumy#8VyvW<()|T;_2ypgG?{L7FDC2wZ13~4
zTJA<(%#ABK`s~tt|8w8(<?U-vHF#d#ZYh6lTVAoJT(I}wfZcauCgm(^n3VB|OXK}|
zR`>3%C$gI#^8GloT1#fazk9djcnc3`%~6Vf?mpE4`&lnZUJF!W_vvA6Mt>?zG}&^d
z!jPMH(NP@}j+5drG=0*|iR-mwPF!>iw45|Epl`|s8A%08BZs1tglkVT`gPom_1#@P
z`-=Kcvq$z_^Y1IUU|?C5uI|})?(Ld|$G=`*DE>C~-@nk~`|DK;kMulycK`0j_wlvs
zY&p%fuC4y8>V0(X`tK!on(p29+@N5?JbQx8x@nxEs@z(48dT06;q~};T}(#zSHfY|
z7x(kl3M_D*T{H3L_v<^7cHS|+<I?=X?Y7xPg)N<7lkPqE<<RR;bl*ULgOzEagV&|M
zFPl;7;PS=%S2<L^^D;LEC<t&ZNtt@j_}tHjFI=Cc9t%GC{+-~(kWb!)s|EMz>}KQ@
zjY;{Zd~x;u(>@VwEvGad8nJEZ(K)u_jQir$6g3@Yr_?D0lFU(QLG!{}({CL9<oZnd
zNuui>&!x>PD`xP8eK3$s;lC{+cdhDF#SFeja$yr%M7K$9**ib?>z!RG!n(69_np|&
zaq*s2)H|imN*;@1Y9>}*RR4J-`k&J0_>A3oz4}J=nUUMOQm#8)^12^2Yxj=WeJB2?
zzCF3~`tLJ)r@1{Zy|e$E{LkyGAJ*^u$!%RX@n^S{Dzo+<rO)r*=X`N}rg|{&*XPw=
zzFn=_y<mHH)$Z8*@)vLY_TF8(Jy>-0|9=6k5w`13ri<6<+&Tz4CN?k8DOX$|Yj;Dy
zZPpZpBhWc`(@A>q^8MKIPSdx}jEtEdlf<OJ{gGFqjT4L;1J-l2%<=Txb)?n^OO3H6
z=*y}PQ>0@aKm8rDWwNSqqPjO{`6iwK_0tTcn|LzRwKEpfT1ADW9opoz1Jtx@I3+dX
zD_fM^?t*Ve*M?>-5LGQa&1)iW1G*d`SaAC_HR0`^CKv23cxElAz2LJ>V}7j0vS|fn
z58HiSbX~gHnrpv%gRuSX50a`&v#-8i0Lodf6V~fxg_o8+G*)|FD*tZB^^-<3uGcPk
z9H*YWJ1KrGM|IYx8OmSRMPI7QS`llvJ77~}zOT^QW1mg()@)aU=eD~S`Q+bxIjAS^
zbCvP`@yVim6&{miKRkM&7N1tLJ8yOkd_^bdNbe`jJgqm>;yrgU*QsF%lO@&<(ibBS
z-N06odMux^#QMQ=Y|RfHs|Tuql9Oi!9GkMCM^XX2*k-|-hWY)|rq0~3Mo2Ha;gr;Z
zO>CexG4hfluhTjQ8SQU%S}yqVP)O!O^4t#QGNFBm-=<4HY|x)Mhq-RgV?K@334A{~
z`>YqN$&F>7a{NlE!^+q)hm&c18l7tI8b1At0yTs08A`uvIBrw>|E|o358-zI&(C_(
zFx`#Nx!c@WYgNYm63G21D^@2cTB>rFalZ(bepK2;#{Du_R$N7HS#zc(b!tH*a|mdN
z24f}ctJ=Ga_P3*sG1^O(9ysmJr{OP9dcc3F^#jIt4Zn9Y?@Rc_SJ7bo;NQCj{aJIE
z>#CkKY~p#=Al@$%aBbT<=2OY9tQK6+vtE#5%s$0M7`!6EA}U1X{S4mn1JZmN)(;+*
zEInDnS$$md+UKb&98UDCZs26$SSbZTCmcFBSxy9WaJEb;dHpjBG*oeo8C=9h@^e5@
z|D>B6=V{4AoV>m$Ov!lRRE<P!LkI8-;ej>Lp8Hz<zKQk>{1p|c9nHyh)9c!KuaXM}
z+CM#SuYI!Wno-!NnFmm&2wgqD9A31z`R`qizPrsmOEhZFuGzppLvl~s-ZiHLe{G(5
zEd8uWS4;Md)6VX*0<VPhELtMoy1Lb6#*U~Hvr`~rGCF*}4|8o^@LJsL{U_P@^@X||
zJM(vVvR&-mk~_&UWAYl6y~QP!D5dRgr~50AdNiK9e#ZnVPq<^w!{XHFpfO=mXw_MZ
z=jMy2zn>uKuiD=2<`R4||Ik{uA5G$HCLsks`|@4auU3S2WiA+Pl2~kXzi-;anHzXG
zrUo8L<;j@oSs5cbFY)}T>F+ZZrHiVbzjQFf#+zNcVjAD3^jxm<S+=P*-t2|vwLCV#
z?lj5^wa@we)LJfgXV~8zbBliVt-if1<eNwFs@1o?b#DLj)Oua!?e;mgK~Xl_X5K#w
zo|?Jjbuwz!?sadczn@Tb>F&(g`?uLzKKwO@^ZKP1llr``Klj}=&24(wpHH&!FMd3=
z-giUuX86PD?<*d?l&<~B=UFi2-yf~LwOjA$y?DFmUf%WFvQ@i-^UGhp4LSPie7k6p
z>vh+hdzsbuJIgdc$Js^C2#98FxuvCWC5#b%gHd$vlGE4A7h@ZGSWv5vJh)xusPM!`
z;R-jCVtUXdy~6w0O6%1kd$nwyvR$kAStsx|<fPAyl|hH*YB66~Y2+|hi}~s0Z|izc
z%Z{|Yj??^a&#o;zz5Fd`#{JZzWz8!)qJ{l$3%hz}OsHKcY@Pf5+qU`J)cq6O!V7QR
zn{J(Lk^6qZq)S_0Z9lu_g_(ZU2654?tF3b1FF09wHx<%{`F#r9hncrcz27qE{VvtD
z%iC@}z5GoDbi)6|EF1sF$Evd7Wz22aw;vAr$-nt@FhuslmHn#<9Co!Nf1Z_Xzvkk~
ziN8ThJYHRCxWE?Yx$FMl6>bVU^CdW1m>L5VRA0XP_E@U%$-YDd0WKD&#wkmxZ-yeb
z0V0<c_ZG*==B_*0vwTyT2*=jNQwpq4Iqcl|ZF0tSZ+PE2Aa7l)FjB|*#M!OPs|zR2
z&h{<XqGWy@w3cJj;-}AM{kgZf|I@?;q932#(tTT0?7Quvw)yqEoawW(w{H!em7RZM
z`<<BG`S&4*AueHmnY``g+k;ivXScF{(>1^T?p}G3(6_4-TWWJv6657PZ#<o_Vdu~M
zl07@iT-6sPdKdfK)h?c$Jt;xh?E1UE{@}!O=6-w8oJA_v-K>p`9#>z~^;yBr=45Li
z@T#$qr)7p}15a)8o~s_aw#A4e7p-qq1cIamveX+V+|r0#dMo6i=Jv^}3NF0cX?N@0
z#F-oJE^fJP%eLZfa>FfKwzR!>C-3LK>M}KBYKYSI>Y5KB;heHIsv${2Z|CKgO}b@!
z|J9mbzXPiFHU}<Wt})X!alXFsk5Y}uDav2cC%5O%wh`fsZ=cluZ|c84NqcR<QC%AB
za)wbbESX=*_}Zq4-%o&NJ-#1=)uf?1ai91_lN`lwXU83X)+W{d|LMMma*{24|C=w!
zMS4~pe|8KdPRn!ZqL53LlCL{gA}4~?S7sHSo4r_8dgjNFYmXTg%C!r<E0oYE&&liD
z7KCWMJ?%TY_M(xI@HEDSMqWF58t-hh+#n^sGx@U5SuL+wOPg0_Oydi?pd*`d_Lj)3
zsn%wns?Xb<a_<X0Vr^C)T9Wh5@70Yv(HA%FR9~6=d9L5i<j;5gP9jQ>-*L<DY!s}V
zF{PmPeoJ=dm*zh2>r>TYy%)=u?MeQ;xUW2??hdHvkez+su6D7t*`x&FvYdaH?WZ4o
zwLy8-pVAe#7eVH4#ni5ZGD45>XLHI?=QsLt_H~%@%TJ}%psECYI!JtrHFD1!Ja5b5
z1UtdMJROUk$fYkrA8LMIf9!O5_?AFb<HW^YoaU=|0v4ZQFkQuyu~_Rx|6d*Sw%Nak
ze^yuCbVqB~%W^gA<Y{-$=3Azex7JrJZ}N-&PQA4^<b#i|_-8gnW8xdtupeeuLS<h~
z-1_Bna9{Yc8tJUOe}8sD%8P09UTm6HFQ4WA+iuF<y2;iPJttcST1~dDES)@Q_iAgs
z^8B0EpT1L<oo*euVAuW5NylYYmfxLpEyDTjT^0VDYYwV)hACG|nO{qo3>&B0y;#=n
z#>?hdyBVuE{^kE)tJ8G&@NAu?a`*CIMvtq@Zoh1v5ZnkspfMuQ?I2~pye_$Zz&?S}
z1nLVSCQx8nCj@JHiXZ%qwT;&G;BTPv<e3*vOxZ9|QbF0+!7XipP7}WiY9}$0Wiv<8
z1XqLZ1-zR$%-mSkzudtQw=Y#v@Q;0xQbq1@*8n+r(Sxt8PAcu#-~E75uSxx#p`hTE
z=^JLV$K5aF-?Q+sTt#wv$=So_p4fa?F8lpJ`|Wp)=B2oXF~8pc4G?YGB>k>Y-g4U^
z=FjuDtU93i>~lhhQp&N?11d}{CA<)nqHsh7w4O=D$#d6F8&ErJ;m-!}Q1>%tCn#E+
zQg&uJ7kk>L&nsr0@X1i~y5Yk!VWxzFv7y21v`PC9nf^`-nsQDzC)N0%!t9g3W_I#P
zrKyR(nVg_j{Mo#G(*8qR_h+AE{3p6@`h=+>OM`j4Xa41yt^;bFbRN?M?PLkx@nj+H
z&cFM-AVsl~+zwl5C9%h{_YZ$!jK1eCzOQ^*<$dw<h8Jr$zMbpH_IR&x$Gi2p?|Gc6
z(^X&kE{%6F|6}ynKX;*{$%Iz6i_;SqI+`qMWn0K=f1*Gp%Cjo?<@Adej2<`Ym~gBV
zg`kdoA>7~ruhmzTL2^fROgh9iUr<;Q20~jdDSY`Ba7kh7uh5XSDQY3ws%yg7Jl66|
zh?1O9y7iXv>Z@l~hwgki`RXb5$hDiI*1E3Ed#keYYOdkdtld$ikFvf#+VJr5y|oXG
zw|@zIeE<2ck9PlR*WI?h_j%`HW6Ot|UR?TcvFp<Ni%r+w?cDtM?Y!MqlZ`jbpV_F}
zYq#^L?wh>L23KM>-fd2oJSia;?tLP_UM+P}#-kv$_v;{oUYfuA->;frv}>K)=X1ZR
zO?EL)pBW(S-~mHyk7X@R6zpLRpQM+uAL|%N)3*rZ5rLh298lCZsl>6`MhbIa@J!!=
z-s$hn1BEBgyl`a7hE7QZVPgliv;{TS?h9YsWF$N-^bmMnIpo@bHQWhyuh(}nMWiqu
zQQ&^?i!)($BU6Q+wI_qC{VM<8yO&QcxpjZ<+|c>omd_8!Ha`${HL^ZQBdPJ5l@Ig#
z*E`tm-Jdy!&F(@WZ_S3sQa=KYn+8lvcjeV_Vx4mQN~puiSi9H$n`W|kE$Yl(u;;j#
zjIP$CtM>}{|HRwHd^_N{SfBaR?H6wGX*H+wq-zC&v>*u7X?p?cw7JE5?qau-#L@-1
zWcy+3+z6!JFHEmMke2F8xr!1j1?)^${+d{IwG<;^u9-q&M$9THY#t|grr3U%fi|B}
zo$$?pXA^rG{}#t@2aZ)N@bGV9|F=i@^MQ72Pq`o6=cH^tOyI11Q1@|v*Pi|VL!U7J
zS+BIeqKNOu=|1ZPI?a|3lx52uB5&{F)97FMx*=%yn}$heWdekJ?=U|7yY)dv;KV)2
zW_K9%f5o&i+u7HDUfmPC=J^f$9TrPH{G)(Bu#5su+W*t^cUaJq{JO*mYg3fhf`<UR
zFYOB6|H{SB|BXt`=hp&4lNLGN|1-(e(y89;V#O7g#U4MNO}8mYk#zMv480ntr4zL2
z2{QO|^pW%Z6$0IdXWfahQrv}i>)Lf}<FQ|!UFt;6z$rQkFywW~^ffP*4r)r7N%o$a
z{GMM^&a~JqZK*xQ@N){|Cr#$3&o0egl$xrhv%2LpsIR?ZO(WXAH4pH<wd=CicN9mT
z&bX7@c&xDaWnsr0TgNRszsx=-HOpInK4Q4faq{QA>Sw-h;CWWF-}L$6Ti0^+P9|rq
zl+T(z+k4VkkpLmz7?kLnUl`iTd?}T6cKO$Sb9X{rZ(S@tQ@P9N--T^phwsNV&}1^&
zQkzYBd=_<2)yr>9w7;Q)m^6M5nl$D&lfE!L;I`)K4Kgp+958;9^7E9uKd<`bgCTQ#
z*tIQY@@<-Idgo+G!0nS!!No?hN9L|kP@H_fB|63V&%M6eH>O_*xjf(Z*t|D8dfq-Y
z`gUvGJLjmX>C3gPcD=UywridFwRz91%6zVu=ICGFn7n&o-`lrV+m_e%+|-M$$;tb-
zV_og9vuEY+f7Py^b3s>gX06bTb-x|t|Lo(}s?B+SY5Kmn`(O2I=iUGjH$Upv&b>Qx
z&g8udU;C+Pr}=$5w$6Iyn)C-L#h>$EO`7uXx%jK|I%%H{D1UuE{l(i)UTRCVt&5J{
z3R!a_rT*&A1GlwuvM)U8yRCWg?Upkww=)H9`88iClWN&^S)uu3nN*6!JwI*F?Q4Uv
zcH462iXk`0&uR-~#We<e=4zQ0?sX~mbuN}TN{P)`&2@d-;*X!^%H`Ic^ttgX=+Ihy
z<}1I99M<YHKkd6MiCUgIyxWnw`*1?=?bvraek$C)yXDQgPFeFnnV(zY7e4;>EN{(Y
zwX`W03ah=#ugY#$wZF6YN%`8B+waUXw%lu-xukp(-?H+2*_U&5^6pNXZS%}y@9Sw#
zrp>;hxBZUF?5%fHE=Jj`U;4i+d*?~%9Pk32(>di^4zpX=ZaB>zJoUhO`w+#(cC(#N
z%hF1w>l^Qj-yUnn@lynXPB?VD1@AhWzFsAk={(kiCsa{}rQGzKexMk+G3&wP2t!lP
z^s$6Jbhva+ZmP*lPE}t{?^%qKOqf+N+0tS!6>+cYoOJEfq&CNCTfR;<ku~wT<o8a^
z^Ooja)0*9HFHDUpSYEc5wLI#2bV2^>U5h-AbZSKH<SwtezPlj3#65P$y7GtTUitZ5
zPGR)DykuqOtg^D}roL74wX>w=wTAl2g@<2VQkSaD*QvHH^V9$B;Q5HW%=<N)4qcje
z{Ylte>qWN<W^&$TY`FtPDF*l2nt6`jE>L|r?b|slIc!p1=5v%~Iv*M)K#_*$_ATYu
z7P^6FnD$z)N;VRHHACncczVg>IM0;m()XQc9s0XW#jDqKibbSwA5n;Y@GCMwIJ#6R
zfm5u)&-~gd;ZU!Pt9$qEeib%X>$Z!@hOp)Do=y%vP~IaFFzukohq=nEQ?|wiGIj_2
ze_~kr)jw;xbt$$|>HqV+{2JB@e>30IJaq*Pgx$kmuT;i^A{_TXSY@F0#F-borfdLD
zEjffGC0tvp5?@*PZS9g1;c-V4qEEU-ff|*n&&wvSc@lfqBY)M~y(|6pn17wFqT<@T
zZjVNHQOm!aMIZBodzBuZ>i%?LVycKK?_!Oct`E}`1=YX{;0w0AXyz5~7XNqeT~gr<
zZGQQj>`=4m)8;MAY8Uv}yEAK#VUpp!k8}6`wu>^`7M*fj-5ARipj;DQOuN!^oaJ~;
zTb;c~8tbpw3pbqI_I7QqcCK~${i&}%FW<gOuS2i#Op7;z6B07os(RtMe|}A@L@d+H
zk1w{dC`jF%#?X?(1wk(2c~agB&d=AIBJMifA?=VV!$Kr9^On$y&*%H*_5C-#C;v5I
zCZ}x(XY~rkPXWwN7P6i4-HG1W*t~B4<7IauBkSKjob6Iyf1mdg|1r5aT1QH6m!wYA
z<t~hz6)f`8|MvMWj@Q{z|N2gRc2)D!hS~}I+kQSske0dj&sqM@ME-*Lhi{+%bWr~H
z1OJ=}&+gdDuL<1u(dOo%(g|4)jW0->ByX%=_IT6B3maelcqdjDdRzC;s%u7K|K{ao
zb~i5H$oFvThQey8iyu$%J*?in<+Fy+uNL--`33dwh5vPS@2Xj|*7y3_FJ}6Cqql!x
zcrW(u{oO-LZ`)rxKI@o$bpHIs+v`_tKY#Uh$=>vh=2y+<*3W-wD|Tkef1BW%Kk-Yx
z2*oeiu6+Hta&XOknfrU<HbvwIZkq9Zzfb<zZ)ZQB{kitGhG+aj`~Pe2&HDR)?!9F)
z%s;B1{$H^D|BCIqWkn}^e*@|pefsBZuk%4_udY0!gshB&ESH3=`44gV9zM+@e0+Z%
zZ`S7HlezVznOVNaYT}t4cV`GUPLOPdAfA>P!i_wwK8HKM{JhN1SEI3u#Yk9>kwX`O
zPF$JhFyH>~g9Gi0y%mh*?oMNHS$tcl0iu6`WV6rNp08lNDme7ki}CZ-m}I>)5{}v>
zw5^0W<t5vROT1I~`D)NQ=O@_NKOJP``E;<crr-eE&j${6775LsvNAi=-aa_+^X?@v
zX8&Y%_I2LY76p#wXW7~3Z7yVI|5vk@k1yuN*JkET31tNj7Oj()nee~+s;tZe_iMHm
z3XyLfG^BW1S}1^m(f{RxhCS)xpf>gQ`)ZaJAO8P!J$kUwKKs+b#(wv?9%*;-&U8AQ
z=y8M~Hm5V44sA}$9=iPc^OBw2PB4~fCg*g9mT3r-k_AfYbp;P5UsRnS*`Mdlu;^kg
zC&GwjX~!UXMRDl0?`CJWligY}lT$aAGkO=}CUE$iVrSRuLoE!!p~BEyQ=lL(v%%5M
zVgf(kjf5a}_IIAv79ajWQff2v_hqs&KODe;v$2q!J#J4NJG&h`aGYc9EfoHXueP>O
z=wDM^;IQe&LB^AliV7Si?Q^Q1cj+Kw<#|2u1i^maqJjrK|0C=y3T_Dc+F5*<xkC74
z&+T4IL5`V*5Ol(!!&2}>zzLH%9^llG4oV#lg&Hm(A)j1MNV3w~#bWdr6fh>cbQvM~
zIc6H3xN;@}tXK7->V%mEoDLaCXxTQFUw<}N6g>DG=skVrg-cU6ER|O9Hg}kox1grr
z!6mc~h`h`Rc6I|u0+N2x%>45~1Fu?X!Gm{OnwjO_P1`0>A!TjxVXoh$gN^+q*J}zM
zuu7K~JWzdITJT^oC{Vc5*xBu_eQjp`RKIaoGxMhtyV%)Px@Bc1=xDODtGv|y@?29^
z2A<t+tFW{Gd%EJ&!N!N5kF&Ekb<fnbb-wy9Q;ZLE)SP?xLy@O{oUUw7Id=AB4R^~L
zX$VSDI8wu%qLCuCZ|V8@>*mDwfQr^roZtlGVd)4#%a+ag^=I?qIiVH-hxA>i2BbNu
zh8*(BXqa+=L33eaWcZ<vt=BdQnYnXz&zc^0+G|Dgnik&xCs`98&ipq!gh0bEs(&h4
zg<iEUl~`C;cUsTQ{{B(+ugsTAJ}_K%tUY!&XM@M?Z?|vVT_5q1tKfBV)qI1?+IK#s
z1paUS_eZ=+`m*(%udcs9C&&M)QfG`?Wxsw!+0iMWljFJj#A-Kqu6ehve$|FuhmVJC
z4|iUZw`b|!qV$)|8`sEI$co*b>hw-pi?t)GU6b|1l_^g1?f1^Dj_jST{+%~oj$^OQ
zgq3@3YX5x+dmEm$$7W~#k53LSo;LctefskAa_f)b*=S<xFO?r(|0{d$x0(;DzHd-o
zzJImxKdGk;FFRgjPdPtrty@&RwnN`0s|x|PdY`|Zo8%ILJmVr6*%LVPG}@GRU3_c$
zjs4$G{rfxR!}~=~?ptyEiDsXDVt?s`zq@DtyIXbY$9BF|e|BrU_2IgG`u4TCWwPFC
zUrw%jd-VA;|2gG%|B1Z*cIkZoR;Aq&mhSW~_ucyT<EGXbv-dAMY&~h`PxUClhjFuZ
zFHD}l`&-4!-RI86-|sw8J~8K>M{MSO@8auafAar(T}^-KF{i*>c>D6|K7Kd5Jo|OA
zC-u!#<E3hsy^i`9dHK`Qi_dpmtC>4{|B~z~JM;fP^G=@UF8aK*x~5Y8(`Tordm^r#
z>3$ixbE&0Q{koD*POW<aB=xTx;lBF8Np?>FqyCkB-KBx=`~Pm|`f8W5=3cdl%ih%m
zT_@|5Z^=)Qyzic$=%gDYkTtO>pj!l#7&*a-amo4ldAq}1a8%XHr9Ya3ayGo&511~|
zvPQCH*Aa!_&1tp&LZgaB@4e9bxP4J<(oD|XN4$1;=QQj(!nVRYC*j)eBh@M1xto^u
z`N^D%O_ERXzAG|qRrB(erGAUNYckDOdjDa)b}cD1_R;kzyN-P0DOwZpUgvoI*N2}H
zS8rEZUzPo?>XDpadG6Vq$3ME$R<=sN%9S+_iTo()&worgZi)T>srU9(e0ib#)iHWf
z^@&NTQQKBMyj*?a)4CW?`{=FRjfc&1?QT46E)}?$zJH%n%F9_vXJ0GU?{=H*_fDFN
zonz}uhh=Iqzy7?mee(WFMP<?b4^JB}oMxVR>-6R4{@Y)@zlJVm{_Oo#G_m-ly#4WC
zzc02;nfdX0Mr^pit6f?T*R5_W;{VBh@!7gFEvXJGdW7_n7u<2)XB6cIpQ)KpeS$sg
zvC{Vm(s|Ea-zg?fcw19^v+|wd>Gk*TmZ`b!Y5%h{Z)f|Tb7fWhKTqE+;{UlRuZsWY
zJindoHQDNq9=dL7Q7&EDp?~)7ea-I^-oKu*<j%ZzmUa>=cmCZ`X8phG=FdwnR>zuu
zSzmhh!v1?-<vu-)eXm%*IJ)SI(i_G2i+6o&Q#_5GZJ$h^8yWWAaq;>aUk_*42c*@c
z?`h@S>f*RUmCH#ta6+SivBHFf6EY<x*wycv_aA5DAogLs3`$#Y*CB-`2NkY}vdx^u
z{`&Ls?0=!SO8C__A_1+-??}l1Pmhs(+i=?F24nak*#hP(jOGrq1<bb?`K8|5qxTE;
zew?@d##NWC`BSCdyL!GYetefb@~yeU+foD3t@*h|Tj#qxjy+PRFROdI;(yxqEk_Sr
zezRElWA#n-o0n&N4v*RRI{L0@+5M|CwU_P>-T(96rSQ$Z?A5nKcfVQDc5}Z@@6G)(
zD-C{6zrJ(!U-8SCC+l~=zVv_YI`9AGyY>EVnxMVyY4@sWdu%>=)E=1jWZIsT%9k3a
zyVw~fd=dRs{K}D`mht7<%Ae;WL8}h_<!`gT1xtk%4j;~ohsh{dtU1Zfv97af;+Y)}
zXNWgWkcFP^&C@bN9NZS`2348@G154i4D;(69_)G{bfU+7yDGy)Q2RUOxB>(PZ%(fL
z_l23|x;u{SeEtz*lk}oxdd3S^Y9|JpJ1om<@VV>oli^_RMU+ua2Rnxe`~nFR_ywLY
zvzVP==lI0PB<=Hx;o$dObqx>hTr*<l*mqwy*YJZ$S;K>tb@B=mmUqf2{BSIvEUR!s
zzs~dh-@lXYvHwur8^0}%oqgS#Uk4c@4XiCb944iCR`C0%C_8(buU+B)<)-I)r~Pi*
z$L#dnt=Z>n`In!UkN#gKrSJbvdY_FzRi#33b>*)=FN5lf(d(O%#QjT;ou2%=O`pFd
zPVU5&xOsN}wNh*Ttjh15w?iLPrz|dY`C@u&<vXt*A?3_Zg}>)_&kNKyhOJH3n7^aG
zdP@&HBP-0`QJ-L{_r+N2^lrXcD(_wG3gg)$@0D(le7e`vwDS5vwMpf&At$Q?Z_O0h
zHhueA>$g_Z_B`LQ?(NZ^NB$nS-ol)zTmNWZ#bgVs`8(=srkAUnp1-3$X?xA1f3J57
zy6R8*E3=aS((#<jx0}C)U8%|Y_r~w%k-x^)s@9N7qYqSRT&}-T>ifU&y6N9l>#~1c
zjkPcQuMQ$+z1y^CUrB%V?%6Mo)Os2_tG}~<D=qrUHlry1UtflG5F~$_E_DQ*!0nrf
zmcQ>y;!1GqK9qyHayGkk89Uszt1=)?T3+_-;IBU~XZ_#&Lg<9g4i<$iNGLd(srFx}
z9M_6NpYDk6i0{o_{qcwW<9ArkTt@Hu7scDHwq={`@wPbr9$Vzw(hZVV<Jf}(f2)0a
zy8gA=m#3lE%5En<i2Qc(;@7)Nw*BF~n|k|GZvN@=q}-o7e|~<hbm@LnXnfuKOP#-;
zm)-rBntA45{+nHYPjlZr`>*@umuL02N?-0@`TA0Q@%5$gVMV2TD=VK&{MDd)a&P6y
zPnQCxiSjcjfEs;Qni+mEU8?^4Y5r!=DD<EEh|#0h1q}~gtk&iekh%5b-k-gux8@h!
zzfkG0;xDI>>GO-v{ipx`jnT~M{`=Q7Zr&a9no5N)pB$Eb`}F1K<)wcc(Ub9Nkxw62
z*BRWJJzcWC_u5&ug?ZbA-la)ve19h2Wp*|dzKrkby+7xX+c-PTK`FB+f8R`|_$T-F
z@NGKqI>DH$=G|FXrD9$mwf)X)`>nI@&9>he{qF4kwIJ5w=yzx5-|Nk&n)v30M=fjt
zeA@H$QthhGcPGugecARn<b>~aFSnP(Ue15pr&oQy)OY{h*L#0j@47d8|K{CmR_Nb-
zyzX7vtFzv-BwwA~|M?u(*SfgL)t~v@qbGrmjo0;AVaV&$n<<dx)6CN{gArWNHGKJb
z`RgBXTy?`suK%Y|%DF2u*cAEM79KM>am6NLzJ2Ux`A$$98fk38$(sQ@JQ4qNVT0D5
zyuCl;U1vWr5;i+IwP5lc2Gf(g0h8}I>@@$Mfwu6f`g7!4!y7JH^Q%9boyc0>e0Ie*
zs~KIl%r~0<-<;=^Uzhjq9k`2i^SIn4&#ZIzFUKYq$F*(?`W3zU)!Fyq&#gb}Ys{=S
zoV)MO-dORvNCV-2zjx{V0d*$!!D@<q(3;{?|C03=<_GgLyx{hDfBJNF8F*ase=dAn
z@^wMMgGuqBGBOMH-@3P_nR$O7p>Dg47_OXKSMcB?N)V<TQ-GjgV^CGm%)B305Y9i^
z%<S)?8=L0j9e!w9UW3kEhR9uwpAI(ON84)&?x;6FyQB)>o>-2-%4X(EU;QmC3hKf2
z?~Q|unP1dpWGWWyesi#~PhKYDI6t3F@nb%|Kd-A~WhyqjW@k@1_~yX@(z>YH)&Kl=
z%gRXXE2{XhO?N6gyOKL&)YXc0Qu$vFHmaAGEB$QU<ofNf(c`jYKNhDn9tbiLNb+Mb
z5=jzUtP=Y?{&sIGmc4mQb)X3`_@d&Q>KtGMnpa(`5<4><v`htki&|P>QUA+hIl*a8
zw?htX%WTNG%y4sA<EAHaXgj1#+>7o{P`xg<&r_wm$}9TX)lHX{apXky2A6Er*|}Qy
zhROYy#c64~bEd`W?Fa!KG;6$aihtJJTX*&BZ<g+k`SyP2-#yZwPrbH&H}&*C)$Q%O
zvkgw!7c7oh?ENnGm(Y*pyXt1`)M>f#<jx;cS^KkpF2|amEJ-%;PRTd1{^NSR_v7>2
z?I+^P?n<QA2KP*i4V0Vws7wEHw6V*|+;<uCGVC;No>5hu7`gc8qMeVmzg*KXJj!3b
z*)j4Kc-?mF<h*9(#nLD5onSuQWnpx<{-fg=X8re}6%Q7il&gp-S*&loFLisb9fzhU
z1cA<O`vN+<ZSi`QyUhLAR(idZt8hY|uZ3yl(40D{gukX1G~l|>5wv?6wtZ52rYpaV
zp%&)EBrjSs=G%ccjS?yRXXG-P-!%4qJm9{|Q|`y=yfe)5Z`CRvtlype;lTbm6WFi4
z(%hF(#P{RI3C2{(GmP@`mV_6Rvdcw0Y&b7%{UF9!W&`YWP3gr<ipJ}h)C3fkWI++L
zqMCq$v!a@S*QK@3eJ@@x5{}~U0L{gx*ebw~SK9HaRRsyE`F&BH7^iC%-}Fkm=hbzo
zbz0O-FM|_do9e<AHMd2c%-`i1_Q_@XS{M8IE?!@hgY8~@RSuovV!!x|2cLoRMc<De
z9$lAG`?-GCZ0w)x`NBPL*RjBbrvg{xi%g!(3tsjl+<SjkhKQD=>m7p+c!rtn|6JzU
zXtCtv*`?cEzF#yF&im(>0Y89~=h*Lz2@fme*Q)H@SrUXLsyy@c_L?Fu{(~O8$=cH6
z>bWazt_+sR>NNMw$4-}fUBNth)2|nGSS&5}aZz#RH&Z?H=`|Bf^OQ~3b)H?S9&F-g
z{{HITrPsers`7UKe(gf^ui2g_VpqSCajyJ&DQ464#OD(G);)hN5qE3uoKLcsKmWV5
z(f0bj$5r>@Cp}$xJATsfE1T@E=zX8AGCLBqznk@xl0Il)Z1vuUQa|48Uvc4pefB1{
zd17~;pYC~oBX>T(lN&1p83`o)t^w`Ce6H<z{lIo?6CfqLHnu3?!PU|OL0+D_*yagh
z3y(nN^F6<2oN2LJ)>3<h;pYs-Pln8?yf)fVC@arTNX;-6XkOD8$(%dEbc3N(MZLNs
z^Ydr@?~mGiXfffh`5R^P;i>Sa1Ai}_H{4=hW~Iq#Q>fCoiBGLDU&3>NPF^hMl;c;X
zI;@PH=5R7iNTX9tukjOGG^itU&q!LYG5x=9Bon)Pk7~jBW1bIgUX0y$=11M#vY!f1
zf}x06aocHjNv@5t`zDoe+NeumF0@$U{opp%sYaH4%2<@I>1OGR|7pGZ?TPs9QzYx3
zewt~b^y}2q^50>~f3EBDzVKe5J2kZ8f0oVRpl#{XHZ5<N@-jgyXj!x95+C-+Q&M`V
zRx5N<*RJ%O$@eHIY{JBo`G<5jDb^*QFMZ={`~ObutlKxDt@DzrclPdGy7>2mY4@JK
z?7DZSSh1SB#b^GZnm0@4{k{?RF8Pu`lKk!4wK@L3C8Af|HddTH+kR*I{5tP-7e4Mc
z{8_O4Ny<0Rgx&9$Yd`$9TOqQkDEZx#dFIdj-c}~R+cM93=8VHj=Df+ZEjNlRDc*Fs
z`d!MWcp;0McmBxAPBQxQ$La6PqdsyKOaA>4+8Y~pa!JY4nKMDtw-ImWx6hfBYx}2M
zblcZIf2OW8^846byg0gaCg=873R^<i7p}G9$i3>w_IRz;iGn?$;gjBpzwc^89GkIF
zO_;-Uro)PpEKa^^s;?i3hgJmH8N^*Jcc1NGBy83=r9k)ugJ~mAfbfX}Ys?!zh3`tc
zZ{GE1svgg#{4?|NUnFSlGwf8Gkn>e|mP7iNYagbr6Q7VT!u!h$vffGa$joH|u7|>R
zJz1z+s*&}rxU0iL;ZW3*g-idJy?%V6It8>Kd)w~>*seY;vHthql@K+0_p2A-JO1@p
zWj@w&<nbPTDWnrJ(8>|4H8QvyslzBo=ASNiL~Q{Yyq21N{^{)6Uhhol)ZWjI*LGxP
z#;k6XD!=COc-r69De3G|$De<id)fO}bxQvGwGU(VJ@-HKE-G}ba^=r!R+FwLu3cRI
zWl!ms*XN$hc|Z4A%_cwF@B7MUeow4?HSe5y|H`HHD`M^D!dLd_d;(3}Y`P`=uF?Nr
zyr`T-&L;LaiMuaO_vGKqUCi&~#R@@20!h-fpyK3(w&(W)-B?!bfeyp7M-CR)L3%Ay
zygYZY*C}AhRc98l*BM}`J)H^Eo{vGb=exKw%>D1xDj!r=Wq&yE-0uYQcklmx)43ij
zk@>J!nSDyC0ej8;6O5_3udP5wL@;~3-Nfu=Rt!2K0(6r+$lss(ZWgfrIsbq0A;y>a
z?QgGIu>UD2f4O+oo|F22%-fE9<K1(|{LX>q7i#y-J}7Ky44?F_Tz)0W8vWh1Tig_o
zmQTlh+lai>cFm=o$1Lsd<(_A^{Qg1T^<>ZTN%60g7p;7<{c)J`pQReiQmc-q+6n%B
zHx=HmcQ}0_spnJ;@)+HM)GX^X(R-jx$&24kmCb!7yH;avQREaEU-sZa(7xmhs}p_O
zG-cMBnz~;;sD^pj{M_rC&)&K7r}Oi=6#Lh=#CJb?CZGM%ZP^Cj)_00r;Tu0!FH8F7
zQM{4A<c)BC-oMVUCo-p(?tHy#aemR+-{w2dy`AQ>#^aiSimiwIX5W1NYth+9V())-
zn{#Ee+nwIKCp~YlDs%2GP)QW;ReAGw!iJ?+U;doF!|q0R#*IsN+N(;`(k6ajUAIUj
z{%F*`J*oJ1B<t?k>9mF~x2X7S@WpqXXMWTba$`GCZ}r5IpT9uOVvMs~#J6-KZwOl9
z&+2rJ#i<swEm`%Y(D#{GmJV$4y14q#-YlD+&u*nJj@6sVxjV*d$LZ9DT`_DcPNy!o
z6Z1O;HHS`^ogI0{;D$lr>9eNtDTUFIcS;OI3$v4oeZQzK-1+Tc+?t(bRpu*qew%nt
zYF74oP0iTs(6d`Vc}`1O@vQ98`e$X2<~=J*S~j_8cYoiVcgf1zfB!h~Hl^~F*$vq4
z<Qq%Mb{<rNtj&E~1zwvAS$z6w-A$z3$&J?MSAKB#b@<HL&j-90N!QN&sJlD&i=)R%
z2MA(w%2^CLPhYxL^`+d8pIH13KG+g@6DR8VmHIANHs(ftIiT-`e)7#G_Bu_pCV<=w
zThNI&H<@!M*lsYC(^xh6LF4?6st1ypaz9SaVBdC^*}9Ow=3<LY!G0_CNW1zXz8@<m
zGM_S<0p33J=tik*fL!o(#-*Uc^k-Xw8b6?;E5UtK(BU@!rgxW>A2_Jp-fn)Aalg#n
zC#QSzujfwYhi!pkH4;dg4Q>EE(e^Aqa2jig#ayR~Tw+0w(&uU^@d9l*12q;8p>5ng
zvxvD)R}1rCh1X~$7U*mn<bzE8d#f5x$C-bAv;yqC)BiqhIe$dPV5#_za?lR4xpSE7
z${?Gj=1UXN=Kufus3_l!7u{=P<OumZA6q1ru<udA<8#o)Wo$mz#^Uqa1bpsY<;nNs
z=L+VyTiyi@cOR{fVvOG)$o1p;<lqDPpd~UFMLu*Zv;MiHB@!SP9L~73ERqp^n9*&>
zVMd2@b};?BeO*gr!n&=OY*_#JmGtWy?~C4UYsYa@1cE?oq&_;fIpy@PSBYiZFO8+`
zdP(#{HOdOcV1*PY^13AY;Wwy9gmwT}ikRpJ_CWE;GcO#SvY}g2LEP9uFKxk^hH$iz
zhe*cFOi2?&4YU{VZelWXW86PyS;Hflt6UY4T}<cB)-M&edT{SuL-nopm8uiZn}258
zcwpzx_22$@=?A4L$?YhAncdi5@;AFNNj<5l_;Y{R-|WWt*S_ai_unfP-?Qhr-jCjS
zyB~<|{iO$5mD&`v`%TlNvoWAmsjN@y%RxEfr~cgn@jvhF*AyIdi<f)We(~(SGe5T7
zHT$iwBovC66}R04wTRE|n^YqHClFh^ru?8h%1I+(jT4|q)3f~GYAiYEq|UAfR|7RC
z&kQ&<Wy2In1x;gzptJ>Vn$RaoHgTtkA5qwyQ06F|BA(O3UAKAqlcxKxj>dc_-K!%0
zr!1fM?}3LcHXFk1Ka{IIZ@4dM30{m_?#`!?9ethgsbQ&XK-P5GfK4-*y%u#^FW9pm
zydJsyOq=xsL-TNpgvI*2#lD2jYhT}sb;)K$EOMX!r3eQUO_@}}z6W#^8kU@JPXNm%
z%4D>9Le{_<<vNk-Ouip~S1|umILo*`rknZS-4>Y*cetla&)@4%DSM4uBzI%ngZy2S
zKOd0)c8A&j)lT+*E1t_~ypF!k{M4}2HXv)dZNR3P>|TpHs}~?O+pgb_f7sY=@-zR#
z-z~@FOD6wrn_VGr_r+~BxfZjJUYBIwFGFsSEct!=Eb@LX&+^UD$mgnT@_H}ze2?ib
z^B*b4f=}vy1MTU)UAS8C-|ABE87g<g{ywgNZ~0-=Rqi&_Dn_1`a5#PC+ZnAr^``R@
z{ZH37=YO+Zd!oLXzxhq3c6C&C*1ToSzE3i(I;x`_CxzWeyYQ`U+tp(2$-0|!p8d%G
zaPnMLzO3$d^B*qBF2{f5f9QO2LjB&S`sVjrZS9SV|Cs-n_hwqo8jmnT6?ju*@&@nS
zPxl{G*In%Cd85BtFERXU%%OGfcDDRo^1QzJ{j7QadG}>k3-U(K+P!Z3#rkG{A(^H2
z`@Qnx*KX&o+Pz}??XP9+_ugH(9b07`y3y+YN3M;(HgAl0dr@E`_^?{{E%%j-_wBxO
zsKqK;;mS>>h3CXTMa^%=wtZLcW~tomdDqi6O_D!lq2rc4t!x*?(-t~@X^qSf$P#J<
zovih(Bpgdli(Hx*`Y_IvkLOu>VDm)D`p`53g%ks?8worq&7dt*zr1y&d-$Xh3{IEK
zDw)8mCf&npHu2yVv;KoRZ+-LgD*InfoA!Oiy7xQJ##}6&y>sDnv*O1m(%$7%F7}*v
zRLb|0&b5qRndi?wTbye?dv;Zx*(UY4(&aDLUw>fcdL)~xbe2=?vKezu-^tMn-f{en
z(We>F4-J2*EHey$<1E!YKYj&tKKnp#pk(hZPTyyL_kZr0bdskp<I_seCZID$kAJ(}
zHf)|Sy8(iDj#;Nocvx|KjmqBM5`QfI^%P%Kjod7IvBp~9)jyE#fbZ^}y8`ZF>oQ$)
zU9qYlmr+Xp{@;tm-%`|U()~H-Z%%JGchlJ6`5Va##owBOS>-!WM`5<S;o*+43oZD@
zsyAQjMYB$Uv}S>?P3VVB>$2}`TF!MZO)Pwhzo^a5=_RWcM4VW~`fjFbWA@rtAqW4y
zs)>CQuby7IefHHv*|TrnNzA-!zWb}c@D#{?shU{}uISBI)42J@#L;t$*xBrt`|tV*
zPx*cw+KoVJmNm%VTYrdg{{0m88UsRS#_(eumtp@?iMvRuvB27qV*aOurE;E5pmGkb
z^5m;XzryJ6U3_5owMPy0I|ccEEIMiV;Jh1nJA1`<B05laUN7KcU$S4t?mFU>=g%Ja
z&qn#9g@0GXMJ&4_;Af*)u9~`*r8!6Sb-Jom#*C?Vj5kdxjy@f6a&P0*7tbeqbk?Pu
za^G9Gq-)ZP^eK`j8ULA|o#*KkG-aQ5=ne2u&rbGTU#)rr#GZ11?)|Y7?sZaK+#$^-
zXSJzk(XvG7jWLIgoOQaV3|?*YFll3fM{9)4wI|sDe|8;X<$VI$Ug`4v;x>1-g|?d}
zeK~e98KqFo=dz7M-C+6C7kRID*h<sKbNpS_J1QE_^Xe1p?N~PHeoJ)khw^zm87t(b
z|IGceIuxyt{hWd{_v>)l$LsN`4?Njn``BECO;>AVPUBm)DAFpzadXK8)9m1ku-BT4
zBdt2J!yNlUVWsTKs_5l4yj{P~u8KMx-~6ch?k7_XxfeIp?|r(yz+!p2d{GT=*XAnK
z<64tgxjnU2voqgapZm#F<KD&Da|&!T4sA85TJikS*W$_RmR>w;yPxf-e$~IHYvaFp
zeGC0zsv-9>_lv29%0ck48D*1eieH=RuMk+BIQjgo>fH6RnE@wy-ivQHfNsNFv6{(9
zAj!IN!ovKx?NXl8*DuC86t`e6XzvXCB+@9zW^FF$X6@tH8n&TbaiZ@+AA5dXf9!O5
z&U)EQ(1z_5L5F&^n6Ioba_H4!e!BTvUpH#0k+#=<+WA|c4cqA`8@A8i629p5qW|wH
z(D@i!)%()Z-<}k9Id?0#QR?f7C{zDizpqcaxb;=}*{IO#71KW~D_gXFS=lC~Wo1gs
zCI{_ychCC%dg3ixvmoopd%M)%olNe#vOCW+d-cMypGRy@roA=w+#+@L@{Wz)X6d{D
z6(gJ8A#K|>{V+d1Y++;Xzv}bH-M{}ddTd>G`+4((z(xq-X}Mts+Iacxr`ILZ4=vdG
zcIz6~_alwML2kPMlR=Z#HL7Dftt#@$0dtpHpEM`=;6rw44K<(<-o{OA`wY?gcId;q
zar&Oj^6!tDd^nRgiA_%K;(=}13F_+_>unWz@0>T?@b>=QS4Z~mE`9Mt<b$~~YfaLU
zhW)ad3v_a0VSCNO98RWjX>_WsYxwjHa!iJS^ty)imaCi?U!J$Mzq;P-|FRW=Cwkt$
zJ<H*6VlNc2Ii2BfXmdKlF=ff_>$9;Yq3XRTJ-j>IEl}ir^39HLQNBAbmaLFI>GQ(P
z>qaln1ZhbHb0dS-c~jQ=+5gT9nQ~{v)VmzbZ`@u#ceBcvA#FSJncMoe?)#jseOo`F
z^ijNG^+%~aFZ|UWy1KrsIi6${ul2hnGT<RwNy&X)53vGQ=0nCCSWiCAiVO%eT5#yC
z`z4<{bx(M=fcHD-*;~hN-u*}B*D1x=9gkM(+2{A>olI5x!XfYpiY6Sa@CF^Lb#m&G
z)8|iO>7A&=*7<cJ$M8=^MJNiqr0~<yRLkB-_*B2pG<jwfdp3_co|K;_KQ%i}o3CSU
zcH+}ziJv<cKK``%P3Ye^@yYZ34t+J|>p9`C{_xI${WCRxR_>5Kb>2|<=R{VYiSm_f
z)8s4fEP3L7$tMQM2h;!E7yaZce*HxF+u}J%vddk~KNtx|v2#R1(TRjTjqH+r<{!K+
zt$hsMqZ7prnQ@X;NP!|RsTA4e?rWXXoL&bUx|Z0m<}gEKdt>DGzM8B1*dpC0u4Qh{
zk-cf9mLwZ5yESIo*1qKHNwVh^UTb)TPuF<!IhAewE%ok0eT8!@-6j@XwX1yLq1Sb?
zv(;tBjtJ<`uUU+ap7ky#9jV&FPGfxIz5muOGRBsOs*0blK|ZbrcGZ`Fk(lbsS*2xI
zT0Apl&r0dvw+x&=Y37CHQ#SZZD$F->uuFBQ)w-|)<NUI9g+HTRH?J>T8}xa_38{9+
zYdgMP-Vw%Z`Yt<Ds=Q`F;h(jOgnxO~3%&aKH?(}hvuEe$&u+OFc{MlgYr^_p?QviG
z_q^I%@?p(M&ikEeH4*HS_nFW5l2{m?qa&Ia>?s#~`fotB`G05F$fr4Y8}p}WHw!px
zj(Lg-S!8@#di(xG@BMr`?wH>>*!)88e%S|wEzRMR^eW!3K^g4aGdCKgRx_*)N2%2`
zV;|3X|L&;XT|Ln8i>v!V)mmS4?}wx3ctO=#rT==_oy&HijdboYM_P@zAT`T7O>~;`
ze9?+FRo(bqwck|NYJ9!bHEGGq1g%NSnnhK7*&{ERwJzDZOfA#uhRdX7%{!Kerew6{
zvgN){kG2ip<X!dDdwc!P=|wNUovwP8RP(az<!MkSVtU^GEucE?<u~_Ts>0fnOnE%v
z%bR%4_&AF6=->U90Xk3O*go$Yvw!I&=D*wBvUlnG>3Q}m-%ro0&$b7hC~^I}*{@4)
zCq2;k`!lrach=t63%6bO-d(rd`b*jJd+#pYUfFf^|L1R98y97NGxc9{FFbj=-gn;e
zmkL|F*%!uw?tidak1}4IR9c4|gqM!KYC`U=t%+Qt*V8riOp6wilh&e^&?63!YxKIL
z`r%=?Kqu^dKhlchl#Ny!q{JiFY>GIk&^?uJQ$*^<P4~O1vXe@S_UnW#{}O!U)s?!)
zHGZ#`cU-;wD|MsQUVGb(R*U1sv|k?d@mh24&F+Y~SIakA?S7wB>UaO>tGBh{tINMU
zPAWaz);(3_MoZGy_xIuz!%9^5*h;I1$#=|~u4cS1{>~wn@7={dFL)dTte6E-P*1?>
z!Pe_;`nC{TouyYO--lG9fw!1@d~tZO#Z^GaRuyy-%bnH7{$|-|ew1AQ%6-vt(NjJZ
zQ459a)?Q$k8<x@Fr)~0~h|yRltU7JutjdjA^TTdvb%xrly}<5Yy7AJ%V5c=|g;5JN
z`f8-s7eqa@{+<1!>_+h$=R(nRkyW<7Pg)M`^{!v<<#KL|_q79Cyi-nZ@eauex~1Bk
zeycR^{?^yAwb$2aS97Y1K8pP3RbTh<MWOqvyW3UfM`(Q27Uk&-TbwBMT|;(@m%8Yw
zOM0Ml+RNnMz>Z<zeS4!@o9FEWHR)UKi=zu>a_(hp*#ku>2KSnpd5%XHsJ?9bwh>#n
z<Yiz#NURNfkQn;W?wj=5%<7)b@7cC1<yidAt-BmnTwU4{9m`g<`t^aVm=xvgWfMhJ
zl`kI*>G5ILmYB)6X=1AKX2*n-wJRlO@;!13n-Uf49sNYR>Rr<G?|+KFXRb@S|8iH?
z+oxLJ5{}P`18py@D!;$~iK=wX=Z(uNf4{b^72mXX&+o7I>M~NQcTaqqDW6>Y`Tgr^
z{x^H}eLrySeKr4^rn-}--b_AFc9;FvgYUHyR-}l3i#@6LYWJkQ``>$gYy9_Q%A4le
zaHB}>;7$BrV^5}>WKx|yd;eZ%zcx^Zbo1ns%>7s9$1mD``u2<|>$Yr6%2f-?*;IFf
zH(vMlwFez)^D};|luBQFvbJ`1W^}56RW@(SEJ?3RzOOE$w9B_I>CQ$zL^jW1KJs+V
zNv*eOb3SjrD9oFx_C-|Slc?$&ZMIYDQgwQ(T;a{}#!sDX(_K!VfS+r(sa7_km-}z*
z?6=<Ro3rCx?Jf#5=frE@4!64)Q1||(`_H^@p*QZG-&VC)n78_=Fz@|a-j)|XJoNkN
za#+|Jbcj-C+wyOx)TQQKif&F(eChaWMs8L0hI_N(=3daApHUH&UsUz=;l#MwlqKQv
zvM;Y5Ul3mWS^oX*_}WGBuPR0B7CrQ@mabj25R^Gfe@_)na;-02Re9b0Pt6v8MdN+(
zTkKdlzG^zG@Mm<Y<pS-`KiSMv`+3(@k6ksfQrLz`S>J+A8+!~psiEYY9EYVa$K1{A
zPUk@PSL_3wIflOCC`_{|)Z*T;Rfo17P2W=!-!(5#!}y_OtJjYnC*~5jg^X5<FB~|{
z%A#&mXNlUBys*hwC-3L4j?Macnz@^6los>P16@$GvLiZsa_4Oozmz{I_wC+>|2TbU
z{;T8b`hUFK7cU<;??BJGN54A6zaPGLv##`9n$3<SC2Kk7p4HI5f9Ku2iMMN)z0Xr$
zpBt3-{pP;icc1@yS2q32-M1;V;Wo23a_mm~Gy`-@-K6s=Q*Q0Hl-qRkX3wMy({;-W
zlhci%%PX{ZBOO!6+`n_>A?BC-1*Y#yj-AFm&$8*u{(W0EhfI3c7~hGl$dmhV6<gMR
z*BIZ8ROBi0v%pY@k+obzE3^Oasw<aM)V`RgzR6@eahYera>*H`{->9ln_avdb@Q^p
ziFw=V<}GV(tGs1hFzGSZyl+4MN$o2rdm$zA)>JrX%imWq2meht`Eurul`m)NEPQ!$
zY3=pRo2xmi+R_tUCm+8WYO*r^W}EY(&et>dY=xW^@b=BG-&$|hT)ZB+XV0;nB}MlO
zvU#1JUuwK?Ey{COW$j{=8Z4~T6{QC2eK{LB&qXff?Jcg;uKKn3Ooi6Zxpqn=hZYFg
zC0=m&`Q>=N`+4sv@Z-s*_}BmOL+a!z%>P#bTHsusyUkek%(}UvKfk#1Y?`|^Cw=Ym
zp1Cg-^3qvXMkda7y#4!T*!kEu+<!m4?2k8*%+{^{^?3TPr<djT-`VcJ=c#}Fo;C4y
ze?Myfozx+EQmhSrij+2!nfd>#bw9rxU;kD8c|!dA-OaK0%GrOr-IsmSwQv5vA7??t
z+Pag6xa|Lay#2S=?r)$_&Y{cl`PF-z*1WLlK5PB=<L-<5+930kS;razjM-Xd86qx;
z0nby$VQC(Eif?(3Jk%b*&e<}Dv&G6o;faseCDnKASX%ojQx}D|F2D0lO8@@Ui~pvb
zY5A+Gdgs28!(UzIEBB2S{9U_X?YF}jD93vzT)Un1^ULv@;@gX&*J^Y|=dJ$vV5MH3
z)r_tkTg_(f@LjZ4?Uvg7Z`xa~?z`MS_n*eHX$8L?m;cUwvDS9`l9IKdU$327Zr`<V
z*6ou{Gj5;MHrg(1Ja@|N+V$`AzW?2~`!4^lcV(OQX@9%kwPCv&<WRDm+ts$7UT*JY
z_IkbNqN_XR9qaviJtb2dw9(P@9?}?D<I#Kjx6fv`dq4H?!);+p+4XFluZk%zYA?Q8
zvDo&5`|kWNzkeN?wynqLadg@37tIr3^O8I*H{9e?G*U_qoM;kNYkF17`nO5btG$!!
z_Mh8v_qTmp$NTHg%dnr1ajgO`ao?+jvIX#^90wS6=-n}y<}ly>ZpHdO97}oUyVn2n
z3`t2<6NyyS*~I3N!aL!Fl*aGRrf6eq#eaUTd2{~T2j55M_rK@*#hyHI-n78!zB_WR
zq`vkmd}E($f0;d2ddgqRqr1YN-#Glu(eB2x=H}djh~NCRAKdK@)bi!qpJuOr(`>)d
zan_daH5=TjkAF_-z3$j-a<Av;znSMxA9Ftb?DOvIKU4p*^xil6Uq7u(qC%{=^5^Ta
zXP-W~%P!LUf7mY9rfaL@-kMcwZ@&J%y7=6iNf-Waw7YiZ-_5GuGJF39@2y>TZ<)0H
z?tM4+-~8MEEAP*ygffu_$7{|1t^SpH?7!09)c4cfmsRYq+*i3bWur2~q@#EKr&eWe
z%b%BjF8?@-%F4?B_g}sJziNB^^6i?(8UDrnw14r|{?*$&ch)DmyFqKz_x!)q&$Y)b
z|1>*;+i^BGcP6)X-hW5g7b|mZOlJQ7@4HqXFVC!+oCmj72%YRX-K#0c(P;=lCmcF7
z!8<yqfOd4=I8cgnUG{Odb^UscXDUjfnFM<CycwL5cp%8g)bQGKe|xh6=Mv5owHPLW
zC<L0YQn%6WzmA;r4nwV%M#5gZgr=1+tGs0MxWqf9pZAXmY6W%TINPU<j69z<HrC`E
zVEcK)!Oje{7g*Y2=lkPq)BXncE}D8;R>orM{E~tPul~*A=i5{9n2&Ey&R#ygKTF=T
zLlzU8*4kTqn0haZpYMe7YdM(-I%Ne8o0iDPOjr_ZYoYM|CU`~b-|e1!e1D$8cS)PO
z650ryhbyW2+glWPmvE*W18tDb0ELcGvH%!OSUGc}UHz|vjnSaZblA4Zrhj~JAQ#(K
z*KeqW3uJ*cmaVQ+>Mk8@%>KPpmu=oLKE62@{VgmO+}d_5nVH@9%Z&r~mg=*ds5)_w
zF*v}|Dj_%1(k6j#m)&vpb+3PaWc;bTwyfsCuYL0J6aM#Im6Zo=owiemeDedeb=pqB
zb9q&b!@t?!xxeiX)GX~je6J5Ita(uBYiC#B9@3q1jP>{yj+Q495R{^DWD5sqYhl!q
z^YiO!9-PBfDtvj&jJmHx(UJ{<X3mm%{du{({EtZ7=}3Nuwbm;m;jUdmVx`O~uh=}U
z@J{LHzay-xjeO<JlgG?Ie=xB9{NZ3{m(W~OqaZK8;oy=!{yF#jt?UY%_k%Wv-T2tZ
z{fqNB`?F{HZ`OZgIC$sJ#%iB09FA)@v&Zd<b7!x+^?fn(rlhix2g~-!%TD;;b5&Lr
z6hu}Ek#Amrg2)OKL?sUQws7}}iJp`$m@Ff^<JD48cXmEWd0CEiulIi836)-P)5@yg
z{X6l_celA0-*=o=l-aHGowt?UW$B3)_LQtci_g!``}ZAvf1&^OU%%0}#x9rs&5XW}
zBs}x+<M6);U#eahJzgJZ7wzs6zqsaFj_ILr0rLe5t74ule9?Lev2`TMO)NR&*>j|0
z1Q~UMf4^{stajSlzrKCm+r5_G;;!s*s``2A+3bV&N+-PCJM-JUS+n-OP7uDin{U;d
zy~sOd?-j@0|MsXR^4s^Xne3OM{ylp0$XDrQP2soCzxOCd{u6pD{`GC~bp4BUiS~<L
zxNe%S{1w*V?wWP}Z2f}xeF49J|3=;Al>ct`viq0HefMvDKdUbK{`%*2)gZ#XJ~A+V
z*YV!HTUPJt7uowEd-wVk&s<}5x0|@XJU=xk?#ljWzeBG6ez<>qz<c}bqU3)MGwh*T
zpGucHuF&Rnibdc0^j`_b*#-8o59>kOq7cVi?79Tr+Bg-ywNV*YF$vz<_;jas!G5Xh
zVUIu7A6vgjwrD1&^%Jih&Up=1PuNyC=PkH1qaMBF>;1Xrn?#P%*7LoU(kWZ7A506W
zmi2LcJNw2AanAeR?x)S3y?--(n?%K|+7gv8o!?7Dr9PK`y?$dxxaYbl|E_)c{b_%&
z(f=f?+Mn~k#{Nh>==0<KyWJm7|3CWb*QZY>l)o}YPx}37($l4jb+k{}Pr7E!us~m7
zuf9IKG=w+7*Bn0BsQqtcO+iAzksl8Z#5g1EZZ9RYyS*Q@Va(|%3wVFxk~s<x6ddeV
z`|oDpIV~BDhmQkQr_2oCoVr0sN<r1c!7C%-+SCF)?L_9O8#GF*qa!&DPk7xZP`%=K
zc*=))`?Mb#i8s!ly?=ZBEv7AB4hQuF*zZpjUp)W)qvl_YnN}4IFBkkelxG$(W!C=I
z+9x;F?>xD)UgK*u_t(8nsp}*3FYjKzUjAci^gNwc^%v&<cgjz$YTv!jqh3xkE-?PR
z%7HDvc1hX9zJ5{*J{4#7kyr12)N8MK_tQ7;=RdVovPQzQ#5ra{(TRjLvI>VYGu!Rz
zt4@FK#96vcd!G*~?BP47C)6}SkdLq3*PoaF{yvFI>&=hvKh_`1{%v@1+RT70Q#WKt
zD_k^lSd_cq&L>;+!^TVZpVz&w_NzAU=PiZbd->)?)!jcb?S1+$&${}0aOoCt`g`NP
z?KRW?cE9ES<~`~Ds+*twUO$<+f9kzwOT%-1%592cXOeqWeL7t13?JK{J@0knf9{Wn
zJsmC=`F%!1%EoEyQzAd^V3_3h^#;n>85=5+jvj10Jo``Ro9kaM?|-ZHowt_VW$uX@
z_LQuBi_g#3`*$2;PxbU)$rxoB_wPcCvP|>w<M7%V`){E+Ge2I}kYB63Xnmkv_BN@9
z`uftK4gbYX`(=OjZGn%*LDp{Wu|plvl&@KF=)ZmSdpVux(C3x!_B_8Nr+I2exSyS$
zM6B=4JJIVmdYJ?-s9Lr9%Ihx@SuVHRE;8O-`~1%F5ce}7N6&l-@i~7+o|Wkno2X!A
zH`6B(R#%rA`wyL6kC@{haEk~`ovv`=&i(h_|EAVmzgc~E^Eb<x&*$7Jca@NQT@#k}
zZ{JRj{B`I5y}hH^+sS2f^wYfkU3Xn7^}WviT%_{e>O}m}bD#8@w@&|l?Z}f@c4?1$
zr2(q%T{F{zZp7W&_HN7T8?SA@%jQ%U{?q#Q`*{ZYrCZ;_H|EbVc7MJ;sXMN>Oy&1K
zt#8i7PuC~e+lU8#QM!44;;(?(GiIP6(M8X$$%vVQ6f){<EUw=5{Aa?87vG-0GW|Qz
z^7<F|=P{S%XBYeae><mM^!G>0|61RKi+_uJ-TVE=-8*i-w6-m=jQYQB%BQ5}TG8M8
z=SMHRr=L|6|L@68-HByO&ipu6AuOEb)f^Bk)iUdnLakz&g95YSWCMlTe<il|swhqT
z(iG64S1XjcjSM-jJogX(5e&`^SEezzh##HC;1a(<m$5^yOBZy~%!woSSV8+p>!YyL
z_nn}2BNsnwNMhwXE}f%ouPdrTwx%Atej-G=B_x`2^|r>;km``XJsIh-X@}135_-2r
zdcnC}jIY*6UpV)xZt<s`{P$g^%71&<z2nq#yFL7yPObNP8m%2vDVw7(HGl2iQ@8)O
zndLuTc;Wrm)w`qbwf_%1{rf|BZt2eb-*4)DFSon>^xw&y0q@>tRvO36dmVZB`J}TK
z<4WfjUlgBgeDVL^Io<y*7eCG0WBT=}b7k7M8QW@mqJ!_Z#LxP5ZQ_6R?|+kT3*OK7
z-KYBhy6Q`PFWpM*ja7H+oo|=M$8CK1uQ2!e-^aJTcYQM6yxp!lN5205+<$+Q_SSaZ
z+ZU2w{=(UO>DH&*`6s<6g!7%=@0EV+@xNb2LD8SAB0d~k#1FbG$8E~?%iyb@+1gp}
zm8Bj{Y;>H=&pk(StK!U$v3qU`aL9@=EIiDlP!hqgME^(*M~Xs<kQu017V*FrOTDGG
zjpf&$m+h?gP`C5<^)y_VC@4^rG@(;g^5S!Udz}x{u#{cGpbfvKmO25gkEP;UQ-2%$
z=`Res@-9_vOSNjwceWGncqiPI4%j_?@2L%Mq8Fo_M98Q+n_qaw&1Z*MtZq28b4L9%
zU}XDgaBvBq@QVayS+$I{FAN&_)QV;-*!t)&%i_yl{WE?iHr38s_y1TK_>3lH7WHj^
zIS$(SU$<WwYv&N~x#aKk_18Bx&b&F<TwO*+<5*$JgD2bMB`1h(R%Twl<?o4xKgT0(
z{`~dnL=-#Qgrj_X9@D~Komu^5+ouBzll(qBXxIzsJ)0*KR5-A|E-HDjK5vzU)dhK3
z*&BBzEM^Y1a9wh~PixAV9XvCg8Yg%(L(rGj8BUEnEj~^Qzx=%H`YRW7C{TUnHWr0n
zNjaPjD|YfYolO<k<S_x1r0aKi%ooP8Z!^hz!TJ4aO14qQx4Zv-ZS*)h<!`CLtM%Fs
z|Ltl_{q;JuV*ktf{BudS9X9F;9SLLq8S+hMn^DH38?P2@yRpvs|89lVQ|r6FUYoLQ
z)#uu*+f%<?Y~K6%?^4V1TbFN@THU`c_a?5o>bCX$^ewSD=X2Hv<$V7*`@uIw)m0Oh
zZFPOg^L^2?qtD-PhOgh4pVfYSes%F}(QmqMgNlD|KF>A#_NVXnU*6Zh7X2-v@(17F
z->Fr*`I~js%B^;{&0n<n=KsIXwT^sg_05Z(FFloK=Et)eJ=r)`szH!Py^5qlv!`d9
zU47N<Uwd#RpOV{l_9(^3*Br+c>D*4MIE^;WTWeRpD>q&MXP|A1ZOy*@@rC`d){Fl(
zo@x1;ta``Z%;9e`^A&rufV}8<5wyO+w$g3YJZ2N_KHs*LchlY13I8|!R@=7L-gNqv
zZDRg0n~om1JmX${mEOwS+G|;F<5+hDy^Ge)ik5$p`<i)8ZZ7vHb*o=b=G0IBYg~M9
z=d|lP*L)R!9Pn@ZtWWP{=iV)QTYo#?cdBCewVl)4-(6tO@p>2IJuRGPv(D@P9=kRr
zGwA+}f11d!yT5eZQ~S%HbqJsCr!8L`Yj@*qv#ni3Gc(Ko4{y7eS@bhLF*bECj#Dwt
zvv}qTJ?A0ixI(SsGgnaA`#}kO;=_Av2eoYk9n@y;P~eHuC*71R07er!Z-V-yj7{Zk
zSWb($A@t(2|6|6ca#!EjG^gP3L*Sz#7-sHj{KVMgjhcHKYZ?^f6%HuKE1Y2G$e92+
zG(zG!V^jZmRapg#^qI^oi!N}obKKkai<za~@ESAA-7lYSUG2R7?7@MZhntx<y(=qt
zu;<~y#@o-rstO$BBELUqNZHuTJW2KGg9eqkFOUwV;w>sjDDVfhJU(T<ZJTdd9eHIz
z%CXoJJX|d{QV_I6{|FBksGwt8dVc=9i_@{4g#q&2^6D?U!BrCcNHh2531H-NX7Sgb
z%a1Q!UGQLMxQlIgn$!8vL-Vp5Y_2m@u4~Nvey3(uwXp4WjmkXjYCX1_#nSI~T4l_5
zKJUKYr#swQHsSU<uEk$JN0%A?`l&Z*wfu}{J3c?#@v7^0z#ZLnJE9+VRloQlvOVIf
z<-4O6E#I7kZyibv>tmjA=2Vlu_U4Ks-Cy&?qAE*b7f#h#e_{XhsW+1jDQV}QVg+3Y
zrXW{+%-P%a+lIw#?m4%g$XOqjlNP)dW;$=>vC9uOhFZA(To?1o`cwZ!wVU3jeOA;c
zEP<iF6W=%Rw9Htj;W>Q^cunyX6Ue>0mmEC6=*!Zm3{~Dst5z?LQZ-%}rkS|b$RR4V
zVaurlTe7d730UoSGAfn-zCzSircSL(DywunQail@mu>1<$`KT~I&7IwX4_`3jVJ9c
z>&%ZV=$+Uf{&#+6PtBxPSAyp6)Hs{2m$&{<N}L~G@RYiiRU6KW|F$Shp1ET6jHOF%
zcSv$rciwE*>AKl*^Q83|H!jnON%N(Y_+_~~CaTG=zZ4zJCoCw;FU&Jps55~p=~JRo
z(x=2*6P0AVzd%=tO;{)H>?(2VaDdLKbA}<k^&cF4blz3&a?;^DpA>jZGpW$Gq+ic?
zVMN0O82aK~T{7WdM*ljMSe<pC^~nJ`4&bY%H!>(fQNSgIjTQbOIw@)*+^RZaY#us1
z6Cxx|BuzZZ)T|>GsgpC|=pw_ULeE(ddx}kyK6bTrwd!znAKiWFsFR!(=f(*f$>Q9V
z{W_re$X(q>|JMJ|Kb`b((ZmRr_|vnKHcGtxv99~w;#9Ref0{2mlW#HmudwAO`^)}s
z7ZsW>+C_Q(5`TFQ>uP%co7JEbDB(NPCAm4EsC!b$*<IKcPW$wy^-g==w!;6U&x>HM
z8_RhnfEPvt{Hwb88!aPeUf*%?`sq!_d{-aa>ZN{DH1e^*390R6QrEK<9E+W`tZ+K3
z)baZ-cI}z_rFUKVc4hTlxxPR2t`{FqEDtN0Eq?c%>;EI&|5l3M)vnh4T{@|d^S-DW
zcxi;T@2?FzPS3j?){?&EWOCL{`7Hg9_TX!2lFJ<`n{`2(Yx8H8PCu~SX1Nm+yE3QE
zf<mDmjW6`#(`xqT?XD5{qzOS2_6gj6+RW2(LoeQQ7t1|tlVX=tKQv<*$XAJF%17QF
zsmRF!Lm@`CLJ_UZp1%V^E~ThVF;UgYWb?ShGhvD3j51Ga1@9<kRo(BmY9^@WzE$yM
z=bgM|^)1gyWu?`kd$*;x)s;>7eUtH7y7A`gTiz}{yX{L-hVka>+x}iRTXNM{%-woL
ziRQW6&;JC?DOxluV%FM@%vmRn>@e{ayI}IxHuw6L*SFSXzRy+9KsuszO{jY3v2~f7
z7JyelzMEEdZhmOQtwi6vt9NDYww?BQ!Kd&9hW=hOlSwhS)u!#aeG5Of1Efp5cdH_A
zIcZ^Ufg*R$T}x|Kq@plxN%ZMo+B@z2;y~HSGXsuK+0ZAcAZzSkmA2r{s^1Z)YwZo*
zip_{F_1$tnDO!Q;Ce!tEOtWvS+Ff)`_ro>c-y05m-<rI3*XrkcLe>2Ra<9hTk8@r9
z?rHL+1Etwl?;4aiM6wqj_|7jIAXXm9uH*E7^6@KQ?N`R;UG)U7nJHtR0$Vc^S<boV
z!3QqRn!3rVA0A)C+;?0H-gnFa*?0WM1>4lm^aK7VC)N5lPJkj!&*=yJu`LMBoN~b5
zJ=Z7ANjmtDSz1HR8HSrP8aJ`jsi6&33(Zg!Xx3?rWXheOy1`KBM`PI}mU|LY5AbiB
ztny*mw=UI!v~NGoX6H9nS?h8B3An8qaP6SVhiBWo7hrC=K8CdA`n6tT{~HbOg#RZd
zve+#y6#8*<=id3DPh;yI{!j79xqt9<?O|D)j(4AO+4-HUD^y=Lm1m<&2|j&Wj65ai
zDZcsqbq=I^<XSJ8p8DCkQ+KoDxwGHS|CWEzvwTwh)pnQQll%MFr84)rHPnb$TnJz<
zo~eKC?cK~Ur1dTtl94@$Gxc4O=Hs{c?5@&%JSnoZ`f5d&>RZXkOUvRebzRyT2wp{`
zG-+9LDr6N=%aW~XpjAW;n5&5Rx91w08&`i?V|`OM_wLm<vbGny{$!lFx3hoC*`seJ
zswK<k-d4YN=1uV06GsESovU5*c6sV4<+Ddp%=aHT(v`yNe98H%;q5PFyYF>1o;_2y
z=WTkjm+k8#omxHKhwLtvasE!Zt@<W7clrkZ?Y@W7((EsranGLlH^+KWV)gTPRx|Ww
z2CZlo{VlR#kxG1PSNKMwmz!AJU*BJU`S$hKZ=3Gvy@K3DxBF{Z|2@BuHS>P)izc~V
z&(Du5e|!4kH}J+uVd1Qh#(>3KEmENhwTjy&vrGPX_SFG&>q#`WR4Kk?J@RdiAdPc4
zL3$w*lB=<lV=1kR!dtJe+5K&6#%%AjLuS*3@`@!Fm`!KQDwfnJzn+J7qgqk9Znres
zYqh+cyPq}d<el!G&8Mc6=lf>e+|q)e^0(K@*6dc}n|2}gcTDxsyQY61uH9&IolmRw
zvR}@{JAQHPJLgKw-8uKiy&ZSnea<c4ZOm7;{kPNYyMEJ_=QaDvZFYXYOLONzwXLUl
z%}%EAnt5&!x_)`bMz-1Tn+slMOy@8AozTy(_NwuJ>B2+IFN=Pk-K}uy`eMwDlfS?l
zCqX-&K^rG~vCrC<V_SY)!v7}?OYlYt^ULi0l<z8?W%PLc9jPpZD*?fWcukpiE-dpf
z)%$Y)+M86XjBV3jCMd-%Yfjzc!+!I$)Voxx6>n45c2>^hdlVNoMJlV>{78Jx?uoT4
z-_GCt|4{3jXO`ld(sxX#-I=@Abnj_-tzB#1`hA<aE&kt=_#Kl@s#^M-ocGX6E&NWz
z!bzd?K4i!<>(<7$|9H?}J3%9b|NPDgwkFS=zCD=3eY3XsvGAt#+hR|o==z@6cdblu
z_ujoOC(_dP-&0dQe7}Bq{pP7Bnaf|?*S~zb_V<h_a_Q%NALkvIcKiRoe$iD|U&t%`
zC@ay|HGUW;)$;9<!j|c53-_9M)Nh&2CfW1tlGmls*Vb54k4o%T@YWgqw1tkK2G7EG
zq8z2`9adDcI^ES)eYxuET`a-ANo`eV>+P!+>)aMU{T;XEyQ*>GdvDI~`*;H0pJw>F
zk7r7B-hK3BcUpI~ESq%H+oIEF*(2YoEiLoS*;%{zt?u^PxZ6Q4i*`LNbGLrU&av-i
z+{XL9o1Uua-{<j<YnQyY{Z?MZ@h!*GEAHp*e%L86`(f?!w{7aDgSS6b>%Y0M{O*)H
zMU!tmoi;mV^R(G2QN6FWeyR74%G-1TJoS?GzGTa3_T9A`j<XAYJs|(5UR3VJi~9;c
z95K(O@rdup{o)APtGiz1E^|GoAt86dsX3#Pn|G1^8K>qK+?y{bq^N^0PG`R-%BySq
z(5v|Z5(4crlB+1kne60Uqw_$?E-FvqN>T73U32E0PYESE>D5om(r@>^+5I-?^xKck
z-n!en`SrHm*=P0KudHnMm7?EqAfnDXWRtG<l$^A0?79DoZu8&%_jKFkd2eIQGcA1=
zy)FyCJ@-w^HtU;rbIUgg-+o-YNq+0ylYRButZ(+;ewXq+Pq*}LisO#gYM{ZUvq@_F
zcNW&~_sRdhHYKR4{>84npPq2tu1cJo`}#QMjRAizmPw@;-0}n67%(4eM!fwi6HADA
zZeKeeTMcWvZEf|UZK}rqPMzK6aAMn(4Y`shwv~FA>TSwRy*<fso33oi?OX42U)Qql
z&b|Bf&7|DFOJX;zoxja{+t<z8ZeO!~J1O_>ZM~b<&fi{`EBj<yX~XSnKXbRQjo)&2
z+dR7)xv%$qOUZftZ`<u_%plQicelyq^q=2WceU*HBvIqrze;cCdS5H<%<U}MlzS4K
z<8n_jU(WURde6V@>#Jg|oY$<rcE>;7dr=mZcId4MNA7aR3~OGe+nE#oWmxkXN#rh{
zy5#n?^Vk+I@5=p)veVa3oMqu<R>u{J>`u$MwLG`4#d-tNtQ%pXdEt+D$o5Wq|L?`g
zHY4GAIV)QH%}gBBrKJ{3=H;DozOY6Ct@-iXB5n8K1n1kacE>NW-+ZT*TJCFeJg~&e
z?(KBT;~&l6-mvU@<iYve!tM&6==#@P+mD_vyd!0Q{NsABs_Rh~E&F24_vqH0{kL{w
z_P5*O&yOuF=ieUtK1J5&y<Fz*dnX@VvppCHDd>N)xUY=0I~cg>CTHKI4AXhnFa6iG
zJQ#Q{0i5@u5u+C~R<P{*wuy@~=gax>|3<q`PnW#E{<fZcOAQwUEzv(x_7k*T=XCfa
zy+(d)TOvw0|AZoM#`-D30Yy_Lm2mzk#gfQox^e#Ty>cu?ZHu95PBPnxV>}ajB{jSs
zpr2|n?SM|BL<;8_p^Rpo#@>kzFXyXzJXrj828&m)jw6$N_If9#_^scBEUwAt{m#8D
z8!+vl%!iNLuw5^D4C#8&*Y6tK?{2ulIKTeNf{WtTl@^$L(3a>QF#~)1WcZ|a4gFa2
z4||OXa%&xRYrLHpmW6}ME;80jV{VK6QGwc2$GBT`R@o$GyNi9654OHlVgD0r{iq@O
zF5~tivLCiSE<3Q6)Bc{V#C&GEn`Mv_JyyNt!?GuMBhsGWUDgl2%C9eQ*mc44bKRcb
zol^T*biebOe^A(UayzdLNA82EOMc&dkG$!1(z`tWYe)@JmAj|stwLJ)ev)f$_LR@x
zFUr54Ch4#Ge+7T%vPt!_{3eIu<BS(9<a=i1@4jDI-57RK=LMrp5{HeBZ%IbJ>3CV}
z-f4x$*MLr%INCR@eoyr;*|jI^@AW#CZFwrY*3{7b@<BGtgF9kxZ96OTzhe8FHT;(@
z?yKALd(yU*68|f<=Nxz{oPXc`UTxrQyC*-6@6MmEJu_nBnnaJP6?SQ}@8w;OHrz1t
z=IPr{<=^jHWoyUzyY{x~o0r?XZy3r>-;iwm@A2LI7eA)I?R)KF;xjKfZ*TpcXd#)k
z_51zu%};E9lecHB(;BJTrl%X<>Q025!y$}x4hKqe@@pHGdU}#x#&K7qb0q@ibAi^k
z{R)AuZ~K8o&nBmfs}E&gi?Lp~SM}1<Ni#Q`UfQx7d<F;TD2`KSx0<7Ey5G5T+EL!-
zQsM1)Vm>>HZGU>ymt9zJyPDZ`y}6&C-Lk9S^ZSS2nw@1w=a^sr_Ve*w^^#?4cb1*_
zvFBZ{?02h3w$HnS+vC);qqpyb)#ovjZ#{iBD`oSuSt?PT&ol2t6#L$b2Nx;U$VCeC
zy+3=o*lX%0%YHb5<=WzX0=J)l#s$<s*A~wMUH*o?SoV_ahbPGUNiJ!^FFjU$$yecp
zwMb#NF~zdKb4v!wf<Fc8gfa&n(A~vb9Lo+Ivv`o2$yX7i{;WYhSKac#$+@8x4~nlJ
zX8fO2!&l*T98#iO!FpjaT8T3MhwDwo-|l8N8U6e7KBXSp{(9R<cE!0&5cHCJqp#fw
zhmPD&Q<qpjki^#7yUTdK2YHn%OsnGDph@o<K;t1Z4NN#%Vdv^K2V|&Te$kNMcWTC&
z7Ncb?sb?5Y&S0Em$ehYv<4vGEIsBG|{fce%R;D@?Uq=7eYn&M4P1RU!R(vVu+P>wl
zh<_xz_0eFFqkbQ!TsPC>wb}bvs^Ztdb&csfrUBEIuVXuv{3>g~6}{{QDaO20T#~Ol
zM1l_-Oi8^S%WVHEqLod~@X~>^ycZ8>&3P1`?z+|?t)@OdjKS$2D+FB<PYPo&5=aVL
zpmKNrJ*+FN|Gs{M9RHv61z<?^^5ghWiw}#pyZ-e^bFvRQRF~55=LEygiH(`x7oWY^
zzwBOr*ChF^o8%KG{LOg#$Ag{sv$A1J>femCZ)f<pC)L??%D;49R&(XG`>Gog>)d9_
zoSiN6@>%WCypk6dcUEZBzFZq1t#zfP>tp1FwYh)4=-g?zXJVodc_5XS<NN_JmHySK
zj;<#gxVFoC8khZ^Xnvt-v8()=-G6F6HP~yNKL617UE^HgyD6uAGT0PSVCe5fHR%+C
zTPfO}?;H1m4wOSbFJX!Gjh)B`Z!9rZfFZ9-vTycaDGZEk`OKpBeO|mt)p%i!X5vjF
zhfS#sDW@HFvX?ocM!&(^zUkEom)}?HWPjHDrsDO>3g0)4wb?H!e($;PWH$5h$7(l@
z-}dy~u)QQqv8vvT@8ae~xA(j#)7P@yu<EnI|Eii-<zdIA6E^WItIwBxHGj?9O~1lh
zUV#qEs#_78*WhUu?BHoq#tPr*9a+v^bMBxhpNGBKg+1K9aX0+-XD!4yB})7%N_BEq
zZyi!~;<;=3UX(S|+9m4(&w1b4$nARyH1cr;HuCY%+gR#Cz`<6v`;vQ^mY}RM;5ofV
z8+Da|bsA{nt7h4jnuRA{mTyst&k$;UlX2QQDm$rfSu^jG466?7D90xFp$p2^yMy;#
zcyilHQ+$@q@?@=J;<IY1kK29xR=e<|^{sD;$Hiy;aoe4e<hrR%@#R9$ri9(G`9}|=
zrS)e{*EiApW}wGuz5TIJS=@2eH-GxPZ?MYlzwo3LM7YlFXnQ;N_uo5J@fktTY9)R>
z<hTXvuVvHky}NeXw#qti<FEaED+N}&#UK2CNose=&ioyqO;T1IZ!b+<(tR}*b;xM-
zX_TECdSUO8gY@L8qp!MFM4a?lp`@@x$t%K@XUfr6r&fGK?9w=uR2quBxV-zS;?*4|
zlBOQ@VvAf;GU2FJ_td|uS8P`c6E8oN^tI2gD<bxiX7^QVYt5umr`CwOrj8q}Hae|&
zC$~6a@9M`%rJ2W%zS`8+ef6oV`0DcVm^JHO969>xWU08e=LW{3ulD~>ZH@RkyXM-X
zs~0a{0$o%+d&Y~p!Zyyi3>;4C3{78JZ}`cjJeYl*xp&Fw>!#RB%-9Q{`2a~+{}{X#
z>cmWk7c33}MQWhKtma|KH;_uS?AoK!i<j9`)of}5IOp4CH2BGzI4tLvs@U4_V9n9*
zX!U4RS=UkB`CBdiKALtu>I+g$I&Z7SUj3h2;-9TKs(toJ*Qdztq^z=QkL>E@erpNu
zN^(utm5U16b?wRdD4Cw~uh+fXas8yxjO($N3)fHHy0-e-BAc}Kd!@mjBD;fj*IZka
zvU#(Z>P0Ub|HpD`o5NnNajmQekG8*`F}JVmXTo;=Z$A<i@%`9ZAN;UEKc}epZLO)5
zY0>=xW`3u+QUbRQXnTI&{Td|=yvsAcf|AWn--}1ypD1c|UE?|XqO+!;YmEDt7M4vB
z%5#_0Fu$JHQ??iB8slfT*ilZe-U;d*7ZtDN><fGHOp5L1#cAbR?!-*4|NdrijYfv+
zo}J&i%XaQ8tFvCZvn+o1otWxh52if3#VmSu>u>cNG1VIu?fiDy(CqrUw>w()E`Kak
z7JFRv&0g93b$i!8KD+g`wIJ_p>vFg1y|c3?B`}*^e^*i28nJi5$+KH$FS;u{^W)z~
zYHC-OGcB|e<@mbN;TQPA;tywEH@aPV`q~+LLdiqkGY8UWDGbtkV&;@3-gnxu^a@XM
zxvuA0A6fi%TE=wmv_pE+h29lOF3_9K_^JpLK6XNA^V83w#LRiF`Q9liKFc0?XPUUV
ztdGl`SvO*S--rn+e%t1^X6Lt)JC{7W_1ju{<<4zKXJ<!0KD+hp<IlVJdG@|Lw(xpw
z!Hd#O+e&9=f0`j%b=~*mS<{uVdFwpQve$Vonp!dMSg+~zCx4;$YnEBvdfI%~?#AQh
zPOAs?zxze`DsG%$<j<JHTxUY4D<j{HZQ~8!kB?Zg%A|J<^E;56B<w7TP!wWh%NNng
z?EX9D%Ec755);)o8Ehvm@=RDPIit+oTERMsS$6GIS>EP18QIS>tTJXS_MEMK@M7Mp
zHIFX+Ip6)_rr7n(YYT0yb8Nr7seF9dxG?y#air7b&HTJy-%JW?n)fg=;^LFSQc>Sm
z;oBZe$aqtwmcJG>sTxsk{d@m+osF9h_vid@{cSILx9+sh3m%0hF!cB0H&9Jmr|r3Y
zvp?237VF<W$eq<rJ`O1An^a=`I|p0KQqEdh_x{>I-pMlq4o}(8CaJ({>>!o4;Lbwy
zbMFk^ew%Jx;=5(P(rtORoBytz`#0;x!s-gWlmGJg-t=3S@SjyJePMT}QzOBv{oVWH
zYa614_x&q7@<Zgqw1rHkjAk&^rL1V!wC%K3g5az9Hp|xi^L$&>?zd?slh>k++6&-E
zI_<K4VE=zR7yFX#Z|3J2?d37gH@hSbI@zsJ;5PVVH>`)$m9YOwLJkP%kxn8UFF~g|
z{lRuT@Ju)MKSd$OQq-mxs_G=Oc^u=J&?Bi~{U8@@dq>%UHw{Q9yE**yY+zyklcD~s
z;nQgbPQD)-&uPhgSbywE1N%;9xdgt9@D~D{TUrIUx7;@s;N5cFOppIh#c|sY+qP9d
zP`7&5xM^RhE$E;-=A~sfnN??3E>Mx$%?{o^8ls}T`<-0Hqm80+9{UY1*zo`HA~brq
zA8T{d_Cq#G^D9JQ2^4u<vi<N4OWrW*mHY8^#e|bS8Yx~8CwL}IkW^4K4)}H;AMFP2
zo6MWplP1_2R4?G&#BO$s`RR2oA)61A=gwe1<*%Sp@nE^t>JJC5`<-Ea`gAt?sn-Vl
zQ@l-Qv7hQU={e18f47j|=H1PAjq_jbU_bTi+E<54pIH7Ww^I41<UDJfl<`JRqk9i%
zBgvX?yQ&{#riWD|EUp)N*oWoP;e7(PUx2z?;7f<=upM5vr24^jl#4K7XC!*8oC1==
zRz{jsJt$w~q-MNuf@Y$kv4c}uL(3V5o6PTfP!A6Q-8Otg0d(=ObP9h?4}0CV$xj-$
zmnF&l*y%Tky>8!TF8-Q#Gi)}@uJe0w=FQ33$JHC-U+iExWi^APZsTJijqd1hrl*Fb
zssUNkRRcE7Wbs<G(R;z3gOE)QXLfl%SS%jqk+8U4_~CAX6OQ1$W?4*&j_R0jtmK5D
zPS8MA9hSWBIsJe<w!t4>jmE{LzY;@sr>I@gQ(Y6w=CPY+LYZX1TP~H)>ri`~3v_0d
zyQ5yqepzqkPjgu*m6@*7e0aWbpUg=;c_g7|($A%SlTzw-3Qs<B+sbp&&nbVeIVVoa
z^jDaF_ggjhr@}0Mh4}^FSkz|ye`5dh!_;#-e2cvgv1a_ss9E&$YG3y<on@WRu3PEN
z+FqX3l@=IpwDetoQQ&2l;7v0#ycTWjUbg2XB*c6bW_@#uNWNV2VinirCGwp&?g(67
zb4au6`{ET9CwjD7I@viYH6h3&zN3@<ghNMX`=pYizYDPiQ1~9u=yH$v<_ii@XPlZR
zJZgj?&6^Ef;jO!`S_CYa?<VS*=Hwc5s4JyG<RpXUq{htfC1)ciE{mJk6(qlIswii(
zn)W0<vk6Ks7bJFl`RX@GsdlmOPNU~y`;DH5eOCV4V`Mw)yLPdhVCKQxk79SORdBys
ze_YYc_2u#pTvHc1`L2+d&vw#Cc4hr+rn?slS%nTqhc$lSappbrIBR7<;H#~o>+C0`
zz4@h_zW`K?aJ+G6&(^W;zq=)*t}o-q*6=zp++DW(&3A>djOAUDeRB`Fi+V{?0ESdw
z@_qY^t;_&-+2UPNz0;g-1|0(T*BDMtYb=s}vkx`RB;1nKpPy~{x6eGMnOAoGiN1En
zvOQai_o!_<_{2$>v+w&^zcswy*7^lWzqviP<3+Bo#r046#}uoeD?5^9H-z{4|J`@_
zBj1derej~;pX+;#)^D@B8icK0**Sl0fy1tMUZ3j}-~S^tbYmxgHC#S`uDBOQJ10`*
zE~7lk@CLLY!J^0xZ%c$?X-k~mKjFHI`{`N>`4snPYxm2Jhh^TrE1R^qcDwM)!@0gc
zTK7C(=X8FarJAkviW1K$?@Rwo()cu0SJ31#yWy6ZH{X{ZTb@zmD-pcq{nprvbr%X<
zCohc+yZAw8P3ET^yJo3<O^&tlG%0hPyd88*nf#jF3;#ZQE!yT5?vi<L`op@r_kKHu
z-fI&7_rCSWx3^pGnBR$Oej#>e+jWI4d&4H>#qUSn8}GR*(l!LSbzPEOwF7x0u(rvf
zz;o`mqSKn^%zi8X!~TM#zv};W;Gt3(ev?a8y$nAM3c#bK{oB6h8EYfY*!Soh+i)fs
zWfPFG9`l+*!8sW}Pp4eY&u~37{bY%Op!4N}Ms3TQb26k4U5NVKyYQt#UIyz+_$J_5
zpFb{U#m1Y}&+nShxmRY%V)dw96E$;!7gl#1nw^?tsFeK8<Mp)8$0xv3_qx9WHl0`a
zed42j_umc4@<rb~3OCNYx!X!rQhSmwk0<}t<2jdazpcvVQ@eh`fPHe+vxM^UW6u-p
zU+rlAyW=t6x5<6hH~g)iB^YnEe?6n+?3sI)H6tSyvTZAWx5cbBc1^%vt#2>mT#xMT
znrb;+)gtPD-Q0Wo;_}76lm+IOzj~{;_wL&5)nCdMC6&IrE1KjQE}0qk`t|mU_rTkU
zg@v<DGz2)awMZGE&fb5mz*bC&Z&Al`I;ZEZBY#m3(27@3sZzM|i%IcfFu14q`tGkP
zqg%fw&D`*HX-jlG+lsHL4N>uIMX$BHMcH1fh3)+Mv{@(YboW%gZ`>QD++V-G=NI()
z_4BzNud`2Yig(=HtyT2?wZi*a*#vfu^tAemg->^_e|)O6{`gdHBfk~@zd9Vh>%C4T
z@!i+ceY>Z}cqPTWQ`OFR_jPjO|JMimc3(e#JM*u8<+SN9?(56i<+b0d4KDic{VKdl
zLTK%gqb7N4{;U4~3*M2woFCppoK<$RS#*}$^cNZTwqKdo_3m)0+7xzyNl-N5UxqxN
zk;L1>Q<r4ldXJ@6_uRG2R+d-SIPQ#7bA}-|uhTJR7+S0nd%5rB5vS8W5>hQr%>fw#
zT)a*T9W}O0dU<in#ZR)gJAa~GeDEr{k!_zM>MYodGTt-ygR&Q~iA6FSo{-v6CUqlg
z0b3WlYQ}@!xi_|7ahWBwZ|S$yek+>pT1{8}dAu|8!Fua;jYY1!mk)GDt!v!>vd5oU
z{?!h)e<dp#`O9ONQ!A%kJ)jf<Y8|mox#cY)aMOob_2LcFfP2Z{vFY7ucWpo9&yRZ5
z*e-w7e$mOdyB;qhu%w})23sQfen1#&B4XcXh&&TyBhUgx0VlsyB#83aygjmF`AMG_
znO--*hZ89H8ymcxH|=(*@0Tri)_r#Twq@&Q6Iqipm*rlnRNh)V*JF2H_964D^MnJp
ztmV8P^1D1aXiMH)?#?|WHC5jtj(_!;cHzsL?XuT5AGbz6q(J`lCC^10;fEC5eVg-b
z=KobW>Fxb*a)O=Ttl>Jobp_Y*A16F7uHAd4#g54dhAzqHzI9|<yms%T5_wBYY|G5{
z_J=&w{MPDwQ+(My@vckXm$mI%Fv~!sz4J#C-?WdcvMKQ=_9Zl%eqxn9QoB$gQsYgt
z&;N(h&+Gry+2nsxcNyoe{{4}M_Cz+lO9<ED{NJatP@}ed)dqgi-#nU{j)9liyDpV4
z?K&Cb_>c4UU-5mP|IdB<hx_hV@rTpoFYkFfy`6vi-=kHvx?aqA2l8G22JcQ%_7`uR
ze^cN5)8g27nipf&ooU$}BXH|!(*-^8ma?4+e=q8Zrx@INs^$4Ue-73bf9<0!SZe4=
zwMof8kNAA+eD=d-=l=<{huCkbZ}RWCt<JXkLudNBMK3>?Urv_r*E8hVnYH_?*t}OR
zRopwoyW4EIzl%TF7-{w;SZ345|9@U{-CVG`-~9b<+vV=&TaASCvK%vlxt(T53EaBU
z^u>L4)P#c>!K+pN&RJ)SH9dWvBZ-^=m%BG!cp0crQYFGMS8l1w-O78|=1i6yuXH>8
z{n?9?(MH1a_AG7jzh~s2o-esz@^+pn`)_}qhTg(BFM0a@+nIIg+VhRWo)jN5IB{-U
z*|}@C63&_R6(4(|Rrx7%{~62V{qK+LyR9sK!TU$v`O9-dE$(D{^If0yr!#rI#QYnw
zm%j=hPm%O_*Y|eUos+l1PQ?oTkL!mHYI!CHRd?P~oITe<tut(KrS9MRlZ20BAH&$#
z9%`Wwdw)+QUqu+^5yhb8AD=+WKPInNxyyJTYjnzfK$$N<oiF;a4NFuT_3~BtVd>1?
zMq6h4rr{=|;R(JOvKQEHGD<&gC=&h1!an6#&{pPs3uixRI9{sEx8ta6!Rsfo9~NBt
zD*I&7TcyS_&+?59(Lxs;cE9<x!{)<}h3s|v-^x`Sy1<-T`Kk(Z)dIWM+fD3VW}iVL
z=loMxW5E}<n7)43SpM%e7ypve8_nOBuRHg4$^VQ=cg*h`X@22$-|VBpmd@}=?<$_7
zj-1~=w;pBWe0FRAaw9~v>bl1B*10#{$D9O>oZo<toX58rEm+uef9I|hn^iwChrmzo
z0iRf`Q(TBN6bw1B_|EEM!EZ8umakiO|8m!*?_0YjEq|GyG;djRs*NxE&C6yj%eSgM
z%e1=TFmGA&j^&~%nXn_rwr?^o%QZf}zgYG5i{_Fo>+kQh+L&#1Y=3cS&~>|y-~L*M
zFYaE~U-#Tg@8rHmo3$o>f$fh|OZ?8Kn)AgvylCh5LeCo~W2bKj-ldngye#&R-|JuR
zW9HubdVi<YV(E(;_7<mayZ)U!c3J}Syp*4Z!oxa5V;%NZCVlP095z=)8#XUTnb?k9
zb1xJ*{6f30E)Co`X=Z?{gNN&s4P6o%-B(isA5TOa%5wD8rwqxNoTnQCBvoUMIf$)x
zUR5{|G+NHHDdMF<cc_^5@9@BU-!)Iz=S}OH8Z)V?a^s}=jZs=*MNeNnJ?gbiZC}Wm
zCsB_l3u*5R`Wduw((4)h-fPaubX}d@mh|=6!ic@88e!?YM_;Y|EwK9X_1HBk2iZhc
zKbPMcvL>!1<o}6@5xIFC5up!7URRcXaWR|u@$OSKwOzaCBswkUX}X}<3~C*{XP5jD
z_T54GWm<_Vwu){0a&6?(hrgsoWC$4P2w0^GwD5SJ<l<e;<^N;KK`og%m&!xGOtG8E
z>HjkL(DE;m3xa>~T+pm#i_=Z$>P;&)oApfM?1w4)!cJy<(yX<+alhA%{deodp2t)6
zZQlJYbI%#g+Wgn+9<7qm-^_pX(#@Lazd8GKKW_dR7j|h**x$`RyCyvqnY`1WUFY(|
zU)v+kS~{<;v|i&jU#0Av+`NaXzrK67_upPvet$~Yy*R;*(n}&cA7)%xTzWxk?s|1d
z$L_|<X6UY*87uzmc~HM{g8VPbWq)Jq*7czu9r-WAo6krh7kqRi_SuCxl-UJE=(&-M
zia$X|M^^fW)TO9Rkyq6LpBp*hkHm>jlb^CT>)1!u<xF_G-0)Lz-mE`!wio@JIdgA~
z+Lrmvck1{Ie$Sj~yZ?2s+)eN3#m}48nOCVi7LlB=MpXJjYpnSK{jHaIJmxj>ddQjU
zF;6l{HdpwmzXfy@=IcC%{r~K>c{=JoW&Af;pfzWa+;!LTk4D1p9yzitj<w-<`^Ygv
zoZo48g}|+&+MeP4-?6RJc^TM$@zY-YR}x0TR&7FMJj_od*iIPotVm|bDy~JHGMsQ#
zZ8b03>-A=?e|)yCF|#|7Wvsb1(=;I0MN8<4TU|}Y60@3>ZQZ*(r)N!&*OYzb8)#L%
zb_4&+6aE%k%{H8f+2fLOFHtEt<ZoASpq2jG1;v-5RvU=37*<c@NZu}9xmX9ZiQxas
z>#^~1^*OEkF7Vr$zRz|vcPW2u^!Rt)?X}GT<;*R+q!q5*Wq8TG@vhYghmN<`rY@;3
zeeoAGzOTgwJ|lC9gaQnCUHa`I-+^st+o(JlONzhQU}PS(oZs!MXPQ%O(4k)`4PQ<&
zyqwH<b-9CBei!QS)u~NC7NS?4sfP7yGk>LSoSG`w{MTD7I#SGV#vGlvNow7nPH(xo
z>Bl*f$eQr!)rsF&KHao6uFkx^JMk0CHQveU6Y^%*HJToNqP{BZ{e-ovx_`%B`?}u#
zWY(XReJ(BkeB3`V9Z#J$z5MTM|MG2j_3q#OYIalfZi`vuMy``CcNb<XT6S_-it)`U
zF3Hn<VYBYcH@BD{mb(3{-fr<BvzOENeLJ6aGh=pTafn^YG1Eg&m|O0MK+qEXBTtx9
z6plP`_T2TX7TY1&OS13jUc6ugKiO<ar2-6jnJsu0`Y_Lw&*Y_Ypzoxa0h6a}P?J>f
zHFB7hl5opZ^ZS)$@sqo1b}6v^p04&XfjM&$pVTtX@~x9iUY>f_<u87P_wR`bS#{yB
zCKR3s+!)4dHqkL}L+sU3)=8`}C}TC-v5wVni-(->UtIs_)#8i3+s?G4F*w1{CHdS<
zj%<s4w@vyIcKbNi_EdAN4RZUugslaN+&p(R*Mb)Rp-;&B^f&iTdp|kw=A@Yc+oo*D
zkyHRrurIj7^*aPLtAJ+L>mOzPlFh%tC2bWqQI@~?O-1(e3g4X8lleBP7Wb|;J}%Vu
zsgu%LC|c%QzSs49SKjAExA(3vIk;uTmjhc?Y&mdc%CV(&*Ju4ol=S(3?{ewi$y;N?
z{&xlZePX!v3jfyA%cH%(Q|xuDZ>Cr!eCmrVXaBQ4Jk&zr@1D@lG9NBq^xb#nN7-Go
zp9)KYp{Q1I+evmwj*Y(iCY7-N0Zn*d+yhj8;5^DE3%|w*P^9Tuejpl4$MB?y)r04Y
zjMR)5_Gl(b8ao)JHKd$zxXEZQk2)8Y!hVLY!x1zIV0MD<h6KCK%GpmEu9qhBY3#3>
z`k>+cTQ`}4ZtDlvb};iDd(!aKSn+B3As42%;YZ&1cti?W3kTK7=fueU*tf3w!QR~O
z2VU>SH3iV52P#J1&no?X;Q!lYT>MMoA7{;DuT$K0ak}LFO}E|TTVNM#ftK_AQealx
zb}<}u_#D>rHsyXSMIO%!(h`6n&=U8jSeuY?711FlQq)9@RCQ9=JiyZc8r2WN7olvJ
zZhX^tliBcu+zi_bY&V&uA2)uIj^>oBa5jI|_{lknQ|`yVcUm?d-XDL`82kNz?mg~1
zQtw&!Rn_qQXk2FvzT~4fw%j4|_AWlq-6jn|yWccSIx7<()O(llDQrgY+Fi!~e~i6l
z3ntWCtPxrsaXuV$x#dEK2A-BP28xXgFcdH;&o&<04B+Jq+wJaOoztBD1|0g8*zn~r
z!^`%@O<!wl(Yx-iPu?$@UH4k^(@_V56W1oMJ<j%c(>(sN$!px}W~{nZmG??5qW|A(
z`ziAlIg0oF2{8W?cl)fG@zGiF7C}uj_Ik8zyxqOWA3P5I|I_O{{o{Az67|2Fjz7D*
z;EB0awSCd4*<TO+pRd2MR$1>mulXZ|U6I>+EjV(IOkGmG{M`yck9X2+ObZ<pL_9pJ
zo}(V4=lOkgK61-ArS+Z3sh@ApO}nY^Jk8qdE4$U>l}{#InUJCNbNL4c<+ay21Z<1I
zqiy$JzPW3Fxn;&0dCEI#**5L4#Gf}-9|(RE_482o@AmAM2}<jhHmAmTv)|lm){?zd
z?OBx74Tp70n|EZ3szkvWzvip?%b`1ER$tX!7FO-<zokSu_{K7)?*gsbSCwUhwW^nt
zPD)|cn_pqP*?+52($z=vB`3RkNuShX-tV%hE9J1$C9l(2H~CXMnNPmDnc4D*IsLKG
zy8e>AtzRy#i@tt**FC3S71yIYj5p7JF{}3MnYvBV7xg1|S_W-!`n!6CW;_wwWBm54
zc3Sg-{phUntOw<bV+9~{>?PA=Ip(IaJEh-jL|u*X9cwAOpw?b0Dh+-X{V!jICq4>S
z@}XzZ+hePpwMF)7?Md_9B=5F6)JV8&^^}6`rx;3C^8{=^<*?JUEF8V4_1#pH_25f)
zw4Lb%cBEN$72#UXj7hbtq_ZcTeEMv9?xeG4>UK$6nii~{FSSFpF6+VlX;apP?$1wi
z-ClX|ZCuEeb+4`*5br<#+U|1c`pKmx>wo85-|IJR*}IjEkm>i#Uehaj=GRqbn_gD|
zFUUAHEBn(w$g%5&*0OIu9n6z|^YNgI?1y#iS~43h?mtyl-s{&3UgQ+8oUO&|gV&|M
z@7z&38s*#Nk+*cI+&x_vgxt{puf_0RjJX!0PV8;fNuLO|mQxxJjo40|-CDf}Y1!;f
zf@?9<?9I1s*{OE>#nai@pH_!>&(8joo$#r1`}=3J=7??IvQy1I!*u1dTWjwY6~8UM
z`_c2p^WA!h@h^6@)NXt&^lj-n^XvQWe0_E+_bzD7lJ(ZT_s*JnZeX09?QR#S81{uZ
z#h6!cwU*5Gd0KN8seE^{Ha2=(ebLuv1v{IQt%1O+#>OwLGgKRRYLaUfOf1R$iE?kp
z*2xYYxehDlvN&ZePHD{wZdJeIx9X7N?&snc%lgl>yp>bE^Ul!WtsL`}cZLRU-_D!4
zMu<10Gt5)9X!mjU-@CnDB<v69EZkD9_flnR^}b6_%lhl=Jh!{s8$DedXCLHh@WuF4
zl<nt#wJX8{mM_<s>6$oSKln$jM&uObulbYP*Uz#MIbAEy#b4X`?{CoFyPz#A*LG<t
zJ!|05-pJl7nSB#_K04_54(Qe>EwOszYL7@AsoKQvzcG(W|FyW*<V3=j{;)~+uI>b7
z2lR%;lJYt^lr<H}jEYbcIB8wver#3K<;9VUpH>^Z3N{k{HAU!~Ci9bEwiC;Frkua^
zI}|;KNKHR~Yj$1lY0xzd*>|e4Vua7%n*4gEdd8jWOudgce|z^{W$xDUYV)FN_r&b8
z^Rxe~+3S1^z9o2RdPwQDd&b9~SI3**KWsGPbM4_d>r=1qSzmo^p3SmpzZRDLTw@Eq
zhv3+{26%>e{jNd(wNB}Qx&qIhXO<7vFP>d9^W)oHxt|<8mODVuFQ=Tz{6?Vr=2Tzu
z{g{oVGM)6UfggE6G^{n!IKdCpAi=su$HeM^a-ihonE}V9Z0M0x0JljNylLo1TfTLZ
zaWiugXi@zF-c8JA#~7bJlH%m6a5sO}P;|79g}r8vaN&Wq*+0&%ZT7N%r>Y;Xav`pL
zu7yT8??z$vr?ZqEe>#v~c9(hojWYf}Vb^T~u5Dk(ek%D@)q*Q})eBOL`KP!fe|Ly{
z0y?2EW$X33%<-iet?ZY!Z=dzNvESAF7y1F6Us^#2bSfM%`vqECupQK&!I&#!2AyRM
zU)ZL&lnI7{CcSI)$2K9I`Q^ZU*H)i2C&l1H;CXlk&Y6vy*zF|I+GhM`<T@NdS8bb}
zkh>wlKjpoL?(4=^@>iua?(g=xa3H@td`+YA=IQ5s&M-gK&V1JRsnzteL!{7Whswgo
zpANh)xy!uY`pW@pP@BvS+p-Kun+&up<H!5>fQOB}cIsbN5?YY(58GDwCDjiuqx40f
zD>4+8gn$BWMxM@=Gw7|_nQr_x+oXk!W^%UraB|ON6f$B~NntxB_oEH91E&C5jKBk0
zm$1e0+ks;h4?6Fv@YkfCVSfKwrSd`fZb5z@OVuwslP?{}n;YyDZ)eB)C$4-&Lu~kg
z_vNvSPfKp^;?n3}xwhf17VDIpWet-u-f(H;uLq@oC$gLCxPHV0A6WfQSL6f#!iIL)
zZ-*B5DX^armnoc+WP99|)hR88)knd><0=&Wa`I7dU~}?On6f1L{6|oFz;f&Ovg6=e
z$JJfdx}-U22ObJbYFKlGA+obEbNi&4gA-qFpQIFD0KV}j6MW;(p9QbOiZZpcRE;;=
zzghRFN%_38vZhqYK|yfYXWYB{j7e7uci#!;_E~{fLV6Z05pP}H>LRf_3R>h{yQ{OV
zI(2HK&Hj^jmzukoUosMY_s=ooJHONJdVyPio4>eAXHGbnp}baQ@9vT$EIn+`_j+?d
z!_@F;q*F{zP_#(p?q<I}ES>esk0Td9wN}*%F%ssQDkP@GtP;ZJv4UsHZtvx2S^Dz3
z!kxQK{>n|??QM5LZu>mB>qp*Qx|=EYeer?EJNABgyl(sSC!CAkE}dVZ{C4S6f8#6u
zE3UqtD|+{t>)O3rRPV)ErPLhyetF&Y>2=piU+!N1`mJi@?OktGD}8joJHIWe_5@#}
zhq7hH`@vuL=_(&s-DNM{zgEO~=Zw&f@0zQ=i3^&~S$XWWa$~4PUVNy9Yqei=mcXW^
z_f@I`XL9l~r6{DdaZ0jqfKi83i%$32ro9=}z27Fih+ntpOR!XHWUFV3W%KV2yZT)&
zbLDWHmt)`SRpxf3H$|;PS@n$@+X?VREE?K3XQHmWI<Q6i=Cg&9=FXmaMsA<ulsEl%
zv*uYBZ4U9&_By*QY5t_JX{$Fand++Ndi!6|Y5AWIZklOmM|Pc9>vNken(ymDGx?nD
z2fMfZ>E!=+g?-2QhtdDeu-Ct9-oL?d7Q5V==1?xhdFrzl@=2w%Z4=z~f2Pu@W6sBy
zb$Flsa4&C?<i9=9w~`)Yp5d>*==<sL?_FCyYrNX>=ZSMpX<CHN^&h{B_nJ!ok^l0o
zvg-Hrs^8&Nv-3LNw70)rEBWwmY(DoaQ@abk+1z5kW?ystdu+kU1t;f=Y!_R2^5N<)
z*Gew9Tv&2pN#LTH68qfpefi^8Z`YjkWB!!?>3jd`SM7F@etLF0Xcg3+iI=v1eE)S@
zPKR@)%&JEhwErJucYfM)^6}<>*R?8Z9(u?%^F6w^e8nwJ-)5V>vTgZYw|dX)SU%%!
zLx3?~%dA3$D?Q9Fxea$SN^;C>x%=Yt^6$#`L5FK`PG@MDhCnHxQ=jJFyIX^Ld~8vS
z02oc^v|MLbzw6n2QJir(>;JVC`KNte-1fS$op(aMw8DL}fNOs?qfI<yUjGyL+%&tc
z*kpg6zFGD@zD>{4&u%~HcKJ{Ivdn+Yr!)Sozhv_Ndg|Hyt2u1@%W59ozvOyzSu^vW
zL&lpFE(x1)PF=QP=kk))x25aOK5V#OvN!Wjz;%93n>$~ZZT$1yBU5UBM1H$F+lLdo
z+}TySO(&{)pAuy9w7RIw@@anN!HbKOe&%m>>=T&t>3^84V#UE190!f1%3B`f-rXy|
zHr4RZ>kT*bY>Vy(R5^t7_*6NlsoDPe^YUB0U+;AF@4WGH9D8jhbndmO{a3QNz8XU;
z+kX48)02O<>GQY5$(=Y7H_z@rS8vUiRp(#l&E$>yn)Z9aU*@?VuWQU-?+iLmFo)0N
zQdMt*9wTq5!F0?0yThHeFxEz}UNl0Q!U+D&;UYTip9|}}q~>}zvmb0yH4`taxbB$n
z!Rm$s+xq654`)q&Tsy)O$1Z*1L1{qh2c!f28djW&t4aGdaUJ*W9~TSQH+_Gv9yKwR
z<A|rrUAg>adZ(;!O+0hP?rN9G)9>eOZ*4svTfHaa*O@oTcRQAgpPbZprTta%wwG_q
z_L|!M&%ORNX4R~D_V;vO?zFx8xyE2h$?xK?^ZvP9xBXil`~8dh?sqT!OV3_TPpiLg
z8aRJu?XKPa!S}2CldG;A*AKbeU29b}@y=fTS5-Tgg3kKe^2urHo&Z_>D@VD%esEIV
z6Tqw=vcKE3@cs2UF;DNczkR>Ftl#XCxLfoLqsP)OeSKC;<aO%J5y(nu{?a<b0DRin
zj4wYgmwsP_^Gq+*eHJKZdYNu=^qB3qVj{1RVeYl(@e9quA99*+T3v7<qt1QHMIGbB
z#gUxmvv>m*-()bI#p_eO*mA!Ay$oMjvsuxqzGr*W<WqcO*?q4btXsJ9afXTfZSO3T
z{R_jv2ZMf!w7QYCTjFnxc;(t%c~|bQ+jacUrjFg)UVWd@J!zNN>%yX+g?m3dDf(%*
zO>&jak4<w^Y#tr`_1*i+x21>O-(O(Q^?Ik~J<apxsy6@kN~dQR9lQuRXb;j-zvhs#
z|I;_EiM4f;XP=mU<;CTbwayP27TU?4IMU)g-+piBpL0_B{_mvs*$7lsDhO9sf{sQ1
zyADI_SWPB+@)f=H;YDb?Sgz9Pp8amwyPE=ThjMO@<()G7#B}%FyWy#@u}JvmU8Gdm
zc*n(ViIn)x&N~Ix`bEN$%{kAdPoFCmj{NsCc9XH@Bi|>FtDmZEidmJpgE{l<?#>z}
zmpQXf<iA;JKGF5)G_#5RZ(LpWcx)?Jvd-k=-eUEyr+lAGu2$9FzPx%Ke_LK&{kpjG
z{)V>qt*y2`@2ZPBFZ%b(JoAa?UsMYJJQL@6se41`pJn&lvXs1MX=W+e7r3@o%h#n$
z-v6l9b&H~g@jlagM_Q^DDO?d|T{us}BfdjZ;Do~o6%mj5_IJ;(@4<55JNU%1@*k@g
zc`F#h4^9n;mS}O2Xt{MrL0CAk_Fu{Q{Z?3x>pe2fVSat<ai&!tTC5*0{x5OeCHjGp
z@U{a}3%KtvY(2mmz<sA-+ksSRBc1A8^bXG4?|($!tL>^?eWg<0D{sE_d%F{P`}y+L
zH+Sx+&2HZL*TU+3{yWvud-pGC=Nf)6Ie+P5r0s2W-Or-$w|@(}TUQ@?<X809+wa4w
zW>3HOZt2l~TeqCpKTYg=cys)se@9cKKBa%Z^CR`(nIHGB6+fQ-U+lEm)9zJmdu)o@
z?Gl2EoZ~j_Nt%%w`P=<cl{>>1)+Of8p4vjsa!0JnH?^=Tc<LMK&fX^>D{HZ!(4GCB
z@KmLlg?kM(nG{XgAm}Bxp(YckrV)AhdAYpo4m}*<WdHZYfojm%XXq!9=Wu@cdAXl&
zk3LF%m6c^!xR_a?q=X?Q$({Yn&*jfwIEYT$d*s9Zi(dn)r_a1_Z|a7<(hAk)4&U+;
zZoU26kn{d`>)!2~_9jnwyZ7O@b<J%ze_QTVsjjbHzlAm9s!jgC4WZq?KOfV2H{1Jq
zn)UYQwbhZLf!}A|{9JW!yZ!#{VV~+8&p-WpXx{1Hsk+n4fBJ7+v*)p({<Zy)51w8u
zWsUnZ<AC?PRMvenHtacFKL@n<@`l2@eQ%3H-~C&pHb1o9xmS4l(|rs38ZLC6RuH}{
zSo^O;zrG$l$CW()=YSzLf8R!oN+h=O%PM;b+tqPte@ssue?8$s<qNMLHYLnYH^0j7
zn)gl*z5`ife(3*&8A#K%cB}tQ$clN99#U2=YjW~#cTLz{zD>JdCn)c#&fZ^GE*rA5
zI`Gy^k!{nrueE+_^=;J!SKHg&pCiADf6oA&`5O84{fn8)K1Y6?{-wfw)BDY@?%&j&
zANqe?oXh3z6nFPmu)}U<&5ggMJwLQQa(&A4ZzbnHAG~n!?fI+Ozn<D&|5E-v=JNU%
zJD0`Z{Ji<q`d2?AzlMMJS`^okel`B`ZIAS;-m^5VR{uL`z3NW2LDBn}Z9B?E`&Q?O
z`WYUcy?Cw1%#XR>)zq%^vM$Wi;V4aZ{N?1c-hs_YZDBa5V*c^)h0uu}_wA|-;A5Vz
z^s*`*W?7i0bK(e3@cjDLw#e4&yQQnWw@7OnCz^+GmM8NDm|tTkP3HBfHZN0?zFU`A
zvQzqXb54o$p%*0^;wA1{?Amz!+oW9^-@lx?jY0R-vAmCYZ?09Ce@k7OmlG(o=aAhe
zsb9@EuFu>jx-M_iivMo^|H*$XlV2OU^L6*v_tRgz_5NB0&Qj5#Og^XXnp9MIhu$j(
zpQ3e{eRs`<+w9df8`z@0&zT>Z8N2^v{Iq`_SFBUiLi7cKplHIs6V?uFlRxp*{`<1+
zwL8u%61`cz8|4OsZ=6nGWMugJ%5#755B<1K9rW*S=>L~oqm*^aNO;yZA+ucOlv`{k
zw(zdVWN-R^617K}aEsNxK5|!QQ@q{XT_>9Q9mW2%ao4SGZ2EuC<Y0Y#>x0kJm#%+*
z(=KzSzW4nL+L3kL=XTzE^gJfNcYg8TIUg&*XFPAO&dhu@ZNHAKarMtLQL<bW_2;d3
zZb~Y%c))D?{>iEOo>c!GdS31<pf($;%4zMk$Z57l4NHV=trYUXTX3K7?r`Mem-(4-
z^<ks9+0PFLmM;oZF@9&B=Bn`I7!=hirh!kR+yFX_@-Xv!Y&Rr;%XWL44>M5`4crLf
z;^f+YUk)?R@5NCs%s+ORdApl!Y?_mQ_@Q}u4K{ZfDt9%0df0g06?G;2&kqiEHVuw;
zHWT>yZz#yiooHvzF<5t)+5Fp|4+nJD*2>8JNLUX(`2S(!?5es7hk0sM6%U;E*2>A<
znDt@mo9VvKJ{-8#lD%?o#o9i;J9j=WX5N%gR`TGmt-Y1Pf8DUM63`KqFB(!dE(RS@
z`2uuA<weHI^N^XN{k%mb4?fJakE<$quwilEi~QS9+}WGvzwVP^%LsiuGi#5XJNv4F
zE|2=Ul};!3+s{t<tz)d`yevRL<q{OtDlQ99U{+MK^}2NRJLpm<Vf3+_*s%Se18d;j
z;7wdkV6;g8s+0CixxH%+eM&TmxvKB_)j!SYZ}6dC=?!1bGrXMNn0bBw#r}x-lfIsp
z+voU2KlWSKq&Hi?X;!CB)IJ^8b!+NUL)Ry#er-3}>TSF+lq=%fI;ne0n|t5Ja<7a2
z^l{aH<GYjmgXTuPiv8pr^epyw&gpxXy5|(`y?TDfy`){CrN_P&hcApgtoJ61Wp%{6
zJ=wx5OA?JdlX8tLe^p*Tzh)Z$)tNW@zr;*SVVpiy)rNWXBpW@~sc}oUufGs|SuJ=;
z`0KTrQ<fM;8u=Qf8o3%-dR<(kDx?BggyB)XVBv8d(ew=aR70s>7wms1q}kVR-g>Go
z&SKO21?E;qzZu`+ue02BdfLnYUIz~t`n5RL>_ozr(_xeDo!z60Wf9^M?;GLBJ5)}j
zJHXHskQ}xt%P$@`GJft8+p0U0GuNB*_B6&#y38rjY^QAZp|A7GoW3WpU*G%Q7O%Lw
zeR0$FD0$1VJ)W{hGh?0Zm8pCBmwDbh&UEtewp*Timu$|nzZc#5^6ym(pYvVd$=r-m
z?X%~$$WH%LyXg5{qe%9j2j<TFn{u8NbY32#j?>S{$FEGXzY?d^a3W1eqZ8%exV*;y
zm-nyQbU@tXCewboyU$Md<lo4h&F|#I3PG2|lWy05E+u=W?YaFxKDM#H67D_9$TKf3
zTrE)K<++O$>uw*P#jJJOtCEd`U(FD@X2`rGna$%k&lKGcw^0Wa9JU?EX_QFeJ|mXV
zoYUC5@xbw2o?<^%#+_lRzwNu}fdBWf#K!iXlT7B*>mIws?aO#@=FQ^R{ax=K$BH{v
z<~|lWc>YTai~a3l!981_D`~ur4rh64Sn3*(HQhB}(@YM~u9O8jpFjudZrb!(uW5Zn
z+*A&^Ybk<%{7RPV8}CcsKG%-JQWSzb;yd1g4}n^~UL}_0J+^W3mr6fCM`C?U5|eW2
zj?54!(t;z^mr6hOVi|Qd>Q(yjcg4h$J{c)qH%{<Om?){BY#bnVP#$d?S0u}3j-&~$
z2HgvIH*uJ`vDjbt7CLzTdy-N`jlL(#{tMBq9RD8AaM>{X9$(0Gsp%|r8=nhloK~2>
z-FS2StDP*TZe5!STAs`~<yI=^lpHnC<}D$O_plMecS1jA?_W3R!1I2U4-LmWAMh{U
zT{H9J-(7D%Ii6VV071W;a;Aeu(0A9Uz7(nm$2Q_N{lIQ4dBAfQ%Rdd|<e?(a0!0BQ
zzj!2wPV?XRaMeExtxO|fuUSIVOqf-`M{4@)ULrd|b#3FMYo{i)IZoTMb+U=9iO;2d
zcf2QUxm~sTN7B7-7tWjQo-dnFXSVtK;$wEVa+vko(&B#zxz3(xyU<+C{dP>&wYfJw
zr`_FXn}2QYozH1==R{lR`A=pw@&E00-uCTk+wWV}e-*OfJ%4DOTL0?TSwZ!kt3}^s
zPRe*QPc45VsIeGP?rZ(3e%*yFhx>EpEem}pV*kst<hq{m!mNe~F!aTJciDu48Q0gT
z-0iOetqxt7)c{_&zKYQaiWY*Tu%5_syx;9~xqnDYikgU`s*V$zM+?sc4oMC3lka*_
zR{?FRPyK%=;qIT+8b8A){^f3d6QBJ&-Zy8`-Fx02^uJDfC3kb@-X(H3uUDV8oWU}&
zfBVdv`CslFeD`8!^WL52eC*oSUNLFjf72@N@iXka@9W!pSuSfiot||3%BD4oQX)>I
zOjFG~mKXWyem-dAr80lb?zFofZfTvhN?H<mKBFV8*R0L{{ky*bTKUhp|8I9p`W+Vg
zPCD<ZV~KTsbg96tRob5KOa3lG-fZ%6T3I~G5tF=c{-NBPdSRWLrTmM$nY=}h<UiSi
z_o7sR_o9eF_oBGpZ)~%gar)1u-R0hqk#1>+j1)rLIHwyfNKG+pOO@-HHgV<#9*(Jj
zhf;YmX5KP`oF<w3M)KydS;@(+caD`92ufc*Xk@mmIVV~A(6K1t&4#i$3euMy?;PU_
z+IYyUdE58RYv=8rexg6;Sz4O>%c{@b+pe*{e9;;9<ayfykEGad9+&k5HGUqMJ+pRI
zs=U`X$rO1@y_n};Vs<>4s1~!SJYFfrbyKcl=F-BqbFKG3->bJWeeb%@RgX8XfB$9A
z_0sh7yl-~fns0dj^~`~^wEg#uS!d7u>!|-Iq565<jbMK5D+jqmH!NCKE1DH|^wgTu
zc#dJ%{EL70sxz-XJTu+kCVed4Ra({PvGg%tpB1XCPP&=`S)QQz@i4iR2fIP172LkQ
z9&0vS_*V^EtrmR;v}YZ@<m!q$v*Kmug?3ULGv`ebofRiKuRwk+*Xie%3PR=kzU@p=
zdsD3W&~7^8t76FocGDa0JU^AzdT`gw(A8I-XH7k$^?hf}hW)cayHPevxzG3hlXTPW
z`k6Pedqd}>SGe4G{Oa0Ixf|am<!ycSefydhX8ODCc6XJ9*7CnOf9!co`S&|JQ#hY4
zE=&97Zd<-i{=3kwxC-RGCsEJ2ziR*G?hJdBAZ32-=cMh|K&PL)`EpQC{>`_8a`HYQ
z=l@;)AGkDKKkL(02f5C7`%~4XunA0pq6z;p{_`41yxl)_$?KboK@%MqjivK)C^tP`
zW>kctz)9=U_k&J<#yA6Kar)w?)i$pZjfAUa2z@hPev-&`;xNyO_LJ>THoOr=t<Mf@
zc^$t`%tQF=`V+s}9k1=*dU<~s^Vj#WdsY7a_S7$%_t?7H^SNc$fi*W6|JV8O?b!Ln
z*0}PgQsXzPHQ&o3&sXP9|90$oqy5{R?Elt0m#gqUZyRu}Jd$6>iG9lPE2R!AW9^uO
zHqB)BTC}kmR<YfBt=Gi;KSs5wS*`oQ`$g8ZGe6qye*4Ao#7YMU`sI|f98|Dd*Mcgk
z6l?{%_`&5U4Ih}+6Dy}I>3)E16VFMLt_PO`l_$@<aAL{^aHZzpmbO5r>G+o<)U`=E
zO_3~yCzNKmUSNx4kydVcWW}jek*}}TWdB~Z^MQWVYJr2{{hloCXAkJ!<GCZ1&$h3m
zMyMkGylTKSN4xy=wD<3csNE_)XNC&h$p3WxLEqb@^~=59NxysGSTa4|x<cUAL2Xa*
zJ?1E<RK(uvTP=vR(r4EUS=3W2ysm3J=iGba`h%2X!6)~>a$dCZ$^7HYy&uGn^JJ`e
zkX?}fCbzT3-WRRJ@<S`JCP7LpRo!~tykDwoPsBGLR`9;{Y0|Y>GnTweVD_BF_h?es
zgoUEpB)9CHpZhiDq}+?C``NyqjyrYzLCSVry<_ps)%oW^3)oF}WLJMvJRaX%y&*hk
zqtea86W~kOuXXL-7yeJ`&ibmyn>WONzjLVWaxr)HisxeA3eN9m`|bfEGV~uMRzH_}
zz4rU{2Py|I{`&s<OIdRbZ{E8-TAQm9C!c+_MCvx^>=$45g}GK7xtFIdnSJ#n%Gwh1
z)xjvIwdI8^M_$yPay6|q>dKlFwGu&rB0<$RN^GanN~=ODQ`B^rol>V1NHV8xwo*nq
zEN8;mR}->gQZ}DTQ*F)(vpSJB_3WvCp;w}fSKt43XN}zZosqT|y$x62|9WT5lZk0z
z{@;SmygD{-_EeioXI`zm_vFm0?|UEW$A7=GCht}6`8D(2?TnN)exFwQX3y-a($DU$
z>6=}?*{aSiFHHT+`t6aPO}rUl|37tUZLV^h>>p#ZdAn*++N?7_+6sk*?;4ylSXj*9
z_(H}3be#C9W}cewRSPDT{H_YdmQl>Nm?K{r`r`D43koW}3MG6B9G;UWm2_8oW6>44
z@MY*jQ|rQyYhFmU7zxjFT+wn~!Neh5Kx%<82k)M!hMIT0r}vpgg{2+Z<h5fBqgHmq
zDX9yaf^+hI9y$8be0$BKMf{s~>gMNJ&FI)^`tgWsp~=rJ^Jnf|y0u?e{Jw>}bzxx0
zS-+Sm>K*fTR6N>K`w4Uq&hsgA)@@xREN(u1-$6C~`%nB>u08RKn|N~WikCZ{yjxN^
zefQ+&vG4q5mhYVWbY=A?*hw)byMuPud|H&Ud9t|bMXwa-Nik1cq00|?%MnL|bUoN6
zz1ro&2DR=7H!sfJcjm{uyJf!=o`gVAt>U)Jpe3Sn_f0AhtigWb@xfv|#m;Rkl^m$p
z*@>mtdG3nZ?MH7;`_J_kaQy4B;+sR^QWk!j+&WRYAD22SAH4khu5lCJv&Qr@yV>Vi
z+S~EhT;5atVD|I7%=eAn%W0erzRvvAu+%mnYr1U!yxe^Y>QH=I7g^5#=ed7q<%7?&
zYgRq|X?pJV<lk+xD+H|a`CH6BdR>xzk8+RvlHa#mQSwyz=5FLXwaMwd)YCl{RjZbs
zsaRF1HtUq1U&tYKbt#ScSwH(;SWZ9;`+r(F4dwRhPb;Ujhdg%rzxM3BWz8?YZc&<_
z)#><c%TwF6riT8P53+qSkv(F&R>4y{$2g<f{`RY#Q|Fq-+g<&%_Os^lsCl*^X71;2
zo!j3B>!!ZelP{`SwbbJB62Gk?kE5zqE&Xw0ht8WhmD@LLKfk+muJwDdvU_{xXI1_K
z5eI9I9R7AL)?)v?<xyTuycMgSevDsjuv%R9<`cf#){AvTW`5*-tfqEl4b#FjQ4Z79
zptE$e`Heo@eckAG>Fw)SY*qB#3wph6)8K1UKpH)TL3%xAO<59sCl!mHl-6aSW3UgG
zRc^VeYMi**n{)dvo`BV-8Mf}?nPQ#uz7MsfUDU2STbk{4THemX&zf`cPS2jrr<RoG
z`({JucHP^FvuEzju)CHd{Lm|7W30{oF0tG9r-QPRSoHRD{Kx*j{c+@->}S2QqEo9n
z@2Y;*E8ApJdK-4A&Rf%!v3ct~&9c{fE}Ggg?^y3vtcU7&J&>*om~`N|d?`z;a#69f
z$_B3GH?E5Ys!x!-e|NTU!-U#K2>Q}GLl|^Zhp>j{_Vu70G-^D&p#88W&|~_dJa^T`
ziXw02`^M@7MN3nv-mK<gFDog%(wCyPMOih+o$W*)&jeY?8D-YD=Df{#^>&5E%|Pwj
zf^0YYuAS>ExnN*j^?c9mi+1Z5{9jkOP5Ez)kmaR*pPwtP_lE?6PDn7GWo{f48?jMh
zZ-Gow$dszs)>8os)k1pwo8P^DwLJYHSINsg+7omhY~pH3PvD(&{OY9zi<UUFzV2Tl
zx%=M<=?u{P*|ocMetY(xs(WG8cQ(5*R`_n}X`dBr3QJ(<??pZ76oXr-+MfB1u~;v?
zP<>;2@d9E8!4qQz81lNL`eqllKDe!rS=7GoZn@rRPPc;&ZA)#)In8i$T4NFSn_Bed
zn|EAZqwoGFPsL{NZ+fzJ`4iKPtlu)sJwNGjtMo@F^p{VrQ+xaAXXuQ3r;jJXPeah%
zkluIx?>*c1x(1PKpZ9A&yZb*Scly`;N+tHt1s~i#*cN<b`iFQXEY`QItSy<YZ@e#l
zd#oMoWLpu?a0GZ$;`H?@u}t~c7Pr0>`tc8WMLFzV41rIYsxO6pG-3;iUZIL)Ea&2~
zqpb}9-C$sNLTHBS1-3{gY30W7bLyTCLO&aF+H9Pz(inbzy%W>_G+UJq`Nz~7ub<h?
za>{51OPuw4A&qRTN2%-wFUT$j9i{R)eSWlJW692w%u?!&=C0*GjUN9lz5TQqb^`;b
zr=usIqHv__r`ILb51_6K=8z{-KDO08FNJ<QL=KNh4gxTwdKt8UX2O=}MU&Kw7cS9E
z^fY#ul-8hf_P`eJTa1yHq%<<u>SRi!ct%@$UUodJ^49jV=cKZ`f4cmS&-wZPX0@=w
z$#tLZeNGEMt+oB&`rkkIzC3p(%jsLN`LWZ+PBWu&;%+#dEw)HWI&-5=f5LOeWz!PP
zs+H6ZeLiMr_&GwwfvLs8=}kAoMlTPKH&GKAJUe7_J3<>&95^;MGaS6~z4pKU=lWmw
zf=lzRo?Uh7wz|{A!dK-+pMO7p8S?b!-S^Md9^Jfcz4HaRs}mOF>c?__60ZKErLu`*
zQ3iLn`P+}HC!EM^^Yy&d{(Z-i*lVSp)#2AweXo^;dLG`qVa3VEuexSkvG=&bHQmJX
z%8n%`FZIdv)^2#&SN3iH+M+FC@m!x?NnID6^1DqsN8oPDZ8qr^vm0KQ{=S}t+?QXn
z`|4Jdy6gM0*C=(DoBFJ0e*(S)O)z@A@`?EGmJF?*Z+9H#h_|`e(8i_5T3P!=sux~?
zfj59^7CWPC05y5q5V|9}_xZt#pOz{-zkji-M$?05)8e)7GUhrTyI3MnzNLv}?S``p
z-u}&5{oGA$cgD>#x;p<VwrkIPaay@#%cZ3XuE{RP-%Na#tYrDAbNi>I3h(db$`^g}
zn7Fa^=;__6(%O?kc|7~C9Dj59_TQ>(p)%L&sy?q~w#?nW+>*1p{JQEJ+t}$FzL&)w
zx_AAnx8uJ3uctTtaoD~ju{ECSQ}f-k`$U$gSVY#>t-5FDo9|v_eeHJemv13^ZGH3o
zuiu_I>+0rzv8|D^w_kZX)>+)TH$n2gL0+<xt)#%KlMMm>Y$*yywtZ4?zQ6aK!KA!+
z`A*~tZXOTEPj-&4DGn#>r!0}a<qm50#AFIYp&-?lV&&NOnME#s8Tzo|d-ktW`CYT7
zocW<Woqr~$;^d%1YN-jLlV^qPx4b1kdFBTDWi7vx*;d%6HT+6u+hSjKVn&qR)53qg
zxtDykA1#}<JJT+se`V>llq{<oPRp*{)Cu1FUv1O1g?YEqzg3vO4X*xk`LB7*-F0uO
zjNcZRZ?8_wtD5evwQJRDb=$bRU;A$5?>PLoVEgtfeC4gbS7^p0>Y8T1IN5&x(4*Yy
zH;*q#RV^#JbYZf8WbTWZCu1+`&WuU-t=)R}rMjJ-&b*C#E$8pv_S)|IPTP0I*Gum{
zx4UAyV&%3C6}8h#`0W3;{`<qS*ETSp|3&jQ)$%J#ez?2|sMuSyeBo;AEGvnh32&N%
zYYJAiO)x*0+2{BDpwHg-JKaMo?-%BN+t=@E{>?}@?~P+dGQX2_wZN?#paZIo$)#u<
zG5h9qY47`KSPIBV?`lz(#zwL#LQ&|XceSA7>M%~pm3~wkYVj^JG{SDX+Uf5nSL{3K
zvm)Os;y%xWeUb{b#tVL_$xoWRX+GMnzb!f2s|3L-L=Uo6Ca6~{u(h|aze?b)Oi1^Y
zThTnTA|d^(oQ3V`Pi^aJZ>=v1d)mHx<NAGfcd5TzoiG1#6WcYL&7V$6=QrFI`@h7J
z@lVEe)_`dTSw0+F$Gu?9+g0hIVh<&!ewwlCpZZq*tT&3Y=Z0!_N|iZO*Z0cwik`Gq
z+u$IZvw>ya@8_XH6*;q2KU~IgvK6!9w$tpAT+pj@e6VzFJf|NxkFv`PdXG-y1b@&~
zI_I$+^j|sUK=fiWHRFZ7nu*fJ4rZX+bQ~g?^1D%6X`5KmIFBfJCropYPT|byWx02y
zlr!ez`vbML{yt3k@6<dW9N+bN!hy$9lMcLHy8imzOJ9wxpO)U3IXC}Jg?a$bGEKoh
z71v!q2*-*a^e>BLNv(X<wZLZCIu5V5n>f78gdIJv?BF<6o%1APjYnAZW!Dd){;Pxz
zCg0L&+U{Qd%jj`++3lCj6M`Edh^OU-pL~kOk+NT2ms~&eVM{oAP30&d0n<7mSkqJd
z;CXCk1y+h2+`Y(6&3NHN%|vBm2e-6_o-+=SEc*p?wT%-aRb#F(Of_{#<xLShqtxLj
zc2K9u?1a({DZ!e%^Ood2SiZ|pa8H5%B#ydWyZHp~*c%H@nVzro<Cd*n)2A*UmiYb4
zEd~GhTz3sPx64%UPtJ8$(7JMtQ^~Ko7F^NmUXWreIK`#6dx6fU6Bi>UuKBpE`$6{q
zg<dS}xnc+P-3Wz%H<nhoN-WEM8RWL8AFC4-Ed|L5VL8m{Ss=@PDO01FoV`Ar(lZ&2
zjF?kW*iI=`l%uZ70Zoi3uz@;tw-mY)vRwlHs5`RQU+?XF;F_sakzFpU^kYSvOF{YE
z%TE1SeoXnVcY#|Z_wJQ)PT9IvyYbVET|ydLPYY?>^kGsBd<>cynYehPQswcST`YDV
z>sL-X;5hrg(xK~%FsDV>z|$fkN#JRbA78P?eB*uW&9e%BlPzc9x3hUFa6r+5Nk1nZ
z(30`EwKvdn^2~rsQ#LG-Q~<X~67H$${&#IL^NLdUmST&%Waf9N<bsiBwSw=ZcQ4%j
zDIN0LUw2y1*yL}Ik>l%G3s#zLn4ep2Z++%ZPU6Si)o&J`eN<9fa#L=9#p&(eOrB)i
z_CC9d{d>jccR~@j4n6w*tzz@LPqt?(XXz}cyfs(Y|M#CGm*07PG_m2{J<Dd@y49Y4
zn`71%_)m7}t)BH~Hl(32>)ZYR*R_1Dcb(n4>-VDH1v5FHGPXQ{q7;L>?ae&Pe;25}
zob~N2wy;^3dA|#(vQvD_2t$FB)@9zu){OhSIP>z;-S)4Njf8*A5c+1w{3My}#BrV}
z)us14QHzLYvCPHm*Y!$8r0^b5$bN7vGeNq#l>dDpZ_LNr)$trA6RJ!1-+H{)s<J6#
z{k!hFrr%$QiQV60U@SQ0dcM++dz~En>Xn*4J(?wSP`@;mW&fR0!9QN0e3Bk2sN=*r
z<@lAU4l85xZg|2<3#EQg*?B5&7w5mbGegyW>@b`CfcfIueP@0wySwd|f=Vb9F)MDn
z3Mx0(?weF1{3j1vxoLio9r-{6k5vvZG-b)`2iW$%owS+t;QL}PHRFYoG!s>g9lX*S
zrkruO$x@GAS_q#}>u@wXnA2o-LhXi>@SiO6lPvGw9rO8+o~ia@>$_RL0aup_3f_r*
zzUJ)y96zT0piGi#!1>4Rx@y3+ZR=Q0CBO1oa7E91L5eZw6qjD_1$*|p^&RVaBD?ve
z(2psfSE+nhVdnh++Y};dURjJSJ?J&sBcGJ3IG+iIf+p!T+IM1EwEtP7(Z2WAj594}
z%UW_l_pUK+GGtEW{1b^*MyMKiE3ic}ZDzTp;GK}I@?lQiB$j)Zdp#d?@A4F?$S;=_
zs<@Ko@nG`o$p?P#(retrqt<x;%`Plcrz;9Mr+mK-Di-%7o5eECuS%TCVz=$A(2oGj
zZW`#|fKQHXPC4LiTD=^WGVYS<2XT}hYp}u-C<66xupMTXBB%P{;fmgqJ{iegH^6t7
zC`cOzOgj*dKFr0mnI&n0s)6?c-c2mq+?e)X1=W$O8}Gk6rt;xm-leb6`!4r-Jn)--
zAhwY6&+lcb1<So3tlPoDH+%8{c32p^B_a&0r-ykwFg(8f=P%v;eeXV{9{c`!+i7-K
z)G<kNY>c%#5zz7W)6^y24~%zhykLZ-(>5P;=n%$D45}X@kwZtNQ2~a$p1CuvE>Ork
z|L&NIZ<>>5@F8%QjlpwPW99TMHGijJ6qA|eD}%o$m>2z>7F+js+T65^Uy`X!*S@~q
zZlm=mUDJ2hV(Cwt-!5JMX72s3>)*`1{&oGE*b86Rzd5<f<Y~tGx4RbCu7BP4?e9A4
zSvqf5^|hrZ`cFQ7^{dIs`U%TL-(^f%QRqH7RmM{4cfw`SxAN=O1Z{b|tZUl^A=lN1
z3e8s?(hMqcE2-BvUO1&;0u1pi`&~WZVM+aZl~}KHpd|$XUXZ&*H5nD5DDaY!W~E<<
zSBlycAypk!HV-eJ2~#9ylxs$w2r~K}6trWCNTip+iJ(bKmk4T3TwB>R<<ElMe401?
zgMx0j&#OK3axVApnvMOF)kKyA{MXo$xA0NmiV_hm8Q0=Xirqzf{^czC=q%jpq`Elb
zqQR4e!iP1oZn+AYb9Y|Y5qY9I<w?eyW?%E}?{^|n#g3<K)_5$kxgxJ*vYzq6$c70p
z#ItO6$%Ka`lh>)-)tM*Gs|%6@Pk^T}IziFGC9Y|OVOMlg)J(WlbHvzA=<rO4lsJ(#
z@hnqwj@V6|oC#+anWlYQ+!?v1!tYjpa@t1YhecogvsOl)ShOMXkIG%0Ckr<lpt-8P
zG<s^JjIn9=;pil>%ewb(-hJ9X`;*(Q5C31QZp)wabl*cYNf+}=+dSD8`fi%^CHUAp
zlxdtVmk-7TAn$-J`CgfbJY{|Dh3Vxv&r8bn*eth4hyU7MaxA!XW`@?!&>e?8p69cA
zu-|TM`z5RQdC|L1X&X27oM}mQSkWV-m+Wx*M3T>`Inuhy@a3KtjC?C&MCT=+x3Z}Y
z<F;L`Q8|q-?NOvv#L>+q6Ku1+E5e>n_^2a$#CEm9NiEnoZ0O%D*|T>(J^MfJ`l|Ue
z!*u)W?p^HlNu14f*IDGBsQk_P?x35>Z>{b4OWz9TCw_eB=d$KW<nC{Z>HSlLPl$=t
zU)1#so0t>f5tSKvx_JMaU!BQ8=lx`=cif&+_;=N7^}fHmUSIp#GjG1dw=?tRT5Q|!
zW6ivq*AG3~T&?<7D`fAq=jUEbtP_0|cXZZ~)e*BxBAX_EeZKtF+sj|dmTXVnYwMct
zfA#j|FW*Y`&RrS#clP@5nO8TQ4bQD8+~TTeyf5+2v6f$23Rl7z7w+Q%T|DyCv29=V
z-9(kU`{J;*^e*wf1>G_MUpte<);PhWF~FFkWr?TfuA_71v6P(GGO9u=j>)ch`Dw4o
ztyPm|ZU|l45*^C6A~dxjDwJ(YsA$Ovy$-Y{!CvQS>u(wSe|Al?R&j;K%;<L$em)2=
zH<vwg<c?MJnLD#yOz7OMT5{sto1^P)DF~^}?hIXb>%$zmttYL%tX(ko-`WMSAM;uN
zdY*h~^;J4OtYp6U-S@6X->zDB>%;N0op+0?^~yH!sFj_c-7$B~+g0~Dv<~GnpG@X^
z5xae9>D7SW3J0CdUa#|9w6$a2G22M?xk2T=_u`e^lU+acSsuN(($3;6`|{rj%Y=U1
z-&enZ#qQgW<uc|O#no?93H82eK--#IvY4=(D_n#1#HHy6!m*vvqpQ()xwI-2>$$>L
zQ3pdEB8}pOQF|h1cBef1me8%T`NX8ogNlkX=jzOqIOAt@{*|idvzvh@YwGkpjp7A7
zmf1Gel<Dj2+mJ55{2R+PyD91h7yqQcmz#Gv`<6+$YK+Q1&$Bb1bywxh+9Z}X>-o1k
zCh>PxXU=l1Jw0WY@M#xcW8af$%QQQs%zY~-gS)G@)eL;Am2);^)%^<(T~%2!JM{Bb
zQ$Ox0zuV?9EYeGy$KW(?fl91$d^k#Ocde;K&h3}JzCVOKsI?~W?J~>z0=AQb(`=^x
ziJLFrtGZvlT;gG|JnxkAPim6Ch<MM0AG-C^=bX9gX~bO?pJvW+4|%M#I!ZcZtw(^V
z>=~D<B^$a{y;N8ob$HdX=3A?-&78UFWdirgS$vOHg-uuvpX5EW?q;yv<lt}DR?k1F
zdHZei`6o4==j}d**-id@diUw{qIuV~cScOqN%E*#VwX1m-n;A3g$A1&n$JJ6*?)ab
z+ukkDrOF)7o4!f4y}n`k_dh=8!oPrsZ%RtrY`(9$mp1>TCa2%E`SVw8kAC8FF5T|Y
z{m>a#AK$8Z`L%mdtQ2TE#!T(X5$1(zavY`~9oU?5wE2y`+<ncb{PNq^XV@BSc^UcG
z8f7oVzF$KgYt4GpIN@bufG~fHkN-(2-}PMPSC6bdRQP%3x>vUs`5MgRoSopcgEOsR
zRs!1!&a{MkvlD(^di^;+Y_eK}dVDuab52;`=1T_^6T^H>4jxGtnw7Bro$ET+P1#ph
z%YX)n=1M0_Ufe0=)+aFQ<jmsE=QE2t7k@2LVY}|}KfC(nuh*YG`R;T1=CjXbr_Vl@
z6SF4iM><YkfBj3$zPQ`PKdWN(7qYjdS2q2tdGw@^{mZ-Cld2Pg_6HrAkfCd|tkD0O
z<MJ!alU?O3rG7sUk)QLb`EpIcF8;Jvng7ezpSs+a_1XO0J-NFbr+qT`6;fcR`K6mo
zipAXyZO`vp!1s`5@GF4Nr?zdF07V*}-?wz*7|okk=82_Sa8tm4_P$T$Zq{+2+aj&v
z6s~*>IwY&lyt-u1va9;Nv*w&>QCr^PdyZk|97ZEc=B%Q^^=l3lzp4ExU8ehKpVGa+
z)Ayb?f2)XJE_(0!%$eU`Civd_{%c<K{Z`xmCI5M^?>oI~U2XgBZ+jP}%bM*ytt{GE
zc;jXNBf)2N`ycMzX8tYaA7A;;>frvT{pag!vi&dJ>=*wkwQ2v|(sOk-3oSoa7oR`t
zAJ;YWeson{UH)6ylsfM2{MQqIJ$%0Z!hH2t;a9HPX`Wl|;Pvq9w+AL)PFlT?SyBrb
zqdV~HjqQemx4xgbzy8v`<J%&C>vg|-ovL={dh>;SaxLG!Dr{K~nkuffJrU6H_Vv^y
z+4pxZT9}GC+4U_J)WD8|9UQxk%L$5Bsl@(XhpiJXy{I<SVjXB~ZkyWa@9$RZJ?WE?
z?{(uI&xE~_3f0C7zKL!5e-FKstNyK@ozQJkaOt1+CbpytX}nhsid{NzZk9;^yYa;X
zYPah1->Yu@fA3;<E&IDiahJ*;yt^yAjiJ1nyI^|lj91Q2AFqAoq<y%JrJ#D{-}1`q
zx9iLOZ`D74`|;a-(Yw!7K1}Ll*_Wu)_<oMZf;I0~z1^>r^Y>)(E2{-p>{fhj&$;$*
zvI}qZg1yJVovv8n84LK#B3S&T!a5#oJnrvWQ0?uqfor+Mjq1g53dZ}Y&Gi{1IU*Al
zi6z7_ELxTj$KVvlU?h?hw?O6YfqS5~8t5j|31&?Y^ul}V1@JYdjeG5}tQE`YX!MQ$
z$-b!9eCEe+J$Vjcr(Sc_I~B$oydJORk~iwz`1WSUvwIy~EXtP-`t*3Pua=m|w@Gm8
zosN=#+Z|?W4b}Gl{SsD|{?2Low>zzRuct;Oy?-?|Drx?UX;De#?`Cc}@@+~~()90M
zx*N5t7V^Bc@n{nhyMOm{+l}g~I=dw$ja62=_C*UR{Z`rV_nn~Kk68IBxhphk|F4;G
z_I`GCzW?6k1<xzv&(D4ScW=;R?>IB_eNRA1FS6!IBm0-<>aX5%oeG{(vOHta-O!wk
z`E&l>?F>~bj+q@DCExRIMylE!!{!Tpk}YLt6t*O@N&1wZQ8@gvFVeHh`}HYoC7)hM
zIP!TB0p%PmyPOqL8Wpa{22Iin3CA{~$1Au>D<-;O+39lME!$O$6Z1Vezfa={$Un*O
zbsEo<=&0*x+st<^oyyAB>?^$E&ZSR|Vmr=?>@eH5#qNT4R%Y!6@$Aglo8DQOu~(zr
zy<Z2aHorExa%s}^{~59;UvHRp?W%2lX6)r`zqjk&R(gAz-2C!x*Syzrb^Py6n`ZN@
z;a+KUk+*l&^ysKbXQQGfW!SE}e(^tFR^~~_7)I9oM=wI;=R9k^Tx$?4So8dTNaur%
zx%*Z;E;U^zpz)oz{G-CI%iCjZINm;*y2Sfldlt*2-))T!8Uh@wL6h_fuA>|@6MHUi
z1=4b=lTt^sXMH|=vD@lY&+<+8L^!@Kn^KT}3N#V)>c_+kZXcv&Q%!!i-H}g0+IhLm
z#Z>Q0d9IkL?3wi|L^<OfFCX-A@nv7#F^g~0id@dX*JpgLlx%2q@ntUz)b^UY?a;Tn
zZC8tRC);k$dG=#b-=?k8{@pqyUMkWrDF3+Is_W?q^?RqpOZV)2&Aaubt89LxzcVjl
z7D%kjd3#OZar3fSn>X-(y>n>Y`<<<KFBEhCE;z6M>c_-+<u~nabywW@bf<Uk*?lV(
zgC>CP1?g^6x7~VbO<(3`SK0iYZviRC%zJLn2-wcmvMW^K%34roc&*Ke3+3yrdzRe3
z?u%`t^zMZ`Y$IPU#lCMusZ=+DE7fjZSfzS?$I-CXX0aQ(7sY0rY0))My~AYepliT<
zg~{09z3zsZz~ev7R~K=w2{u}Nhw1adg?V9C7Aj{}b&B11{at12fjpzDTGt8!-S4Ka
z-}$?=#B;k<_N%(L>xy0b7tbzU=U;wzXWl%E>&Mm3sh-}I^_=_sCziiIkDPqW_u}q$
z)$;vI>Xz0kUW}6Qc|31Tv){_ks`+atF5bxR_wgXSU0d~S{=e^9wu|lO+}&raU@v#K
z{<Mz<uYw8;HNX5PonmpfUfc8fW~`@-l-T|TEl`Ayna<?nfTF%hCAPmqu+*6|<!xu{
z-Cw>~PR)2>yJjN4v4dP%gUy+Ss)z;k^Dzbt|9_I4kb7mn(rbCP$p2=3|E+Yd?0>)P
z<$c}8e;*|$+`m1!gzd@kYC-lpFZp(8ziUu8dD3v(JKdpf(eu6A{lD$`{%z&F@AvHP
zW}joWzg*1!C+EEFhhp3B4w3UhZ8vbpf^LyvTw3;%$Kzz0oJObAcZbS;a07OC+GW=d
zo9b5z9Sr*)`TG1di@AR9q~ASqd{JTrK2dY}p7Y2@i>bsu$Xkn)r95}dxf_Puwl(d#
zZu0!?MPr53W5Fl$zi}=sW}EuKvYG8vy2Cv|Thl;z77MUr{&X$_c^e}0r;e<c7w>QB
zWLeFK57ho7IYA;NFgo^%m9em?Y>r~!WyhE+T${GQmQQEjTx)C3{Ar=>yVUJpgU&VV
z+50@*;gquPiFYq<s^2@6?$9NAvVD&2rsc|o%OdA8Y931!Q~P@6(2?V_Hf^x3&P$vR
z%2HR0xqmyIKi}{t2}A_xKT14Z9RDu&o8*K^4^sYCde3G2dGC4HOwqrQufJ`*VJH7~
z;fbDniS339^F%pHS39hTW;GH>imsfn@O@mlgy;74*jon+YZZ~VA?ykTEzP^KmPs))
zXi{EbJZSg@eQ|HL$zH8JiMreLU8`e_gum^YQn3Cs!`EFr0qajY>^%DIDe4|Ph3z*s
z?M&Q|`D|Krj@^l8+ji}InV|OU+A*=&7E`v~Sodnpg{0qJ`qz?#H8+XPwsG8Y^xM_A
zB}b<f&3YO?b<VoY%fxQK`*K-FT<rX<dvk8ywKcSkWH;Wu-uH~3*!H}7U%6t3_q%j=
z?pND-`mgE9w7;gFTf{D3-l6__LGY{fC#AtNRridRTUcFXU;gt!iTs%>Oy%?9Qyagy
zZx)YAY7Dhl7awYIw0fUVD96k~NrP4f#YkodlH@RKWsu}DYz>(7&Nd#j$oWDwWEko_
zqaqXq8rk0qZe`ZrY5hvoNVuv~=$jDp6IHeo-aKb^PuEG&pINQ1=_R|=@0$?Mrs+9z
zroUK_8mCk{heiKqRle-~KUPgsmS~vH;DQWAu`JQ}I;HDo%&IuCrxky1`aG6SlWL7}
z6Ab6EDOn`x>U&hft156ult;_4t4{ZnmEDu&b9P1k+0MQCOw&KT;@|5weBAoNEh_EM
zU3-qVutQ-c94t}hHJX#RWa^Ud)Axbeffz%HzrF8cYXiQVR|=YlK|jmqroj1G`#x1K
zPJeZ>XMd699aF`UW%GpWg3mkH1-}R9k!x>4t!Bip_j>vLMS@z~(&o@TUhFqlZwkMu
z@L|=tGkd()3)gFTta@Vp(Cnu2{i~&mcWr(>fA{`}PNn|qzl0xrXS(p!i&(jpFZRv*
zUbXM0_TSJA`CnsJ^L-CHzr8wfHM@M}EDyV5)8wyw4gT@&{ZGxB?~8A5-tc2?+{tZk
zCLh>#*Zs$X^4fqf-POC!uYPy^dF_Jd>Yr-2KUe=`d*gH2`R`R{Id6QgzV~k8Rs+u8
zj^}UNZc1VQyVv07;|yWR!{_T4+WV*Q@Y^h~w-3w@4=|jv?#jlbTCK30jhBC(+p)!I
zd*8zSb$9k%{`s!^-SSkmJO0fV>ZDq}T~^pKpH0%|`(=g0FYBT_cjeU@V`(NXd3_D#
zDyJ3BEKagY0<Q!b1KK@6nyj&C%IU}peR!+NBzE8L#ecPDa{dnY+EJg{@GG2cMSbdm
z8ms%|Xs23M=Ffh5`6nOm-qX3p@+o^~PrX-qZArP+jLv(e7tMAvOxo^s`%U}a8!v0@
zU#Z3#K0dy;bk4c&{;K<5UwOYQGB9}0+&ZiK>u$WPiQ1yM_xDMc_bHM-@9V5CT1VdA
zmHrtzX0!EH!0sBe`6-*H%~px(e_r}!e{)pcr+-qGQreTs&uI4feLa|IXK|O^c<O=8
z>(@;>5cdD!3-(2XW(h%?#5kQ1*H@Tuw1z=1H%HuKggR6H9>+}id-q(QG$-lcLuR09
za)z5T8aJ{0Q$SrR3|glp&<t9#l{-OogQ<|lTiwYAMD=I?*c|7>v{ZbWLL>iIC7~Uc
zRSUvnnEq!yR^4#(eaYWF&&4!eCy4#%o)^79Coh(J%JC~(9ahF}b2ynMrqL<2-J!A>
zTy;gKUDo|jxIH8?VfFuQH~AOeu9^9fcX#e*M~@W_5X9z`Gn?N?B<XgI>PxX7Sf_pR
zz|%e$D<@ux{g{m0;MwFL07I&ulN&=VHf)*irt6#L<Q;ryT3Un7S%%12jg{NC)TpIN
z>#m)w%iEljDV?5al`(Va-$k=iGWWl6-S=?Y<?~1Hi2iQ7edv+oZD;4(aaN+A(_`&L
zKQ9LniXOKPeG*%#=zTlRN;)`hU&gIXYfAp+oM~5I^zC$B@gg<tj74hC*UwsEYa?iC
zxw|wp@bKmhYfe6Xb!yg?^){-)ol@eakxxJkAG5oc;|koTF6aHc)%=vjQJ*@oyH8I0
zWT+{mz)<r`HTe{ayHB(|%_p}nT9~S4A}pYrw$Sl}j{^)%*>b|CPR>+#Cg)if&e@%e
zM#9V~YHZK$`h41I{_MU_SIt{qo=r1Tj5j(4rz!pZ&tm-Z>aDXb=HG=4HKR)2@<vX1
z{54&;H-2`82<P>C6WaZF7O%(@cfF&d;`BI8@%==IJ9YT(gd24~o>z1yA%6KL<}H6j
zLZe$c3UopqpEc}$IqkhTd;XHt^xeMOYK%}1;o?~)ojGBlKxdw(Z{?W+pNtpcE`h&p
z^S9=%%hk_~PQO3x_2=c^C)Y`0If*FEd%^kn_vTiCPJ0p7W8~09pc7}NIn1}et5Gi+
z%QW-ji)}0lQg^2@wDbr;kc)VpnD>J7_m%AbmR|8sRr?aG`er%X34h)R^QC5#+s`dK
z7dP?m6}f$`f4-E*eyq#aTD9_6)b{CNW@k5ToVM2NXyX6B=iGljh`+ty&2r7K-Dd5w
z?`$ry|E}3^pY8aYnl1cw_t|%x-)JrWxY_>0LHSz>zFqal*<Wu-w(-A~BD=+7vajYF
z`_HL2C0^X9`I+Eb=VtFYdHzlPTt+LE)4PTALMoMVxw<ahoVHtNUs3rI?=3Zp*7`49
z`^8RQ_pXhu?%6$`ET_LZTEC#*^yok3y?>MU{{3F{TfJ)bx_dfzmpxo|>-?+g`S0h<
zd%Z(v-`Ah#-Yxz5^NFDPi}S+b#}^0J+>yDnC;C&weg980rrUewpFMW=@Y$1Rub(~n
zKP><3ng4P5!GHe9Px;?p^*jE{H-+Ts+h3o!c!T}rv416dbx;31a$9|xUEr_!F9(l&
zOTPE-^!$*@-xqVgeM|q6v`fi&;l{=ZFvPQL7n9MPsq0>RUS9p%7|Y29XTXPl?EM>g
zQFX%10#1hvB$T#|<=3B=_x`;>-Mjx*;RzTSe=e*2Csz4ea_@}or+r?$^}2DJcfxjQ
zg?#e~du^+&*>2w6y#2O9b@jJ0X_KdK^<S!1{@#53{af|5w{QP1_`K)uk={?=jMeVd
zrPUX)UcLM7?Wgk-9=-k4A^au%TUljEZ2okcXKyE^U)~#gZymVdAejGNwl1Z0yM5lP
zw+Bnhr_EoK_1Yx<UR_#9c#4{dnSc=#O*j}5?$EaRl4$L}lKgtV63!I07$$)z1e!2Y
zx6!VC*LHhzlmkyZwK>4(#F-_b^X>26o-d9gwcFd@v-^A8LR;&FkucXTA+Zu>l^1Lt
z7kF1JU_Z`!4s8wkv%fnIe5rE%xx-<0Kex!w9Sm$gcQ~Bx=Prq=h<Wg5%VVbVD=qX6
zi2GON-T&sfI>zQ@<=;|ynTj*dWMwQe7xVGWF}1W%;7(&_KlkqULB^lkBfdUx2rNwi
z9Y%1VA!Q>o^Q6?P%*-BEmz$YC&65RHXW#FOSz3K){lD(jivxkV?_Sy4Yq=Udu1XGK
zamwO>AR~dKAQmGLBW}%0&;9MKJ~X`$I^nZ}MPUmPGLB}d{r6=tvp%*Xdp4_H0BI}$
zh3>J%w}l!qN}`zrtgINE+}RyoykIzSrjPH%=kw<;HfqV-_}#teo1XE)z1oT3!(tlV
z+&!@8cJ;eg@>1XC?fzwV;@iEV>g$fD-~9dgSEah%asL+97gt`r(%0Enx$xAT?bG$v
ze%-dN_Ku#;z76^B_HC%}yRW}iweo54I>qYyak~y&>;6^OxVHFrUE|vA-~TX0Cv{3E
zf6#xo`{4eK&)M|<bJZ_fe7cI0t>1ml0{hKJPcMEQwdaXp_jH@pQ8k9i&C|ah1m&z~
zmk0B|z0hi`e-rY6KY#9l`O;s-W^!KTZMgzPDF$D~COllT$92Aa?1OmlVQyD>T|hpc
zu&WV*&iLH@^7At5KUJJriK#vgM{4{pCi3Ius`>JvMKd|4#&BxyWDF`|Uh;%3<Ye<@
z_Bbij$(bqs@;lI41~a}h3$#CH7Ra?@2z+vx-QWbfLRu-qqRmJ79JYM?(s+8eC%Zyi
zP$5H;zx<9xvrB#*sQmlMk)vAbrk{?^yq(ASolji8k;qXgWy!P9KiOUJ3D0-7ey1x|
z?GIi`m$E$ib5f8avc{U}<E4{=9o)Y--4(hnU2I?UZzB82M0Yur<MIBh>^<KHSAJpi
zOs`^by0-&75vIn&=XgUpE4a_`jor3K5=S;3-~LmjX}arG@3fdjK6@QrNU(6oHZv?t
z7EoYTTo$L`thhNqzxLmkWC8ttET@4$k{sK|E}UsKSpa;zR0Sw0_U3srI2~7jSu*pc
zAxG^$-3p#XT+8RUd|0*O@M)hHAG~fn<ehL>TA|(C;62}bf2EAAho4X8sF@&J7sj`~
zS@+2YtBje4<L`PG{g@tVQL}b3M@Ti_wePdi|NnpZZ07ID=BMt&+ZmQy-b;L@*PZ{Y
z&e#0losS*DU!HgFeSEQ$H}2DngWmJ@Uz@4^GI!}-abvUc%H5!)RstQn`OJ>qZm*Ag
z`u6zNpO+Zc_r2;m({hZ>35JYjyy7}h5VmX4`T6hOuJ6PZ7~j8Lcbo2zX0uC|vBPb<
zDuZGsD+JA)x#bn8z<&)oQ48&~CvbuP{P^wV|0UM<bL~3Q(zLFH^)3VFE=Hje=BM}m
zwW7`q9@rx<equVCg-IWB;k9Ms_Ilr2g^l4MGU5;J{agJ_<?FZjoAKWdHZC@Q|KPy<
zyekJA!+rVqPBh&-*ck55$9H1?(N*m1U8|UxKb_dc&Zg2WD=|T5wVZ^*rI~Uv6TZJW
zaq&jbyPHPt?Ek*mhs(-ZY&+}Deop}7s4Gbh&{0=hGg~1?T~#FG$WHaYE;gFGqJ$0X
zw5*vkrCx)N$<n}bOctoUQZLufx5skTOC#Z|T|(DNn3ud{^SI1ArJrw)Dq3sYo&D2A
zMxIX>8*54qu>E}DU}u%kysVFJ&$9RK?CV~wDJXfcb+wG_j-|6pN*<KHwzvB5<*c>U
zhcCTWRv&(yyvP`7U~N_K@X3pYKhbO6zG$%7^zI_#$s~7n74K6Q89l8oFGelU&-<^p
zvMTVI-Osnjlwg6r4QD1<%$yI(U5HEquM><-uYt<+4}G}wR@zt<6oy<*Rl8E6x&|C7
z6P8J9$jk0fAu?bT-@Z5?9d2p$Vc9-;*$K-#<z#p4-2QGc^ZzR^E;hbh$}N2F%2#gT
zxGUeeg-<D+WMrzID_z|3;B2kE(}!vM<P|Hj@AnIw*nj+1pTG&_*K&#zbjn&BHZ74+
zoUp{$)=A;N3b@$G-|X5a@aL<2xU8atU%!CPmM0vJqJ5`JMEyRVD|cJ!ofb3SXP1M=
zUPlOGbMo2c(B_n;7z=9aRAz!w)E7SiaQShM(+P}>jNe~*K41R*nnR7^abjPcW^(Qg
z;H+NA_{oX+Nh{m4yWHZN#J64G?!NT(k=0FZ!xM2giuA8Ip57DiMExN5{cE5mrRo0c
ze=8;liG9<|k>B-e!Xdj)Z-m!bGU{CU#}&HYY3urcr`jRkyLyB6ZlC>1bY11974^&P
z?c?&3>)LnETVU@mvwp?<a-jz*e=9?`Yp1;_{j^USv^=Rh<L>{ew5iv4Z{7J(8;*Xg
z)xj&)4sDyk$66hG{}gAf)O%kWR8GK8-kVU{1VLxc*nRzZnf1?ITv|UXKe$}q^WDWa
zI?d^F*r8>)4L-LSW^QXNdi;GadNIOXm-lB|;D_SJ*V!UJlon)g)=5k6FaI)$<-e?G
z)A`=}?B7-9iq#eFPx(1_R`319;(Pf^zgN0u{>fr{`8#Z`*gtu*R~`pc%TyS+)7+Ww
zWx6we$ltha*?OBz?;IIVCi%vn-1MrEQDyGSgN&8u`(%1WPyWvDmXW>j|I(_(%<kKN
z27P$n?KSbtj(ami8Yf6KLl95P43S2j)-z6BkOT1SaTE!llk?a?Vf0X_;Q|r@m!+5c
z`DzSM8j?sS=cR#8&U+3zIgjtn-%~60p7zOj?{(uI?*#D4c?R#l&C_SC&$v;YcmB7+
z?dsESW7%~#f4_bC&EHF0)$4E0v(LQy=%2il==Zlvjko2${waBT`?o(mxrVNV<<Tp9
zCw@Pz_UYfc&ON8?8&3Wf-^KZ<58TK(aC-4;u02l-8>ic>=BhDFuAE-q0qP%a>)0*7
zW5ubv^4Fi8`xhFRI&tQOTMj2+h%GpkQSzKu<d>h9@BV#-s|+Y9->-(^*-mW^FgkJO
z2&mP3cRjX~yg+sLeEIVE|B_?Gr<Tm*RE_2I-o-engjwY!+o`#K`CU;r^?t6)Q7He$
z-<!<rYG>BqXlHieQ!;bzn>X?I{H@FiT#s&KeD|i?=d@ks)b%C%ckh44%(DOb3&tkT
z^!o5atoQ5k7xVG$NiQmJ5Ie)ix2L?Qz~P^6n5{)Xh^)i}_iMHW3XyLPG^9j+J-{&O
z+?NLpd(z!Ot6u+ZckSc*vVMh?RYAx<t8&TZ7SF#1KAa>Ot}d)6a48RhCNv5N>rGfV
zA(CUdUHz`&djg;?!dziUL$J7M0vMe+WBK*x^W&DQ3m&w{_gO7G)8e<HW$pzA%Y}?Z
zPRyU*wfua2C%5E~QvKX$_M4og1sRqL`J$e++@JZSJN%Du;``MFPOrq@f2sSp&g$=@
zM*_dCzW?_9%5~i)@{0ZC`Qd-9w%_^6^0)F)g=_8p$qec*&#&GUYnZ%#^7p%tmh9`e
zvf8QFY|B=awr_WNy{!6H|Ced@lBe%AUQgV3SM$lfg~1Ig%4Y;OoH?WU<>zJTUGi%`
zetNR+;c4cD)7gzSPhWn1{=GGOkq<;X6F1MUewWDokjGC?)*hbD?xfG3lBVxJzrOd7
zb?sI2?`3*y>#KIlTL0CZ`|-L)yN|j{{NkD&ZwxN|jAksFa}~0*ZsWa8t|8Nq4tkM{
z?D3o#jeG$`)H2P3>kk#{JZMj6v{zx-qrtg@kBRa6K{25M*%Ze7<qhS0l8o0Kr#6T$
zo$HxcU3q)g<#oxCt?%C7jH^lfczpNW?fb7Tl|Aw4y#0HZGQ|MR!rbaTrt$CJf9gvC
zt*JY*WBT)bw>O`Ut*-HR=l`PgEmmc<c~JPP@1O2`ud4If7BY3&>qC#4_fG1%efjT3
zel@2&`*rtE+9&w!v-y>9fA1f!&!3)N46V)Hucs4t*W5lnV$ZU8p)XBOYJSRH|K@pt
zd+X;US5y78<xi(QOZmGq=oGi<C#T#!0iya>4s%ca;KaKp;IE$9k-hgn-{ksk_w0w+
z{DQw9GtT>`)nxDK<=krPxZ*9RQ?CDnMuA`jPzuwSU{}9ue!UW|GU@I^d(d#qNBFRc
z)qVw)Lkd@Zvd)~z@%r=f>VL~|6<OCSbQW-(-|^G-@9`fcTTbg5Cr*#%EZ@l+F#R?|
z=}z7${yYBppsu+#cz^b5&7o51yLR&na+}|Lv%1lhx4wDij#_E|9sAbJzY_C5U_a<^
zy+7OfT)I=|Z#lK}%DVT{t=`C5J*-+jzhJL+-J!1+1z*3v@_uLZ%i6fA{nN#MueW;m
z%iQ}_{F!Ck^@opuk4m+-F|7N!J>oX!^-m|5e>LQu<gGkebV=p(s&D;EzV<P^;I@cl
zpL@cPVRygjv!}M!MJ8b?@An7G%!RJxF?;ahz~*=BtgH&|e0g!;+cX*36^AsH{@z^S
zbh7941Wgf+P7??^;n1Neaw6b_j)>QM``9J+xO)2Y^)78kZDLPkQv{=#Gd*5^KHu*V
z{?MT$zq@PQnU+PXTU@U*bgp9*ie>(+x9j%i7uy0#x+lFT+w>x7g6sCDi&i&J>wM>a
z$>sZE(M8dpbv`{_B~!lJ`d#9)9o*gK-}dg-y|`v~ZSeiwx6QxL{(NWAl{<Q7kMDfy
z|9ZIibn43gKJMSY3H6BeTR7IIt)A+BeQVUoqDlYuthy*>ye;zQb$M`e;KZ9?xiyn+
zCV$@Ee%j*b@4SAm{xdE2*qva=XoeU6iGr|8i_g!0S6l8f-675CJu~<i&nLAC5M=z>
z4m7HBe{SIW$ulqfp0eS)q{4e+hkNM>_x9@7SMRubFFfV_VTJ1WZ+6mW7JvV{X7-cX
z?Q8D;?$fD^544)TGvn*_cKf;s4^J-oH}l_~SKHg4t^<vFZPk92J(uN%Qk44p-H^KI
z+TZK(;hA?0bM2acehz#;VP?Qy2M-ux3x3ZadG3_;m!Fr<C+yS4l?V2I{MCvwIF&MA
z0fLM-``7*}Vf>#2YR_RA{`voh<Hr~I$KHXLr_H>uW$K1ZX@$#X4$E>E)UbV?j<!d-
zQv6R1Td_3j{@|=PVv!#VPc+>xI{1D|zz2Ch;XnQ%9Cq^${yCj@N%GA6H`8_Y2Y=>y
zcHHFo->|Cr)9<}sD*twA{e5qHH}?8nf$mIpsqRc063R>-TrM+V_%F8lTXpv(Mn*^@
z2At5@*(Q`MV`qN?OWe9eB@c}EZ+-t@G4pY|r#l|*%bn_b|3qSgpK08RW3!7(7H}PR
z-Nm)s;(0iqnBLFat-fZrjUK;BImGI8ix+~71d<N18i^!zEm65Ev>$w`nQ9YwQTH?s
zCoqB>ASu6o^`XY{yRu*PW^(TJ=B%F1_(_lXNi5s5yStb5UfsO8{N~~99py`-!vZ(=
zMwzYHl)BU+^yal7o>gyRvx`@mutqKaneuR@qK(y!TZfE`&QI(Q|9jrX-OjW0Rl>uc
zKK7m!cDMV#7?oG*7pL!;{&V`*-7{W)oRPHk-_oC6yQa_R-Y|DY^NB?=>+NSRuQ@ko
ziN}k8Q_kvIK}#}EO#X7sYSJ>5W%AeRO>8G}s3|Q|Sf;#8UQJH(RN;gK=+gF*{WB~M
zxyY-f1y1Yh%>J{OKPl~F`(3Tg5<X{@c4U2&nSHe6^!KgJ`aIdvFPr-EB2GQ^U2w<z
zj!N?jw_9c>6}EVWO}fYWrwU~mX?4+k<mE1&yMEsZMwy$hI&1QL@5R;cPxUOHwAf#G
z(aI;`5BZd9_C_&Fy(-^vruO3LN2lP|Ep6%1Ikx1CIr1WysAZb{s}B{wiM+h}ecJh~
z{&Z2*^Op|#*m$$Au9(KRDLt3-{3{uwc}tsjq>HLVg6{!yU%os+Tz9tRzBg~zE(@JI
zRlnkQ&pu7j_z&N<?W=vXwr1t++rR&%9(cJl@BNGEDyu!rbX3-ES@U$K_3x+Mck1@t
zpHp}@_1IRMUrzVezV5Dl&r-YcefavnMfcao{hnIqxu0u)-rMlsnb+6WPP(^FA@1m{
zC8vevtg*Kb&7Z$)yYgPy*Kakes#o2cw`}|J*Kd8!zS`Ko&S>@G<!PdGF5cwY{40#m
zit&A*``|IAb9vvQ9B+WOV!T!z%OvBPi(giK=&_Et{IpsDbH(_nbhSEj)K2M)?|e7E
zoH>${_c<%C^t^M<wL3-aXV^a{=2^|?+<Eq(X5->rvYW)eO}0uY|DLSQyl=()5~By#
ze@(p1Q2sNs!TRxXM!StqO6LCRHP+sBzWd$xMUTot)fxWP7AJlG+Ii!o(Tp3l$x3CL
zwrMW^Ve6RhKL@fjD=M6KE5DFU%I0^oRHC|HWZsFuu}<7VCd~7}<6An7{BD>hCGfP|
z&;xHu1)r2qjcuV(EYp6_!g~0MNjp|2C|ar#%TzDGt80uN?9T$3>Ls=s&g9JX;k-SA
zag!l)N;2Cip&yIU)<S@G=JJ3x>27hHcHo-F1IxcEoO_nbPd-rm8?@c3URJ2$P@2bs
z_onKN-@nE(rAqoR?Y~)0ct7U87o4F&6(O_FZ~Rl(EBx)rzK48rEq*tc7s~FLRC4gQ
zCrVy?`gXY~)5+Sy4hkY1Elir8;+y9qucJxny<~d!XTTR3@0lM%K1J6$nM|EKbw$g0
zFOvYf<DZ^ioGhQJ20NkZX|YbdAkvPG6VD^$0(-gt#?DstW&f<5>1uaes97g-wRco{
z+N5R8x+<Ag9o|unZDBXkE_|!ocC}b_vhC-C&m+8yQ@<AL%)hNaKl$gkd7#YK_jLQO
zr^Pz@@1};V@%Uz-VjCpC*+2jL>$Ms;4n5lb`)RSx`}gMQiT7XbZneEo&iy;@zV4fY
z`{pPA+zTT9)*U%K?RnjW`)`VMR1co~^?91r@lQ|dgHyx$bBn9rPQUoC6Lgf7wD7Bt
z#(?EqEmoll%!=ElvP=GYSK1Cb$O`K{tkSpEFIt#-40MVqXhw2HFssug7N@yd0+ZBK
zUy6Nyjb%aPCb!G04@qB(t9GkTUvgg6IB~u==l7jF0rO8YeBH@2<#zV@9@M=UMb)5l
zc4nvL?c57GXJ_^7*?eh9dAT|3JNK`-6?ZxQ>(#rag^OC=-Qxe9{cfGE&b+OApOnls
z-Fkgnb@f|=?DaGCX5H!ZnRVynq#1Wkc4vFNtGiOZvpV?v(|5;(cTWDg@^;eX<1!a^
z=XvLC^n6>??Yk+m+gE6<>o=2kC#_ygiOSiu05tNmX%o_tnGhEJANrz76>kcI1$q7*
z`7P6(AnIqhA^mGIXff?!bA1LyW@ZSI<S^6+Et=5}n3R`r9_w;FwlX*54Ze~R98ff4
z(oe$;S~3!sW(GP>nt5TulnsiK3eH9jZ7B)&cr$;spWm!_cD16Ys`IN9=R*q8myStJ
z;)_c2uz#s8ap}+_|KG27_&xq-dV6A>c+TIVkSV`nkLIkq^W$J##nWT+eMLOP3PM>A
z8E;@a`8X>xATVgbp|9?jOxD?dVv_<j_b$JEzUlwkQ+0j0pE=vkcfQ+{dhGk5ZKpvi
zUT-SwTD~pTtmED8-08edyK^QyESbJmWiRiyJ6Pg-l3wEZZY=vTUka6lVOfV4xwyqQ
z{`AeiH#qx^9$P+LoZ|FC#8I`TYeC~D&i?c7kDqgyG81;3=M?{n8b_q#KNRMFr~t3~
zte@s2yTyU4yW+MFyYWOP*)>Y7RwsgXs4m%1e8OWP-=m<234&tln#;>$*!N#6l&x9u
z-l`(`yno%FQ*z%A&Y#V%*Z=Ny#ciK?M{lKl(z#~#`=wm|?7xfNt2@oR_<7&Wt+l^Z
zVj^l5B$s8JSCX&$rt_&YZMw0DW6ZV1>*kC6Ts6=9!V{N0%`zA5M9v8GeK`33R|Biy
z`UfXG-_}mP^}Dp>o!t9{v3rhJXY7Ad&Hq-ide-FQ?Z59i{|>#+`ssSD_=$9#pPZA<
z$9xi2nZGq8=)@ua2{qp?rOj6WZ^_txg>#bizon;m`ZG66?%Oc`pRL-?_4$^$(fo^|
z{cc}t4#;=)-1T)XN>id_adjX{d6)b44$2mm+ehMLObusp-eqmM<I1_ayKz(JUX@iI
z@S|25H+9YxM=sRVK<jHab*2hWb~H1TO%b-<;=5)8==8xm`}AJzuC+DUxPJC7&3N1D
z%SU!RnV1%nKSScWSG=ut@=0B9xy)%_XO%`>1M!Pbp7nY)GtxGFrRC<Tcavsc{XFf?
znsZS_o2{yo!p_g1ag{sFV6}MOu1L=&-HfpA^DB+Ce@#Bq{!6m`xqGyM(c|0R*#{e5
zd@E|?oV$nJN&S5zPs@#C@+mK>@5;Jey82=%*2-+Y9`enG7pEsKboAKhu;M1GQ`gdz
z-mcJA^WQy(r@NlFm@@N2kF#pcv4xCQk1sIHEzEdulIdAQ<|oN^-Jn@D#}@K$y5us|
zjlI3)l1bsQhnC*=lA=EL92VDI`?%-u<TuWRzUeaaLO#toCuaBfLrn1OLtCF66<#}$
zRb=f&^~i@k&XsNIFV3s`$(1|2-<NwgUB+wHR*gP4(0;E=lI@UOh`f;`%f8<NbmY}}
zx9#r_N$dB&+mxyn!YmL3MH3E|Oy@P4^KR4BCEw@831X>|FR8wnio8b_cHou56JyYs
zSD{z}E2T~)XIIVozFX7Iv}{}2k_$TUigA-Jb5?ZYlf7zaZJ_d#r^WBhs6Y97ipu&E
zliD4p?dhGo$B$d}y{hoZ-K&JB+WZxnX(bn5@p#+3`HmX>+v~!Pwwj-dj%t5l`su2X
z_OA2UZ@<5~bmP*ZOV=+gx^yk*bC%cNbLL;8<?dc8{#*Uh<$p?M*wy_?A)wQ+zB<2c
z{=$FLhfy`~G3ZV^*f|W$|NO<nEEWDXzWz2h`o}FP^;IWp5A)h^%w56eBweBUvhMpX
z<dnZ;_wCo&EJ%ye-=&J9oJiSw&h+fhwii2FK_?Pz|J8EgW`);}92@4R#oYHLY+w2#
zt&rXR!58@!otnIdf>(E3xWD!0#S(-4ePK_ExeZVBZQHfDH0@c2)eWb<Wz9Pli>hRR
z8{X;Bw&t5oYoF8Ilc4$Z&Y|hLQ_t&8@!eVTXl+g2!|>fti@D?Xg+Pz&^r$^!xA*S)
z?TZq)yVpl(&5!<ZYUwkbH=)AZ)n6w?-^f-I`xX^(<Itnm)BfB!bY0)oCa3b<p`~Z-
zwg-S3+xaVtx$A#l(%QUezf^6+>oczwW&CzYt2w-*m(z5W!-_ywr(P}4G47|Dd1}A!
zI_$BlcCRIt7V;A5ThXAUNEipn9o=h+vU)aKA*D*;N-VSD<zR5lZ~Sgu=)(oSzi!L;
z?wxk%-E^UMb&?C-O=o;nCpqK$yX%QJ*F3wo=G5Kweka!yeLv0KzAN(Og9!$!)po@{
zl3880Urg-&hAq#6W!`T3c<0xli!Qv%?i^>IoY{Hg^O>DTE}q$W<bhOXeXf3NSzO7v
zC*CRNpLkC>{lr^rx#rJFq9#A99#1-befG4NeR@$|8I2Dk=Pj_GZ&7)NaqsVKPt0aq
zSubL!64l+4c_)I~XIdd>Pjhfh!76^g&j(-H%~-ko@A>esN~ynz&s(QeM2Yrs2Z{O_
zPTuZr{?kZ!7AMC{C_0g_>m<9RpZQO(OJ|?Rg7VZX&JMB77ZB^YQq;VnQq;uV&$^^J
z83!IpOKLcCgkff9W2O1z)*Q9sd^OjV&nKTf!hX|d)66x;eNwXLC8*`cMQxt>BL8{k
z43VW`0g3bVzZG6;X%bnw&fjqM`lyrOu5HGiqb`dBPHKdi@KnAyV$e0KEbz!#Cnb|S
zu}^Z6;41y>Bl-MwM%`im6CZmolFppTS^i&P%Ww9DkOi`AmwQc46zrN9Ht8N?RXUcs
z+4DU^EU1eQ?*~9HN@Q|61-dIy4_h_=`Elgsr`6#hD^k=%v{ZG#7bQ*zkPKMJW%KS6
zYF{AXo~>T}{;Yqt>+U3KZ91Mf!8Y%)&AQ7@{k!)+m)rix)#RR3g-rdySB>-P4`v<R
zpYvzi(haA#+}`bD{g*pz_oMg?zxJwqIoI{>^P)?MQ6IU(+)dPL^35L}Hk$Ee@8Ko<
z*}p657tQ!Bnwah>7kv6}z;A{B&Su39o>z7-pZc8*I>6_i;cUG|^LufMjmKr~Khuwh
z4Samts_1?JJO85HHMb8oU*L=L-1T7ZZj|%OKYg2rQlfO<tVS+THo3i=^{giT3wIc_
z1j*3)`E|!(j(XLT4Q*We62AU>VLl&mz-^S9SaQ%aW~73IQ8zf+X!Qn}-)jyO>tz1q
z4*RU1>ALb}*c26CcH@aAvTKwwtxinZrmC{m*x2*(L9t0DvPV?cDmcTB>6{e1=SlpA
zUmx?zH+i2qX{B9%@YSw;^#{G=GL6112K6ldu&(a+@%uK}y{l7K=iUwdl@Sx)BqBDB
z25x9QI{SBB-~20^i~r=kGEYx@KPTeGQep1hIZ27{n_6{s;`Tj>->@r5^HHMgZ{rm8
zSLfNkeCw>*-P9<m`djPTcUEoBf=&PagzUYm6u;ru5A#)LUhOd3e%(&u?R@C^d_Pf+
z(p3&Cg3;FJV?U~LA?i_;;Le7?tB}SCCYqkxcdQ2Olte3)z&lCvBdXud%9!t+cF1nJ
z&^z#{<e<aJHMU=0*Gp`NLtk~v^?SKm;ZtsBD>-n!-TGZ;?c%-PzD&AYnsz_VdX?qI
z>h~^hQY3xe+%p%nj*J$xu3dEG+bl?Dy{OtZYx?c%NoQ|mPs-3;w7f9+t!X9n7_Da_
z?#q8YFp;10u6d@{gIxO+lMW=`)@i(s<y=D^l-@dya}C$`BOjK7dN_(XwyQZRryStN
zvP8HJeePXohAQ$B;UE80rymgAul>Do1xsCl=1Zk-;m1@8rh7j)w}ZuQr6T8@xLsZC
zOT@Wj{PsVQ-M4!Bp2Ov5gNl_Z-cIU%Q0lGMBp+2IIOS{Y9{a#Wm`6(O0dHMQxoaNF
z@?Ps(c*g_7T(N`wZiLR1I)=3m(qxXj2@i4_7nlT{sx=#1nz8A6a2(62TKS!*iDeT<
zn&1(I?gTMM=@h}7UXHH+Djg5LdK(Ig<VJ<EY}fsFaF%UB;>Vu1yqyo0NbfkkyV3gb
z-2?V{eoXl@JQl23?D?QwT}Y!ZCY<T1VX10B)^yc?O*2`%79CR!fNlA|S;8q}v&o66
zz2xZB8eR9}nzufGT;X`4XLo}n3&&0=2s+`=A<1$gphL1{Qpww&E}+u;7W1N`Iwl;M
z{4mr%>F33HS~3|Y)fY!8884isk*I6v5S7%h<;a0O*`E8RJ^qvJ8ThLzQaziKEz;Y}
z&%5M;k?zkEF5Y>!wlz(wF}Wc0w?;_&BJ?oVLZQDsMyfA&O_Fi-3YxMnH|)mq(=u_V
z1EG60+>Cpb9!B+jy3m;>Vk){=<E87vEJZ=7aPG?f9`M<bX&-;ooz&^8JXP3iwtc#t
z=v|M~K0Dx*QO0+EqZxTi9NRAUZkhDu+ok1L;>feA`lT^)i*|{Q0t|UwvVFZ7RQsZx
z-JeotlfA2EemB?DGc8U_TUt*sa86|u(qex4?b2HGRRz^CdHQGfAAkC7691;-xyz1k
z-MF_T`_}Zcd0aMqx4pM7t-0w_e|G)Gy-S$3)a`!#BIwQKgNb#s7VfFa)YsK{KjV*y
zbERY1tcS+G&RX6-`>bY@h3)r!{WHHOR?5Hk7rDG&>5ak3<gAzSS<|h3CY?125HelY
zxakCVu;JM3ca7>c%T6)(=WS-cCwBM6>7M+Xxr_OoyjUT~NFYhNcEUn|+b^^|zaPMM
z#<k}z_IsdS0KBq>X?5~is&bbZ>&-NuUo_6|=bCw@#c5ef>lp^lnT$e4%&Gi)v{767
zC*)?>3N*iIyvdw9!FGeGT*c|2N$hntuNvn^czrm~demQUtuxF2O^SkdVxOBF7M?x%
zfWNOwz_f!ZA1(_M9=ZE>JbAUshr--x2lQPCjaGJJiD8vkru`^4IzxvlS)J@aqm|&p
z<*<xa?ia!`T6q<<g#tcD5P7sR{gTRu&4HYMVrH@2+a<@Dvr0O`n@Rc&%RRG+4v}n=
z58PJAc9tz_-mdxEsKsfs>TLaux9_CtRedmS9axV%7O4_@Z(khpJVA-!Z#U%5{WXo)
z%X7ZF{NFJ9Ooi6ZwRVcLyy|S<862wTI@{33^>4%Ny%+cIP(wO#W|PEZqwmOvQf%?b
zJ-Oyk^{S6D-ckP!=YiTAFK3s#dR{6q5ca%$P;1h%W}VDvr%P7GlTBoE6g@9H#$4hu
zf^YTHp7|vx#rSyr4c~3o`Y&Acs=whIrS~Z5Py5{zcD?x(+W!-`i+_9T-1mRO?c2Ll
zMYSi{^1!#!YIAMd@Z-<PpEEAozbW%;7Are`<j}i05jUQzi<OD%<RpIN_Wsv?ci#??
zj~kxuZ2vX;Z~I*p&|qfp*Ke6s)|YN?yf<&r_T{hMW>!`2x_9o%ntLCfXl-7!x*{jP
zGcxp{$lIT3TW%{E@7oJHbtX#T%5|oN`@}$}&irv~+jsqLqDpM<K2dBv=dUksBbPH*
zt}`hf1?lC`oHof+D@=4=Vf<<?_S)sY7uTxK<oxaDwc~wC!!JL!74K6L?)~<=z4_7>
z_e{0g<$j)-MfXp#x9__2;=zP~S!%!h?!Qw1I(J`6U+|ijNlNvi+vXIA_-{Tj(b8C>
zSoWiKv23Aov8>_puiNK#O?oA=dFPQ1ovR;zZC-hHx_VY|rvAc%E$J1A11T=e@^N)3
zoRFqFYjI%mS=CD6UYXw7f-Zje{v*=`+wIpLYUs=TI)B?gzmny;#tY>dCcqHSGV9z4
z4@;J>RoVOhivy?%S?H(%J0x7H#Yx*!eB*nR(<v`EOn@Q{Pw|bQv*^%ITR8cr<3`EP
zed4BiGdX*`Ii;sF8tE~o#IRl2!F2I=9r`+eMbrHa=G0$&J;i1HiAC*>Vtadq_xf?W
zzSs8VT(o>&NNwH2@adDz>F=r5oU-?B={bEFGsP;|*sfYDi!<xZK26?NzCA^D&2H88
z9Cz0)efH&j_OklZi%(atYlxq(u|VhiDz1o@U+VoUm)5U{wL2cLX(pT3qGP53)5<`N
z|7#VOZ3}+ehgB;4Eq(oMZt{<2DfKlcYY+R{aLnDn<}|xP^=04p!zfMt-?#fwcC^1s
zvtNg_-S(u^-t1YQ)h`zFz_!<J{xs!7WF_0F=Mw)+sy@w!p9Hm_rtjhTMX88xz)zXO
z%Bu<&?$6%5{AEI&?b;L1C6XrC=9c=uK6B=B$%a-NU-m+OZI4S_w|Q>eJHM?eFLhI|
z-47Y(kJGQ7Hx%i&{2}9fv+=b0y;IL6>XKL1^gXooR+ZJB6pP4d*<xkygMP{!{ylBh
z<_-CJJ6mhBPwKw8?VXqSUH_+L+x^H-#XRy6T@^F-O!Boq4jVe)k^lKWWM=5<|1n>j
zFN$?RW(=~z8Ur?Swah}BG57^OYY06BnQw`AaX#H6zWIUz=$sRf#wDB}y(+$5mvrA@
zJ;ttgS$M1Yn#11;u%64mAMHe3$hrKW`2z5{{IL0gHyh?|d?LF|^nc8k^65#s+n(!G
zcIUR*i|^g=V}WGs#${r+167y5Ho1~ieRj!FHSWYB_4Oy7ygS48^j)>^&fouB-qjgQ
zw2qXU2x`-d&4#w=yM42!N9RmB8<jIDLwD2i!em{u$XlSXsL02Ng(GQNP5*zb(Grx|
z7RgdCd-vt(p7%F%m-E9;H3fBgtig5pOKnf_gXua)olg5mNVPa2cK&Sv-F=aUJV^k%
zZjQrpDo767Ncv27!J2PDXHKN3nHZ_&q_CYh0lGI%qx*p*YCFdPbR?_<=yceOW}T+B
zf{ulASp@$)4Dw;A-!es|={cw}@6YyNDZjpR+GEhMhw}a^0oN|7d{7tW6gjyL|FNj=
z>LRDIZ2Pr-*IdcFPfz!}zn(jt-w9SB8wn)st^qY-o@#qeKkyt|$E1X_CJ)))KSem8
zXv(A#&YCzZh15)UP8;p4WFz5QGlaH*ryWlm<C&uR;SGVhT>G-hhvk8se?s&<nd-O6
zasD}bcEW-CIetv?vpg2)G<!ZcPef%NvulMHQ}o~PyYu7;`Bxl=e-m;0*9O~U^_j&i
z`!ull_YML7vSteX=w89HFGtgpseY#%=bztaCmfhOYw`h5hvamE(2v!fgip2nzrH-w
zQ{nI1#@1;b38H<s!$tir&J%a%bxOO#dPc$F#8oI_b2_8o(B^bTVak&1^WLD5!z*&&
zO^hDZ4lp$3O#io#hYsH7y0bdZv_vgx*?NQ_vy<_X5cB7Cs@##@&*HqhE}6PnMS2^Y
zh}u*bwWxVo=E<|Q*C&atW8Jyo$7=`gFP}ZvxY&C>T^wg`ebn=d@u?|GUW@;&H<I)W
z-1Wo*I%-xgvUGKi$mX4U_UoMb_-pgbW5(ZBWr}1;y2coOz|&dz9~+%01{xRocIoHE
z-YsWZcGnBs`rCZroqS8#e}(3kZc-^0cRjQ{^Y!<dU>h*qJ{@J+K{1sPh5{$Oi`2(G
zc2<c#cBZj?dYqsx%Gu6mb{~KG?b07mhvlb2^_{e;80p*I({)rU?-+kScFS8_Up3}R
ze0^bf+4Qoi^33o(ySMD;g!fnu3RZr-6t`)*;@_pMN9Di%X;Qxab$0Q-^tWI6F5B9E
z-<Lj{m@dn$+3y<5>-L;tj;{6nAFj7FULo$CblxM!lEwM6D+F#G(e^Cg6OPi7y?Z|{
z7NsR?UhRw0l6?_-dCvOSuGq`*prh5&z(=del}kKa{XqIcz(Lc$@!Pi;7j3Ma$$7dV
zKvFg4Si`i5M`ui_tVNm<bU1zG+ZipLc6;p)GSyN0mi_-z9ToU$yQr%F<%2#pzU-?j
zX7O!Wp38~5VoiVZHT#$Smg8IB_*~v}?$q@!_5UZmoMC&(?|b#RxZg*jf6n?Bu6wh6
zj%`p*PU6RAEcNW!BZrpEi@b5w`)uTmVs)`^d-mofru*u=$pMYhfByc<^l$tI^GAuN
zi}mm9zIpx2bQbm3=l!qVUjFi}NB;4u>aKh9R&8JY^6kqi>)_41ey`Koob}c8uYS+A
zfRtn4BV!<S@0B%ZN5)8lav9o4nCGsAdkvAt=Vw7qw^#!`-6FpW%S<igbPL&S-HX=h
z&E$-Z_1bYYwIM2&ZN=5p1$Ppwi%}bK6RdM?-Z8jgQhZw0Og^PJ`tF?)1L5NA<aS?~
zvsO9Ub&aoOf_E;ulPIj|^@Hhp_n8-6LEX9Ghs1aE=~%`tUnn+vPt9a&!HCJ$6a6P!
z2VP$G<lUXUdgc1}UKf3zv{$cu)4tN^oKG{DtFGss)HPcfo43)^EPJEpqOB)D_vGA2
znFwBP9b4SBIJmZ87C-1Pf7cK5^20h4R<D2gf7%Pb__UhydDgW8uv=F_lN~Rcd0KDy
z#e44J*aw=uICMcl1gZX=x}^KTZRBMRkPGp^<P?w`mZ6E0HeC;_u?!#Sqix{CI($@F
zovfsBYs)H*yy!oRODi7CH+j;y`*qBT$Nsa64}4bV)3D0n`*Eq0`P8Lrr4E%ovFuZB
zrLs@SdDbv#MIrl??T|Bv6V2{2_W$&p$}DGEdf;%>qlQom*L`}Cw|>qR^$Pg$e)?1K
zoqH}$ss8D9f7?F=E#_@s*)R6)%l+#Z!j|)Ty-Mt+dpo!6xv0?|U6(cUqr)?8g_JfZ
zVs4qo5^5*7I&+aXzn{wbkolfzPR&dgv!-#hOcQLGCg>7;`uzo2Hy!VU;_0rrerboU
zGA;z8i{S~84kw;Dv^nK0opPrCZ0N%S+wN`MvS;erEwFo!8#{At4;?tvS?{|q_4~~7
z`&K%?uig0_7Wiqc-^uMI3Sn1H?pxaYCVK9&T5tBxkE30e=DaLBUB<lst^CpclFL=z
z$NlH;Nm#%7+G(b%m((Y&ZL{0idF}M~T^W0m*3WnOd1`N7)a?^nxUA|oEw;8PUUT@)
z#%;TkZ8vcq*YaBKFUA|YhUN94FjeicJ^ymtzOLGv7P9NsYA(@v4>%_;x4S4Gb$WHz
zq+ro^!IRSG+I`h$|2gH~;odntwG%RezqJ?(tvYyUv35(>x}J&^+*hBnOEYm_{iGN4
ztTun&0nibryUe%GwcvPr#F6dt+#QojcJ4~btUNBjo%K+y@yR~9TTG1^8Di>BMIU{6
z*E~H{$<OHV`4n#!r$;P{^sfmuUJz=`a1&Nn6@Bz&-qrnAxBaOp(yzB$&FQp>?a?{w
zNY<yqnzO>{-XGkSyV{`gsnL5;9afH|42qqsim4$<U!Nb`x2m8_`S!VV^GgTCij;F5
zS@S|n-nv;u9PKTca93mZMBY_*S6tpA{Zip<?-TQd_inY{QQpI-8kHQSVw4=E(xe=<
z#Q!L-#!szjnHTeax=PEx3{&AZ*PgVzCvu|gl_MGL_1k?~&YXEM@!!==;qP@<PW=1n
z{fpI>huHVOZjP)LG}<V=_nCru)mz8BFIGD)9(wfpyW10v@4r5u{QUpulbJq~mK^Jx
z{M=%}`F}2ZW1HngUl%V<>aN}X=6reBjq?-M-K$t}`~2@kwwyCLxBpbwl^dI|C_4J~
zn<k!RvtuVHZo3n_C_3eKowld>!teTT?*t0oYKpSpPEk~AeOj29sQU8x<Jt!anpP)7
zQ}1!#;A)(p-E@JgF+iEGMXJ#2+45}T*iHOyw~dX2x1~=hs6NK9HC?3Tt>kY1pE`TA
zr<eT;D@~lq$*ukH5Zj6kO|AQX%71YTyYX)FZ>O8J1~;7E1wYv*z;?5C(YwXXYIm1!
zw)=k3aq_#()Aj{C{8c=oe%JqPx6f-^<=Jn#xNX^m)@slCu1jA{xPHfc%a83e_02h+
z)$!p*OHIzK7ZLgy?b7G-cYi$LSFdf}+!auEuVBKPJ%vivo3f^E3O?e$7P3ewI-8qg
z<{Dm=%xjCS<`zkxxTmD-p6pz`-A(z$fyJvrR$QHabBC6exzS_k(%ZY5FHEcvuzJYV
z()R>(XUR3$6pbU>-g#ZxA6r_ArQz&pz9}2InDdzAu%e#TiPu!1cBNR9=dQD{*lyB#
zcJa-+?z*#{X-)@&4mG7V?0c5du;-k^&DVA!=xvm%PxHgi?!Q_pw8k$w+W2Kg>gvyq
z+ji~TWc7Q!PT3=W+20az5jB_N^7HO)$!*OKk3CY>u0G+}wL_0)fB)rK|B2<=J+0c^
zSvQ~Y3GKUk@8PYNvg=|$t`C>J-tso`Vr^W>e(~BZ;(u%Pe@V#7T%GfO@6|v2rxW;o
zZ0lq`bqicj>ax4M-Nf!?_SwO6iy!}+DK_vScF!*dlG22Jgx80tHkOzr{8st!>xEu?
zTFw5v-8BNR3$Z{o#ZypCp%?GDi{&1+RgafcKO9CLD0%`tp<UrgFzAqWWh}#$DRQbG
z76(dCo_XQelnuR-3eupvOcv-gnxmcZ7m0RA`~7|9o=o>QnyWR6zxSPV!26q<N<sJ`
zQ?qa8x{bSq)@}Ugf7bJX^>@e>7IC|XIhB8Vebl4_4}<%xcbyfg2qtt&eLmL3Y(hU!
z&YpvX9po~x3U8AwXIjvP1ZKK({;9xnnV34-K|Y|9Y2cTMMJqR!e~D!Z|1<g8bXV06
z=k|F&;Htk=mH06>d-{RaqvrZ1DUG+i!|zPLt}nG?Lq+xd^*jFm+!tRM9~Tp>R`K%)
zi~gIPoN<PRj*;_sscH1D%x((WozpbwtWSWDX<id_6n@j}yr$`Yj%x|m+_}Oc9+lJ-
zYOyXM)Z%EZo#a)HnT3i5y$p(p%n&5WVb}{==hquB>7CttP&4X6E#z>l?~IC26li4s
zF1VH1{AbA(-xReHVbwQkY$tqqCd`(YQEnc2BG2f1UeJ_(J;my~IoWRdnw|44xnN{I
zQMR&a_8#j3;lDLPrm(peLE*nWM*1&*eKnuw5fyYHl#_dJF|>QrEF%5&sc|IeL>J@U
z?=uMZYzlwg51q*xdwg%L?XvSO=9jP?-tw?Sd9BLc<6mxKn_Rn>{3`~z&#KAI0Y%-D
zO3v@X)}lJopYA*T{k9bWCw(+RydqZcObC!vSZEaR&hV}rdZ}^zsodp1)iLi3VLh8_
zpZ9lP%WZ#j|5H``gTgz>RsZj`?0>p-!;i+j6Xh<SYssJew^q0+-SvBAV5Z^PAGw?N
zXZiiG%Q)1YYVdORr6v5?(cAZ`^sjtcw<0!A-xJFcfPRT^PlejsI@_nqEYCPE8`wNS
zvYgSFL!ihMf+jQy7;{WmC}7N?`tnvWc%=mHxwhxn=Gs8>O4CGk?rm|rHtFmYA=xcH
z-s^77z7n^q>b=!1Z})9m_NsIrdb>3Hj7e9^w@LU;JDdG~@gbeQrLT8wU%$5`yhK^o
z_#vc6x&=Iyb|PWdL^er3^GjZrZhOaLJ=W~)Qhk&$-AxS>ph&~>`x<?0CrbVNxaQ@j
zT1%}EBVn$oLSkCXD&Tb;Q*L|5qfL)KyZgAPI`f~c-uCG=6KwO0ZP)d_U7CH_=H0FX
zk9X|-vT!eFeB!NbKkD6fdG8MU%Qm^{`meX^7JF~>XK(*0KI2HhlD%6tRQ!$m&op^W
zhslgLOHH5Fn9um^d3Ig({r0oJH|#03_W3j;>R0=%r+kxBjCrTH^ky&6d2s@C7txz#
z)er9d-+qd@KX)^Go$0QN(<Se3y6q<4GKC9*QWTDu{ZepN+;%a1(!0iTcV{faZv20u
zOpq?$(G=x?qN$Tg`2SR4EzbD=xP_cZQ4=vz)k$IVIKeYvf}}?E1KW$6jD)9!9!lj6
zh-41A=J4&nn?{Kg{xfnJ&2Jjlemqb;O~@vIb#)Z;`&h3F2U?Hzzlq(<uBS6^Yi*j6
zhW>q*57ORxP2Y8Qa-8bU_F}QO5<I9~rPpNc=Mr#j`8v$mbLfRkZ<cjG*k4;Wm4olL
zPSbaSljr+D`THx23ur<2C1C*=0^RrxzLzIlswGUSCG6M#^!KsNDXUl2+@CtN+We`T
zMYX&&--Sv2uTJ0V+IClKro!Fj{W%A37xLxKxx9U`zsv2rWupDx-0r+6eq8rr-^Gja
z>lEx5Tmu6?FfQ72@H@ANYoJKYgXln!z>j}j7+D?jdkz07f40wF8)>>(D?IdRlAD0!
z<~rl+vyywg%Ff-F(BABzaamzXrobuC$mkzOlZ=_1&1?%7IBH}Fa7nc^u`OIMHK&WC
zZ~dS4cK!UMpQp-iSYK(hdK|8o@1wjZT<`ym_ePg~Wix)td*-08s5kBL)q0Uo%bA>^
zew?f4Fa}vNFDYURdCIr4@7aZ{IY-aOsCLii+vfA9OQ%l4M(4=-t!Iz;vkP~}uQvO5
z`QWZ2@1ON`-`AC`FaK}*ecx&MxA)2$zW;w`7gKJnTYJi}%kEy`_unqZ^Pl~(4d1`r
z_SV(+4B`9F|7Bb~f9K*oKes-&zqxU{_wSZjH|FnL{PO<(9sg=q8LR%aR{8t-jjz@6
zr*+%=?)a}edguLR<?p}Gczw@4U%$=yQ~Uh>%k$ltB7F4fH~sMVx8cK!v<peE9xZQn
zmDl;HaKWxxFlW>E*y_5e-+7PS+rGK*-uK5Zj>V-N>b2#_ed7qZ$_~_?xdCd=9E<bZ
z_5H7k)Rr?Xj?DoV6huroSb3d5Owj#y%P%fSRpWt#DlCA*CcUe*?`G3A-Zz7lcTsob
z1%;FwaO7on^!KWQJC|1#+*y1q@^9spS1D>+tW<MK*-pITnQ&Ed##gqx=iX$V{kSEt
zdEHc7-e$GxS$t{tE|&y!FZW&S_<d)e`HQf>aud#%n|)~9y?o7X%jN$!`MaJgn^IL=
z^fW2`?N9xZJ?7t!_Whn&ad^w0H{P<}H|v+*IiL4>$9&JM>u=6J)KRuQTsT>4)|&l`
z?87Va{gh^HS`;}+Tvqp|LV<~F&Zb3wm!DoG();gD#AlJW?+#DDKj(DyheO}*8ojT6
zWhQ(#`1U^O6oY%^%`fiV`F2-f%loiN>r(f3oN7ArW2J6}0Pl$=wu`4XEO0b&;g)Ji
zVN9{$i}Ktx?XQv4mNOL&VkR7!k`UApw+a-F+Md@pRWEWp?IQsXS8x!oO$pwTQ}gt@
z+wDT5$KiQzEd;WTYd$QS(`b6DFw|mQWvE5=jG4~Y3}kcmwu!3pUUt-#TGni8;=>+!
zcvIWWgz7D)xrz*aPA~BLb~Ih?8B^Ku#HDUG!>vGs^-{N+J7x2y)C!n;1}%Q`&2MF(
zT6O)k4R^Io*4X~v+PD4WzIz+X?X!6!=fCOm^E~=y?tyK$YUOo)EO?XH>U~4;o}%>?
z>y-ALO|ie(*CpJ4;p2bs-J2avd$Z4pzL_l>ZV;L2+U>J$T6D^%&xOB?t!KzoH2wQi
zQX6x3U&>3b-&$sAajjY53(tkV=w2UiQ|rnWuB&UDWLF0q)XLhndikEcr`CO%|6$bu
z&Ah_+lG%F356xs-w!Ku?;>*4;*Xl&V9$$9JzU?o)F5P_;jxFtNI+^$J^@`{dJ}<-+
zo``wf(B(<l{v!Ob(|^;Gc?+9wmUb&W46~6<*?uA~vH6YIuZXhl{L(wR`|fJ)JbIpA
zd*{)e?NjeOTGzMxXt|Z@_8nh7Op4y~v7o3s>CpQSoolIru7BfK{D__0cBu7XwHgm=
z{lZ0&!n2$H)Xe#3W7Mj2Q>d%GUGdHTgsF-bwr?<hzc}!_yZI3#;k*vV3}+rE=_G+$
z0?j<fq?0B*tZ-hVvRC}G1Gds>$@io>Vcw{;8bfX=7xB#t9AD%a3+%eh(<0@eaOG>z
zq<7x@Zq3tX8o<jlaL$W5x$H-f=)4>G$6i)zRO!z-)AIe0=ELfTJQwyBu)T=0V6M`i
zBXYv+^gpv*YtFQs)_R!Adtnn>MkMp*I_|iCE3Ulx9qIL6{eIK)<~Ql3KO?ha>y|Y~
z{mGHq{-9QV+O}i574|QV>{EH%Ua-aVpUCxoE9o8l?=Pz6X8XRh+aq88$8OL3l0BR%
znj6(7#N6Ie^KSXGV%^}B;$8D+URK<neXl*d<*&TuGnU#{F`sNJHhtqd?wnI5KhI^0
z;Pvd6_1f<aPj1n(iV9MhX?ybGNjr<9?8|>G2otpVaE(jQX4l2~$v?|c?tf=i1l|13
zwQ;Up$Gg|L%lQ{o*WU)ukUcL+ay;GBp5fU1;**#O$6Zg3Mbi@<n_nD_zMxQ*C<dw$
zY;-||*-W;DK9KUPqb<X+8FGc<W$V+sHy2$HTfu71<uUK|^hCzS7pW(_uQvzS3GM!v
zbv}0ItQgl6)5>-8Z-+5o{QA^3b-G}5di2aBQ9hq{J?B1|?Y#6PMa{%gHK&NpP3^k}
z^V`S&C&iqbH#t^sw+!3u6HlX5r(bTA2-n^{hi}vAmkG1<mN$RhJ;&^>*~O<_S`#PN
z3w;eVYthRWFUdK5eO`U(@%;}A^#1(0;#a=?v-Q3B>Lv9JuN=&`H{ZEacQ3Jc^X0Bx
zHy=LA+qPoQ;;qlu&-pz2`@45P&Q|Y#;dAu<t<Tf9hjGkd%loHxaK`?>n;!pDTj>8J
z-$LzK!S|9o58u7raro}_!uH>x>7nh1clJxq?Tq#7n|tM{O53SA*+sV&9RC}A^6?Y%
z{Vy_3vUf_Qr>{Br<lVl#r=CdNy;L2S{`0O@;cC6}yxU(Xq+}}WI=C%&_oNc<>bj7M
zlw<z6hnStFu{j-LHj-fHHIiZHT_m37sO`CZi?~bkG)eodth^v;TQ`AMhD`ymXz{L2
zM9vGm7n|4?mdSFku1yiUU7GHObg{O#V@CA1IYo!xt$A_CNLX)8i@2Esw>F3Pw&y8l
zPtR&UXm)8&lCY^iyG8Le8(AA4)Ad^o&t5(#mKm3re=N;5O!Ds2{IBe@-@Z&cmh!&M
zGXH9)SHWsOKJ&Z6hMU6X+T4yRP0CcNdHXZI{LYVe?^}+k`=uW%KN@rMhs&NbKaTJ-
zJ&u;My>N5JSJ9=vbp_jY&TC0qr|#|dq{{Wq=eo;<=c_k7p7%ZAxb6Gxb3<*{uXuI!
zq~W9TX%k!yPCk12tQF@u6@RB$yPkNuiOcG0?#jxmj<~n~--bh<+P=82<DX%ATKYZj
z`M!6&scLtmwI1$W$aqUyi{pFSH<`QAY;r#rt?)U~v%2{t3rD6jN2c@%hmMn=Wqlz2
z>`5ipYYIUj$ji~f14dhTUGxt_YNM%3c0aR3E-p<JxTRVk3c@D6o2B2$rfZxCtK4U@
zEu78{4*A&6OE3Oko2vHbeiY~b{M?3r+rtF@<>#K)-2H6l#nx1{m<Ue&oCdKl0ll2_
zGfS`ADC|xvJ3DpV%~R_-9m~%0?o7*0i@lr@c<;5Z*xKzHn=*E3f^gbr581!#HV0XL
zwY-*Kb3?j^eP{lu%(Le6FZ0%Z-m>oZvWR6rVffjO!y3<L_2nlu?p^Hqy_d=GyUpI$
z?=%x<ReLF1E;|3b?5?e<?z4I6C3kJ-Uyr?ND|of=m+|lAGUglWy%<vePhmJ~uexSp
z{kAu(8|v7%Uhs8t{UH7~(z{FL{cT65_~Q7fEq1E)`#mI=dt5xds7}#%VSK{`7~*M}
z5#PYmIwM}gbNZs`>+e>_#<|UVDxPq#@q%xU!o7A`)+Ku$O*<K0Qq~^7B(wG4Q{$69
zGxP*}TU}Y4UNT*L65*mCwfJt>%9OIa)oTtJ=V<)oc1yb-&~-_?XUm;{C{<@oA)ciX
z(-(>qI$v}&o6;uT#dqyKZ}8li%ND*!xZ9>wed|@(jJy!7{92nMM^m<UpOh1y?ELrK
z@xy;sKkir3)l7R;?Ycp--}2b!vxZ#Xxi{{ddS7?Z@?ZLkGbeLfzARiE+{3c?M7*Jp
z*CADFxoAbs<0e1rj(lDuT{)Ape3`<QiR=sKYI5X0ab&xp-G4Hm<L&IJOTJHxljMy`
zJA~X?cGSpFeObFC+_ia{Wc-;Xwu?t~G6eSWa7(qk<6~WDCj@HAtW}Afd9EKh5rJBW
z3L;x3MZQsvy>L_Z=Q{qGoVP8#cFav~*!TJ{gK06(l-(Zd`}DMpA8zuhh-BWfhB4~e
zfi>SJeEQq9IX^?wRwZ<66wlhJ2^l-vpERpUN9}B1(j4M^`Jf4JZm-;=zvh#+O!J$$
zymx=n6V?w~zWq5{yt?M-3GUq+*GpJ#e*Ind6z7|LN4wTb2&zhMpZG%Ct?YMr&zsKr
zqbL4rNycQ$o>ku4wAbp!%e9L)@49DYwfps2WzWv7eNS$w1?^T^SE_HcHd?MWp?&q;
z?%nsSCjD7=$x3V0Ew`dGoWHK@e6nuhn%H+zo;lY{Cv})Ts?z=YKGJVZq6%kh$(AJ^
zM%9xRPlhb4a$KwQ<F;+6QpFdZ`<o(KnFVXk-a0GTT~sNqd7if%_5O=&bq<et(rheF
zjEw;fUYA(kl?t<{oabd`TG-&Ap`iM*sO%vN<H_Q~Af5o1$4RTB*)q>v=idG7ILG?W
z?YF7N7Eh|b>F%<4QoUTc<iq^!<_jEcyQWvxrk6kQ{*tN|!`3n_@X%?A3#lrSi&ORb
z^puSs8Yyh)5sEw3pl7@>BkbX`t(QKXt=p`h<+^fe*c2CE_N^VW_^t_<%BBR~7F%>}
zmG7034ZSXqJ2BR7Ih1cPaqYb9nG#)hAC#A#-s|({Xx@kF1xux89x@Z%)vj^pc*^$N
z?_W<&R7=^UIlX+(w?EEnJ%n>B-%aYfe_q}F*58`vCEiwHD!V0=Y@PaVuI~T+<)Y3T
z)!6L@k>_>ZY&!Qv=grdC?Hh`B=OyaD-qpHyPqx^#dG)T>_aFJcCl9n+`Q7~6xA<ne
zZs3j1slUC}Xm#Q~{`Eb<bDr>C{MU2lM_NfAXXzn_6~b&za}6dm3S4HHu<(6Pxuoa!
zY36Ro={QTPal-9}fMCv+J8mbf?k?lHekWwrA;-(lCuLlAPdl`%UnuXF#DZo0j9I@V
zW_(@dzfX64r=H<1-SsDY<#{%FU%B_?;z6^b&P<cqxSPINzxJ$>-f5rTe}DdV>$3WL
zpVsZ&+bI1dYV!8-u1S6((mUMOcWAeyJdOB!^UK4U%k7I^{oB*DhOynwx85#J-v7e#
zb4yF}yE?2DTE6~hZj*d{>qK|T#fy{p{XQLpw~4k^9Dls(O45X@i+X~uJi7EkFE-6-
zD}y2oN%oY#R5<)mFV=HcRE!vJ6i5=BVS~5@U`UlWsQdKdEJfpmQ5uO`4IHu(8?GF3
z2<u)WCXurBL{?(+8nNEMZthE09$kGM&V5(T{F07}W{%i;Pjha|Eqt3N2rSDJ`y>@v
zvgJv$u6cKJ-%6wI#hW6h6m~_%2;N<C+9yLyAq9q-KdQ;5SlnBp?P=bvz1R^fxoZY1
zFYHoBMyIreOB~Y*L-F71Xqfi#mdWOdyKZNa>YdLzO*)iTn7AwQ#KO`Utt|$nn<rd9
zv1qZXe9q3uKPNwirhR;pBbGWRNi5vm{H2lbELM)0P;??;k0-lipZQC#OJ^U=%D7-8
z%m(SpDnPrn+BZcuOT_=2y-0rP1j+rM4$rvYt~up{yC%<*{-7_b3m5*Ml`{E)<7A&j
z8Z%q?vJ5$8*CYyAolu+Tdx)*kb4!*nr|c2mMGCzdcNFzr?Q-5D$G6aawo}ZV(pHND
zqK|l&34lBO_ilVWzdQa?Y5xz~{}o4<#)@|`yOrCQnkNVyExX!rdiO%Nn2WmEF4h0G
z$v&Jecl!MCm*=%@7Tx&c@AJadF1WsCnqA&xexEzv>{szL{&RbEu1(@lMf8tnFWMr~
z4)Gdt<idIz6Ao55^BBqGcT8PUAM;lix&DWag@jG|H}TtcY@G?uUHW@L?c^UxVkRAW
zX^zbS>TE4mh6-0Y85jEXO!ED>RLe$pzhwH?w-^0-&a}*xP`%S>=rC7;`AVmu!Rxs*
z=H8s2c5i;v()T>4=Ss+@)ZcpdZ%K31pG>K_Gqe)}vrCfJ8TD#iyV{vpA7yvFSNH$E
z4RgcTW9({=+b_}k=<#!dZN2Yt`z3Xy+n0o{Jt=JxQMrEop7UWZe;vPi|H{|?)%Qzw
z)W`fid-o;h^d$lJBF}pI?z)$B>8ep_>}T)81=A*0J=`Ju<z;bZuFRTv&7_VDWut4C
z{?B`QB+BxWcPuF3POd4~1i4pcMd+1%|JHB?N?(|7nR{=#`+ntJ!qaC4$UAtz5ZmLq
z7AFe!2!~J7%X;5~t&%GVtPVHHnE4S@Gsd22VpDw12t$D&O?}uj-4xtf`0JjqDcaTK
zS57bq?!oAz={5a4y?C}pldG5`&nAw%c^uoMG@6tmIhB4~%X@Qr@zJR%#v6}Kci+GE
zwAqUM1!~RJZ_bABPu*@_D<XQu-Ow>~t!Bppy%f0}$87?{MR~8d9%U*Goak_Mai-;i
z+q>U2OkyiOP+oGEQFVK^Rf6EJ`7*{U|DU|2{fB?!OlB{a;Btq`T3t~0Z2b(~=?Cur
zSwD$|@835~_qVyBUJpKLuKFe}Xr2@Pc<r<UufyJbO1*8X{@Zot$G^MYesVmq+yR2v
zAh(<=EsS1Lws>LWg1c@W|96QjU2m_G^E_X=_V)GN#xH(ep89F;MUfLeGnfRt7&(?Q
zv;;9YX)>(TWv%*G;#eDR!fNz*VKkS6Nr^Wj$4)s2>QGzPC-UOw<<jrJGPqLId=UB;
ziZooA#$@|n=hWxli^a5!7arA0>^5}}%WlxQ<`6m0ULZCs?NI8}58!U_mNkvfPJCvK
zocH!auF`q#ck}Blw!PR@vTM!3)VX@v<y{H?KfYVX|8FtxsZVnj-FYqi=HWZ#nhn?4
zf9Di@d3XHBgN=3viu-QXF5#DZ-hBMF!r2@0Pn&sHS)ZFM8^_LDR1qxp`+Q2AnVgl`
z%=nid-oM#(IR1Xr(TDf1>gF02NE!cJZ*$A{-=u3=YX9Hqy|w8o{rPA9?(?T_-+C7H
z_utN+$6s!I_N{(NzyF2f_ScTvUp!v&JpH!$fAjtI{@3Tm3#FAdWbamf{(gz&|GHY9
zGy5l}{Y(3m_D!h!DuasH=Ktw07XREgb6@2CP*#;7<Ny1wxqtq@|Kjl}S_kfH{;#h6
z!(Ll6&Hnf+rcblLEtt6am!*yAuakGO7_8#!$zoi5=%D@YgplBlP<FoG|5vSI_RlLS
zebBZ-<Ydq5UQa=em4*;>!lA=c@I-)zY|xVD{_FW_3}!LS<O~Ky;6jmx04@g&R)wug
z9nQ~>ll>8Z5|c0kJaV(vfOOuG@NGQPqR9Z)Hic=zt)K<Z=O2A_pndTyJ>!MTv=e>J
z9cJY<nA~;PY4u^^i%mwtdaGNen>w79T9C^7<dwrZzJv*@nRi+xG{?xExXLK)v)Za4
zHmdZ&-s}+ed)L3dYM7%ICA(wa?yS;;?cwa-j;v-remz+B#@nlnwjW;|=$suYTTy>~
zHS;8c)qFJzUSDPW8M|h^m4drpl<b6vHC756mxQpZT#8!F3=gy0ORPRzEe3^-R5*K+
z|1Z7yi?Wzaqpvp3UKFHayv|(BRbk07C}LJrb5(FwEcKW;&wj7fhl|+LZGBbggJc}J
z;mfN7XD^DJ=xNW>WVm=imsKG|mSN#5298j6hpg3nFMg&kw+d)wuHLVj_1;MM)^4F~
z<;*GX*-qT!J+o_XzrEtzw|h^1yLakapX0XgZMS#xNu|9vFPZ%2Z}Z(tVhdd5uiwkv
zEm5m1UaDUov)i`*S)W$%{lH%rzU{XDx9}~0>0jsDm(FhG&+T63d+}cR6uX_Z$=B_7
z*5)3!-}(39y85E%OIt13{!d&d{l_=fyyB<sjDz)CW+!~GNZSAJjF`T=f7VB#{>VK?
zHZMCWZYsU!kCK?I?$3nT9Dn}r+2HkHzJ=HiW-0X#^6z!_Z=SZ7^?G9B?#U;Loz)ow
z^9|J*SDy9!^5<pIzfMj)|9R4JavZU89=X$(Klc}Z@9$So`RUGwPYy3WIb`j&v8~?~
zQlHgydh+wO>FiF^*@JFBefjgc=9$N<_if!=ZMP$9&vm&K#&x})*sPVRdOyW&KFv|T
zauXx(tH3>HmM^}4R|lzutb9^J_w&pbMn=MV%uc5@9;WiVFuJTI5b{t`><9Cv1Lgq_
zx;gio?D~^>{<h<T(h02lW-{Hcy1}uBZxzcvjki8rw@=@`Hn&XnLdwnV?a$xaU#X2%
zsoKIE`R`|ASc>>1qorcu+aLR0bKP;=dJ?FmeBye@_A`@xFF&ogT(a?nm#_XLS=m7O
zg~#7qJzo1OqfCz}qfBr0thwFG*Ka7czAIc~P*n1}@bRpF8`ow3IvacaOZ2XFFXi9Q
zTDJYU{l%~E_x(%RuJVf2^VcV1yQ{vx+1^Z?C;rd&#^vip-OpzJDqcE8d~&^G+1hu1
zcbbRp_-=UI?B-s3>&AO0ik<f|D(+=m>6`!M&&zjzccO=Q`TO(!=pkNRpNJOX=5OxB
z_w3q!rezzK)3#MDxmO)-?%gjkHEAa2bjF3LQ$856oqG58DRR)Je18}ISZQ~8S-xb-
z_iK)2@88@ryHWS9Hu?D7-}jvF>|H<qz+U?+h2N(=KD#&n#gg6M*T$CTTi(0#`|{S^
z-_zaR>8_qtU0?S3?(bsd@BjXs*t_4L=)Hd5o!{Qd-~WA@_3rP3#oH%Wdz%<Pxo2Nr
zKebx^@{|6p_r><cho{wK+Z=b<ek@n5<g^jT-Az130!dsfMj}D&OfP?4{`*J!B95x5
z{eKp6f!3jRG>E}TiN~otxA}_Np{jo+=l9!UFAVpei*gX1RviA|{`zCWyFdPz{&DLI
z*;huw_ZF^ec^_czP`*NXf%O&MKT{cQw)IT=TU8P{lXH6LA#f>oVG~=)P06y~e>Q$o
z+g1DX*pEd|zWqE_A)m7SKGXK=j=FjGZ>8ng_ewv0Zx!=hMECbLtIdDAq#x(Mp0-WG
z;_N}IU-uqv^N)J${eIu2rE}c_e?|P3tFFH;e8M{K>hW9uvyc3We!a`^-vrNnU)~9-
ze${`qQ}f?y<;T-oW`4YHXH@qyc1>k)O<<|>9+}D}IfLmn&U=m&J<^zF`c{6TUl`*B
z?uuo6Q4^&aY>(%4O~3wT(PCBCPrt0;qq_;htC;0~q=c3}cu`oI;O@MNIn=^+$@>ni
zDQ9-@%yep;;L!|0JS{Vv8hKi;EDWgnS2FRRn+dCt@G@w_08&9@c{k3pk6rS<XPHBq
zO^G*SM_ZmIgW^Q!d6_GHmwf%RS@}|^g}~i>H`nkqr;DM77G*cMTxaN9*BB{&S2nUl
zT4R^1XGwHqiQ$Pww+k*_bv*3yJv&zXZm2!uzpq>uubO**jH&%UEw*Rh{_dw?wM>6D
zl}&x}PNSCTPv5%j+xK7m`t94?*!+C&J(q><F1m78FC>rK^mfwbE#GFx);`yd$yB|*
zY|Hi%p($eSZ?4ae%zpa9b8A?VWng_=aAx<c(y+SA-F?$)Hu&azJGVac=9>*C_rA+_
z1)Tt4$*c%Nl09cxQX;0$eDU*g_1*h@%N)`U!79}$pvIC%uI7c$%e8;SqSOjcmMcKe
z)}Jfq*~eboFN~vRm35W>Z?sRkv~VV8Yz*h_9gIbV%ugP%o!a~Ez3cR;GYcYB_kady
z7<97}u6Z-;yngqHki)yu+X~YeN<MthVl>%!{qNemAkGK3?_LsPUOrLEq52=U?EBL7
zzeL}am##j=ApCV#`(<s$Ehd`Pr5i5qXL)BGyZ`Fn-Ld<x#!f0(()Z4?W-}M_{nu6d
ze}C%}XWw`K>!XH0cGq<HL_%8`EsHK4YJjAzZsv|H*O(grc!|mCYEHWTz-*<!pTGU9
zwOE?f>V^LP7OuGwK2O?<zoToSC%;GTrNz(vxBr*-K`*3k{x3i)q%N=h>n<EK&&GU@
zjleFO3Agn8&+qqBmXH71zj(gObjkg0mG!cMd)+EG-%M?>-<|8wTqUCadGZVQBdKU3
zD|J$_ZfS>79Wo?U_w+Evraj_v5w+VQb$-La=l+Y#FFxr0<5?n`(tQ13naPi^T(<Si
zxhWs4Rv7(Qw8dC6M?XKh{8p($NUHw1`%LOm*0&nBg#B09<*~NIs-An!kE<2#n|`TZ
zbltNi)c&8?j`O8T^Ws#ztrpqA22j5InLPK?_5-yWgD*j<g-M`lVcx&yiOc_Qcs=jm
z;)%=uOU%1B@4x4D`_6xt->q7<?@!PC((RYkFRIQ;e|3IpSX|C#|3&lvCrquDznb#>
zU*r}|O=CT+VjniATRtVfbGTR*-A)fy5Xkas0_8}Ds(&T+|0{9i$h!~U<4UI0|MVAW
zPMB%HssK*AkcNIxG}o6u((73N7)5bUkldfOIbC2=x@yjOwo_XhsuxYtgIC86JH-m}
zOC%8$NRjS>_}=aq?h{)XB%eez@D=GQTxZJJuykufs_E~v>?_CfE9L(`k6q8b=bgcN
z?mKtC?mBR^y}h*Y{nkA*^`Bc+l<3_I+xooz;#aAI`n%(lcPxKx%Jj3UV4dKfC8@Ht
z*3<XM-`@Gecbjc}{`J?a`gV6-vwkn#8&_~#-e+-~LU{2Jji$YeIljGoQQ2Pk_Ca{;
zdf7cY9&cs7c*l30?4Mn)w>JOY9cNv!;Puw#`z3qJJ|tYvX6G-xzDoAbw%v2H*oDsW
zT;==sKGr?#3g0}Nb+TKegREB^Dm=UHkfvKze8};XW7}UYOIFzBn{T`@`kCX4X_cVy
zEW-&4Cs>vEoS&b!WPcZOxp#3%1ee1KYhEWeuAu1hC{Qw9d#LCg*Pq{4E27%W{>lEe
zs<PjHrsaFF_QT&hc`y9E!}g*skGWnop^bOLT;30fi4AKfF+TgfvvvY+0XI(oJ3lw`
zoMV6Q?0>zBeO;CP_u{Ihx(?Zk`b$=)_nNV~F0qWc5j}73VON&*rayacyRMXv$vK+7
z-T!s#{JYbZ{G0jj&-|}}Q+)k5AG-13%HLm~FMs)1QETJKKf`;Uel*jH(|1iiq`z7q
zy3xG)oPCzYr(Mygo%0(kYkx1cUvX)ddhgb}bmuL3dzSCDe<f=qyh@y7B@~@Vcq6ND
zc-J21^YiZs)hS@FXXoD&`sa%yp~fDNN3A*-*%iTPrSE~Se|UvHv@c@PGhW!BoychJ
zz?9d(a_7Jr?jPrL9`K5M2z$wVHS$311XdgF9lU8C2mIfwa{eeb*L!e$I?J7N3ccaA
zo4&+;EvVeATR&}=ZT+#nmzI^@!kfa`t@l3GI=P|mwWRI)9d_%V%U*x}-R$(0_=IKq
zY<{_<mrO1@F1_~C?g?(I=VgdyPFMc+`n+=H@r#qYb{{Ezq%lu-dihUNU8|^12hApa
z*X~<0>ASkw&$@T_{XP_iR_47>R(PfJOj%*;&yIQav1jVd4?aCveE2l;!qdzv-+ub?
z=jElpbMk(ni8<BYVwtXfo>!m0MUQ{Uw$qnCuMeJfJiNBbyzKv$Ge1mE{eInXLH4-U
zkG^E)r@xoazukNH2BL}V@blkG<3*=W`0U`}&|Taz-EhMD`R~FXJKbNrY0v8go}c2T
z?0W9%d9QTB`}yZS={297{=Me^mOr0&C#477h`YD#-Imu8VGHM%{F(RiWBSVaJDl%l
z#=YHq#s1BV<)716?!V9Zelm!!|K{i3i;vF-&A-{5Q8n?+i;iC$wrT6_-d%Rz{CTrm
zncY8~uQyYzw_mnB$gb?ZUEX}%OMR)#U)|N~UOxX4b2*;LH~;+pEziF@&%dqRStWRn
zUw8Xq`Stem7xepIKOXvJ&x-ZS^Ddsb@b`ke?Y2XE`|Y-!ykGKf&%1XX`vbsD!<MLJ
z3RjF+7f#az9pfzk>gsYWdG7!H^K<uL1>;0;4;NOTE!hSdlaT$#ic%k6F=bN}WLvn-
z&?8rA&2#_nf5hFe>%1Pxz2cCm`MKl!dyIGQR+cTA$!Yz>Ylm}QgVhtZ70!7M)=wOh
z|E)pm_nxUrwyVEfDSUU|of-OGdGn<|+nvbU&ziTsIqJ^7t!MAlN%dB4{J~tZB`f(~
z>RwPyZ5iPnq%fiOlGOc@f1mEL?f#s#W$Ly2QQ^h^CV2i^^*w&dmHjK08~*Q__|f~&
znIGq+CHMc)yOwiCJk+<+@)L_~g5FL|{f%=hx>9|AyDym>%J7BhlJsYIpIF_}>L&a0
z9}hz0bDT;al-37^%2s&&+fpLA+~WC7oL3xJwRoSO|89<c*D{ARr{^r-?(LH~3J|o_
za{0XavN@Z%*!_MyS|NPO=S7Rx4Nl$(!cq$ACI+wlX4vcAby3&x`g^Zt^EUoX!e)0k
zOD`CxPmxP+65hAz5U2W{$m)7e_U@qH+cUl_UmBmfDtyN?&mduYU0wgX>+Snx*3Y=}
zUZr7|)o!VZUDr>(&I1j=Z|l5f`@B9ZQ?`~fEZ9yrw)Jt8`8~$@m+$UfeJH5lYT3l4
zzkQ~CKVCHFwukJph0p!p7w%KhiZ&AdrN;3Sgia*rL@TI7D;(YxwBWh__p{S+#CrO1
z^W*z_ig%yh{mpCRl$ilKQ#V9NNpwC{=H1f&-#f^=+HdFSpPSI9z0d5r{ET10{8nc8
z%i>oKJYk_`*12U-(r5NuKIb!kbA)R~>1Bm#?z^fT=Uy#4nDgz^wEO34T5Ss}OHx;F
zIlRI0^3CShbpB8Omd$agx#pL|>t}h=#f|%8-i)jB_8-d9H&`8O_vQYz>$|TotA|E+
zDh1{WKHl~9+kK%gVR=gNC0mxfxaU0S?=`WNp@r-JEY~`^RG%gLUhP@^{#AWvcHEfp
zs$s>AEi+dfoAIh4Ae%Qu;YbL3ipCPYs~0~nzkmHtR|eJ*yZT+9=ZpI`p80V!g3H09
z!qKC`@k^5~t3uVmX-opXF|wehuJwQI#hO^#5Sw58S>Ls4`<a$kYg?|~W>~qsDPZqg
z%hc!g_PaKmY2ns>*vfn15Z9sBx0ajV{rP$CnVMC-w)K9#O`l&YSl0*7{XehI`v2<c
zrLt{vQ*xiz`<|csf9u{!pHHu}E(`y>sjckI+uEOZ7d@8G*q3<D{z6Up@z)GO*S5T3
z;7E9{(NMdXLC%=#!N$c5eOD&NTzYneJudw#qssX#7V$-H3Hzsh72$ky!gDo?(CN;_
z5kXq4LYci&nQC|HT18$`eOkJ`mWy@!|Lo9(4f|@=RJJy8FRD{9UMSx<LA7~;YV!)`
znevT1Ei>dn-Jyr>RsX&?9b63!gC!jbOF9%v)Xg}SGC+zRZr1gkXuX8J3EqqyYD>YR
zrQEFRd(ot;L>sPr)4K5aeA2=PrGfF&XI{8Ib;EjTh4^rRU%PCV$7t*@Ko7v30TZ}c
zCC=0=T=2okQCpnL;6!UvjK&1Vx2;W0t69>5H*L;1|9?|*DU)!Lwn&FS^uh;==81FF
z-PL?+P$0Kb<VI!6?3CvlqZ;QN&tfgwa+NDCK2qCa@9V8imv_Z!@7VEtYg4xS)~0T^
ztxeo(!#3DdEihhMb#Q8Noc@labFW#$&sXk!ATGOJ+(RHte}aP9^0*IefqNhHF0MML
zy11%QrL^`dlV#8=)@jF%G&Qm$vodq4Elp5ZcrC(UgZOE1hji6VJJIIrdloE8GFY<S
zC;Q&@sqrGBsxu39jRKh#b}@2<@;I<L`Al&L+2J$Aq0Q-)fU0eM)zJrEK?4CVx)h$k
z5F}v+gA-;rj~+_97c94Hx*Q~0wAu1sysL9@<>K0(GxNL4jnw7~sm&Mi<y<DK{{MWf
zc;&8BD~t^GEi*5hp4z<La~q@7^fMKo-u`lUQJ*KUH)*$>z%Dz1y>|OHU0r{2okN-E
z?l(MQzpqWvKlXB$N|fG&nHypjw47#TTM@HBK}Y;X@s=0?5f8myJssnRhrB8hnYV0c
zDoCvE6ZcppWO(>#_n*ISdiCN(mVR>8o~~aq;k3Bb45y!quCDpyntkgjx9pnDH4F3q
z2JO8N+bE)6BAu|c+dFXgh0900#eb>XT=%kn)A5Vx5qF)kB*V7vShFclQGMgC)+_dF
z@)VCp+;w^-AGUqMulB3DM`kRK*&gxNuKoL&sqc$->@mN(%k}iTIUQH^*1f2F>oh52
zYqxfF-0mH##8<{XII+C%T>YGR#ry60vLuc!`PzLbTU_W&e4kTA+qomJ#D(&DzjDj!
z{7UegKIMLNz`hMhSHiC9@2iOm{oAzfO0K)}EAdc^b-zL_j&|usuk_cR67Vdx{gw|~
zaw^lp^O6lag!(#Hh09j0c<yih@bq<q#F_lQ5-$2D^`ybX5pD)o+v%%Ths^jOdiSYq
z=2FhnJ@IE>tj#&|cNSN*@A~USUvr`}cZOONT+m(|&#P{{uvsgS-P9qTH@$)9ii0z6
ziM!baHmQt>2@9-F+?SZn8^R`S7$BR%Jok>n+x;J{xAz^FTa|z5n(O|obGBa+@)z~L
z-!?tB+Q)_aw%(2O2dS&C%&QMP{rh?N_dCw^R?p?CeAho&cy!hL_4>=o{cAUN&R?59
zGwAr7prGP4|8neps(n7W=vDON4<*8$mM;r`P5Jw4N07?b=~LcKbdCBSeJFan{kCgQ
z`#%|R{Rw2B`YYnfo4)UHQBV7hoBYiCxq4Bo|4c<q3E@{&O##38TW%#QFngSz!P9z0
z(V^<!mwVr@f|59vX_znfzMG;nX_o9$Ncp31<u}{Pvp>H6d3o&rGVEpgf1P`}|DUbs
zIPIhH$SdLq?}QF%1wr!%N_KJIbT6i+s>OtH>Ss4h1C8KcNKKFU@_FtjUy;9ot9OP9
z{Z;DT$G7RlYlZHAUKg()G;!U()$ii-^=<a6vzOhUx4-gS=>A8mAKt&C4Vp^1{CD&2
zb-#<GF8}Sa7ymYG)7$M|Y-4AgtD3WC|Leq0eXIK~6<%}Cv{=2`|MdUdmwWepv5Ws@
zxbI7HZDywNYpvil93mgH4n(h&YG{dceUKX_c;Nk_O^0LFl$P(2u?!4k05x`0ms%)H
zycQv|0XD34TkUG2^S#(mS&LmKuQqOXTk4S(lkd~z;L+;{L2OPwU7+$~f|4z0R6iG2
z;J!L=d$HyON&dS*42wV$T@c+Ky^dMI$zYwbICMs?;oD=3adqHo=J#EwD{LIr@jZFP
zz_!zB!fIx-6IUB!WH%h#vWofnl2G=0``k(&sAgVmEYI0v^&vcXEuYP$)y&p^?Mgg5
zrm)}oJiX+P-Ivo=b@IDno<9rWxM#Ua;Le7_+AQy-LOE0>zFsYGV&dd54i&N00v<Y=
zqD-Azu5mT~nFSuGxqsGdrNEt^6IXE^{P3{u{m%;SzwcJKo$TSBm?^?>(gcD|ICNx+
zfZEhjOP~8sfBD@7d&~H8>aSZFTq(z#w~I7nyqLx$Fewv)CfrJiI&W`n6x+&tbFZG(
zZX@AS>x8DoGOO%n^C;szv+K>X>U*;_cDs6(MMstyp4fD|;O14w!zthI?p3*2>)-n3
z)$FA^*6pi5t><?C{?U@Jyq8_R8wkgWuI8<Jw|V*Vok!K~vBn?i{T5|>>rigkr5rQu
ztF5NF;1Ru>8Bbq$_CiMVE~j<R@(qid0vXYB`NkW+Lg=RB=YK7~Dh~g?w_?f(pBJeL
zPhhBd<CF%T*OP>7>#J7(uEi0zCD!}xaP(^Pp1<!$?)@uTvqR9zv**74;obC^-{oyg
znv-?-p|ZS&H+L9r?re<Q{`t@Md$Tj1KMgC{RxF=leZDNOS#s0o*O%V>*(<&Mv-|Y7
zdu;2IPk*ghUT=8och$e68R8{>yDzGE8GiZp=j+~=npW5DzkdBb_{+a}-xs+G$**2~
zy=<@e-&4M?UF#P--}~Zv`Q3X@uP1z~3p77_?`g;1U&gn0%h;~m>usan_vmI(^YrOQ
zz>776oBuzE4P~njnVcWCUr|fXNO+et$4)3Zk)WfeaCp}d*Yor9K7hOD*oHf$|Fkbc
z$u9AZ9$=JpIo!5>*TMS|xQ08M<^LPm$%K~9<P44FT)m4isFZohE4EYStLs(Jmq*7b
zo-ki+Z==QHx=tWrf;J29dVwuc5sCux{woCT1OzHRn0?jtPU`$E$IiZze>3$o%atSd
zAN&5f`%&#*@bU=71^t`ef9&7%zOR1D-ydhS_-ZmjWG1vk7Ctx@Sm;nEo-M}SwdzoV
zjAdq`L(;}W4M#SmB{EFB79}$QxopY59~>%MQ8alqv$-pwvSl%jRP^Q5f#axw1uL9j
zWy>>M1$P*GUT4;>GcB{$wV2*z$lS$vsf79Is{_XupFZWYV~tSUH3nT!$qk-wZEysY
zFb%v#r3&HfIR<NA9cZuiyxQ3R#VeX;&aqX@=3ghRVwQiKyTj_k56v)kt@rX1yeIy0
zsQ#C1yTAUyvw8RJ3%v6;d$GvBuk3s<>Ed7J`7bIv9qJTcYYU#3IC&a}ikP;bhmNKy
zsC*G>+*1HrM?2~M9WzbAJuCn2=n^_O`BVB$Ui+kf!h!vhB+ZpRYY1%0grEtH0-rTN
z<7PHq=jZ2Lo(~%I!Ir9Se_4(aP$!l-LQvM`Oxyo&Zj^}f<$S-k;_WG)jNM*0%6KQd
zl~TBEYVdm7Z2QyqTyE=nZM%JHTesu3yKT4E@kyoaepJZ0?f1#JOzsP_UgZ7!_D9m%
zEN7Ry-}#d6w;vO~`Omy0wr+C}pTXRzJ?mF)22YZDX}kNc+9Gti^VjEDR?%11Z2Gh+
z1~f31o3zQ@Zq=!j`4(n3nWd68J(hjHeD~@1vs1MXmPXvjllgS#f`!8suQL`7S;l;}
z^;OEhjnPK6=dZguf9``%Pj)_h+IZn<<CU_?s(&S^|L$N6{j?ts|NHaZyPpqMmEW*_
z*=Y6nx<>v2<we&u-W%`k+43){Vb5!;3k&3qo~~KA`;Ej@q>-Nmr#+0^PS+Uj>N(SL
zy5WM6SA`_=t}|P{?B_baVV?YiuX|2b#T}V_(Td|s4Et@5^Q9BM?wMJ2uj<B&oqVgl
z>_M6>J*`!edi~p@w?9`$=RFQD&0yd3=QH!#6M8OvuV!`L{<!SX^^ywrOY`bii2kg8
zb-FnJ)i3vJPb)rtc=~v^prHOFU75h}SwBAAnZ5g7#<bA>D;Is+r?0u=_nk$)=gytF
zJ3Hpx&#KyI^Q+{%=wD-H*Z(>qdu{*koM%~8{qOVMNlV04UYtI^qrC6mo2rFnacfpR
zbFGcayJ!<!zi!GWr`|mQ()uAsxxapJQr#24tRJ$!+qdxj{vY?X?o=1#OwKp?AGAeT
z!+4+RyksZeK!L2Trhs6P6on%q9H720>!r`jfB&2g?YY4Qj9P*iz$4%<`Rb#QClfio
zwmN#WIIhs=37XCE`sZca|G1_hORq7lDmc6L<466+t1rs!IMZTVpn8Yd+`+bh`3kf7
z0^1J@zSdQvO(3T2{W>q-B!AKE?OtoYFM9HA=ht`5Z@xu8_-3`@=-cSqXWlNqSS8+V
z=it72+r9l4Kn<)}&7~?$dcT*L=9&CY%0K+}d%F9Y{ic<_?@qh-+WY<IrAPm*wrl%0
zWzsM4uO?6Sn;6x3&XD{+-Tj#U{%+IRpIk$o<NCnkzj-ITb=3QkA}{|Fe3>H3u(#pM
zu|0dzz}flwea}9>ZwEE)EUvQ84v?+*CG35*aWQzo(cIO0j@tyWWrRL1Grc$Km4m3C
z;LG)ELo|MVPxsq)-srJW3Ky%B5-$XSrf)$PkX>4=5?i}p4(r0^O;zqFE4Lz96~XAD
z_tphd&-BHGJ#_fLPw=Y!OwO<VoUi9IUb1IiQqPta`~O&Z-2ACu&-2|^`!!v!+ST)0
z)ap}lkxx!-n!czkHPc6M=ca#a{oh{l$!TVqwl|yU{iTE7zO8e;u3K4s^}o;dCv!uV
zhgDtAwf(;Cb@b|r>)}-sXU{GFefC_n&-PR1)~}_VmZrTeJ9|s``kIB=*W6fEYm|m|
zfB2Usys{+G$TKO|$a0d2>}vbj4z;B!-tS+joe(;AV3o@8r7JEOf4La7c$x1qk82#J
zt&=i*ruj_vndvjpr!QzrMk~un?hMd?)+O1DCx*&LC2#si_PE)7Id9i-^L+hp{m^fp
zpKScgviG9ow)r3HKAd}@7oFy0%Ag2Cl0D@&L90unJ*&(=&&Il-P%rU*7aP*vKeUS^
zZe!DAWG!UocRx1p_N18?woTcPE2(hX$YERRf;*3Y`=O`T*iXl7_vut_)~cLb_GQx(
z(a28*GnPF$>rk9q7<6*Ct?#FOVjgnaukO3|dE2Zx3b(>`FW%00`S<6{8S`{^T^GOm
zy!%*G=I`at>+TzTca}Qy|DDXw`A^Dx|7$yapPZ~#WWQ$bq5lH2Ef=_$l(J8`ejPkW
z&~>wjbI-dYTAVePBAA-@Z@I(4`6rLi2?+beu_ia6AAhkeJN2A?K;8xGo-U6EKTg$4
z{0&%o=Ev02)t5S6Og(M?Zg<azb}il~{Gs1KYf`tRX<l~Rywrz%tH(^fYZHuQQ#7-8
zOnI4*q?vtHE!C>SJJQij?Z42ulYKvC+g{(8|MgGp_wRR(?YmnnZG9m3&ANHDivs5t
zO<45)OU#LVw?FT@{eEYE?UvVeWevY?_};y6{KK^6f+ufBRR62C{h#spd-cDIZZBVS
zOxpT<{p)FS&fMe7xqbKh!6tsK)*Sbj)+I;9kDR#m+5W{(cWGCNBPVZtwtwU6f2!_E
zvF79@v#0#s@jOUv|0IV~(+<CwF!kZ{$6wOTj4eN~{Qddz*N$D2PhOg8yE7?SFKo^C
z;7-$**VzAU^V&Y|#*=xs`xpJroXPq9vci`6YzzOIaJ*ga$oBZJ$%%qJ^TQ^si?+wQ
z#Ioe>FHaMsb(ssliE?aR@35kl)#<Ia>dReUFJp_0n<95t9TI+h(W2JA+iZV|T27tj
z!)MbOv+5)lJe%HFbpGvgwEpS|+wE)0C33R&p0+iYPuaWL`~9pN#lHj0S3dtXId0AK
zZx8c=&c9v0m-ow^e;b~Zul-e>*FR(4y}vn2$|td1-aWtni(ip-=6T;fOUL<E)9(~d
z-g?^Byz^{Tp3p@%oApcouYIxe<m>E<7bhuI9-Z^#MT-2KN6o>t0jpW+-rN)wsyMUJ
zciMs7i+9)HSaF%OyGHe;(2s6xUiF-QARc8sJldHY{-7O2=)0%SEMmDQjd>t)MLb$w
z1>M|sL;-Y(n{*0iP7lky8-<*EmTc`}xhFgI!1+Fv06&4L2jY*Y6!d#Pc(;Q^&Qg{0
zPT;H0wYy%|?u*`i@A+iyO?LOwcU=}f7~kje;cxp+j(f&Jj-Kb2C}|w`(Q4XM7RjP&
zChXXm@k&W!{YF=J?=F?&(Rxkue@)jCtnr%c`XL-;&)|~~g(o4Pi_0#vOLA?TyKhp7
z;Ga@#{#(-h;P1r+smDNDFG~!$rCR22!H}2dE)F{ZY&m<gM$`UIshMY5+?KWUo?(!j
z$!KK6oGMsjfYzOeWZBG-G{M!Ndjanz4l_5Fqb))%AMUN-xOd(A72Ch8ze*YPQ;M|I
zEV9_&dHygvz1;6SQ@!mbhm*~b5B@51PkG{()mU_9iI_(Ablrd?W9}&(%kmmOF-3!R
zp2QhN=QYMx<xORkyPU#Zqp|DkbjkZ0Zkx%sv~WRCio%g?KNXx6x19~2l-Ibw7u&Mr
z67D~-$azL_ArlM*P0DLL|MF-Pmc6GsQ4ccr&geVovm)6m;yBNQK1l^x<A7}kE~EDm
zbPb{v*lsdyX1%2losg{ap>baHgH_*K{w)*Ve1N^$Q|w1T{#~&ZQO2U2cLKB6yC!t7
zMk%e4{c(HVcIKzImZ>)Ff4!6A-kn0h8o&Fl0iUc_a-1@p+_hj$te9ixS(gB%S-UvA
zvcQdqGkH5X>^|Pn611t(X<|3=W0`+D`s4N+xy$2!*h>p-d;jR^&WCz(F6F<rb+a#w
z-7~4=q;+yr!;_tf4hkXy9IQ)JVjs*icXar4$3Q`Vi=%}pXp&y~`S}V8m3a~Z9IPx(
zjGB?lD|#Q-IO>06e~-TX#@G<D{U$NL{E2sP3fdx(b3K^bZ=6?u<mtZkga2N7;Ox*q
zZM8q2zg-qJm0hElWp!fFHeHvsp|e-KOpxxJ#rJ4Y*o38`+a$Mu?$nAoog%F}d*`=5
zf7^FW@7!;5DJ^=}M9rMwh1DI0YJJLXKT0lJ!Z|adZ_nek|E@pXe{rk1rS+w%qH-*o
zZ?d7AZ_d5@qVs0UzUUh-YqxKB{_XCeb1#0&_teMT-(UOm=iiQY-Sc;E`~D98c>cn1
z;je|QwW88LuZea)nEC7T<}V-RzZM3{Pyg~!rPd}=-v8q9;IA9QHcQ2Xq=p?<+kWF*
z*EjINgvCB9qFJ5pY6-jw1Kr9MCYSPJ_w`t}OK)Gl!CFe0ZxMGz-nH{8tZ{-#W59N<
zmL;B^yN>R)0PX07>^L)lA5U;CvnsUWa#YT9m+Dv};cvU96s$kZ@O2kY!1~h;JCA;w
zgf@5jL@e6OoM+paJ4M}R*>B#tW@avXB`ME0XJe=S$=!dYbhn9rN!H!=zDzhO=auj4
zcN_jzdcKT${c3}((aGFt|4Xalz8~6GeK$7t`!3_(?@A}#^4y&b-7fZS)|%LN>pXL=
z#ZK<H_PA0iZ_REs{q>;o>IrKx(q=KfigTu+d=?o+<!_e?Z^?SH^C6pDOO&a?md5Z&
z@5-P12~4mt=iz8!Vp`ZRWy$W_r`1q)jO~5%6lKTQ>ymYabJlm1T~9f-cvGDS$JfPE
z3hYlg?A%=zx8<!W(qfw3-%cT~zI^g7YQL2D&fV8o+_j6!d7Iy4WLrnQTX%AQ^|vrP
zp)E@HVy#!lzgsu=uK&w*dsoE2Teo+|{7bRc%R#Iq@!)%?1YQbOor$Zxv%LRWY<1@T
zJF(W=?QOZMBk$|Jd0M-DL-?1wht9pOE`K|<_WioOtK>g!c)Bybbo;K|YJxe3-`zTW
zKRPwc-E`;fZ>uuE*H2j-Z;=XBxUzz2p_?e^K=!AOZTqV4rmEcChkeUoiSup+sV!#^
zTUQoywJhNTnE^RR`YN_I=qA6*s}Ie-7F+GR<*cf4;%slu?K^n_W}jx*x|3(h?(Frw
zXyd5w)}<C7PB?u=xBPm|gtNK1#g`p*pItlh?$+mO&%0Yc|K9lSR{1W@yIa5gVg1Xy
z<@&!ZPfFJQx}9BbY`d|#^!`!5O9@HeXYK2r`=spBw^=g9O?IVvJNK$(PrsclG<)lH
zl|^4W=Cw&@ug6~T|9g2hROrVOwf~AA3Eu;A2y2#_exMuMu@fq>O!4x_XAgKVIl|D?
zGkq*!4;?P)^?A)Y(=usUi|Sbh&smI<Oqe(8W&Y&vHV?cM6?w_v#H4MNla@8NdEUzY
z?K$b&E<5j8`|LXxzl>hC=j!X|RT8uIxzAi6`)s?to!ytsvhUKZ&z`^adH?IG`)?2J
z`+ir&;Pvj<EAuBUeydS?^2F=k_ZoKZc+b1T{L5a(@5laqvGEnknD=dNU-d23+V5L-
zTXD{7+q_}*$->FIX03_8+2iaI{N3cIubx%Zr-Re7Z)xv~Seogd^(l5j{)`9HtSa+%
z9A{e?{mLPuf2~UF!Fv`c#rwMO={_h~Z1v@(s5$D&qQW`TUEeoNk?i;Smnko}D?fnq
zzIn!i8u2GjFWweLtOeA#&syn<yh80$?1aBrF)#LSjl5i9uzOb6lido2CuVKiwrs1M
z*{o&FJC=z)fnWAEeUta^r@IyOUoXGIT3NZjM*N9rZT0c!zj`N(tDf#w@P9uwXN^ag
zp-WYZ+~#ok?=Kg7&L}AfyT|%-&%G}yZ%*y=z7bkGeZ%VCdWqM+{M9>Q{uM-g_m&F#
z5j){~>GofG9-Rr&_gH_X-(P36THS0XV_E8!!-~fH66Yj4<w^=<oo)z-W&^bpJ}Efg
z-}}yJQeHf0?=QxA6P~*k#>!%A3cVC7_eE|&EM$}5m?_6$dcfhtmnmy5e_8b*%>2MT
zk=%xpJ~tSG5Ahl>UtutI;5A_0$vEvSSF^71>N^ad9nDs6J?q8J&%Jq;8?(<FjfITg
zru}1+N;n^}nz1bH{M6U2%1^C!KR;C(7P&JjIIE%4aaMI_{H*Fu=~>mDdCQ*0O>!t+
z-`_8lkpFgfb*=SAMz!?HS^sMOyZ~<uJIQMhx&P8(fs0i!K8Jfv52*Bl#_J0v@xz84
zwr1TbEDz7Pb@8~_&%;^yeeVvZs!d@Rm;^-=4pzwX8OgjqJatL-t!V5S>GC}(<cxHp
z!U2Y+EXlrg8=Iz-GLzgn`?kB+`lLC@2OqLaYp6NH@N)*^*NqO$_XW{*D@9&E@F#Jr
zT2{hDQ-S6+jkA|Gu4T(wP!Zn5wr{Qc?+vpef)BM{y?2j4_QM2EyEWgwXjVQ@oLm#}
z_T%N^1La@tGOF@EYk2==H?vpX^^yZhU+gW@g8on58va8*$(Vgg$Fg?~pMFJwo0W#q
zdX3+0BUBr|ByQc=hB(T*{9Bp*qC*NQ{l9k1ne?=FrOoNR2YeV^?jH1Ebb+xPJ$K#P
zqrJ-9=rQBWdkvs7IXd+69h-TMIX3egcWi#q8hODh%{_nBhlN)w+P)^}y*_y6wPWC}
z|9MwbgR~_ooph@fG@E{LV2|XNTE}j6!>wvT^A0(YCv2_V2Qy>Eo3)?E@T{9rY`CN9
z-20=uv(BA;5L;{1-1P2n^t|0Wp1T#iopZnY`#j6=rq|CrIJ+*@R<!Qjc;mN+Cqox!
z`G41!`*u5(|7p$p-u?3JS5^7rug-5fUtb>o{b%dDkKF~${h4`RPCQ)PTemTE`;E+o
z+aLILKjyEgIl6A|#rd&S<t3lBjQ1Vga#ouoH_kERGnbJ>ew<^8aL(-T2@fkiuTt4t
z`gz{HPtmoh7mS48?QmRC%IvgOS|ICg!;4gpOSS<|7nhy2Sd<;k)fKZ+E<NzXp7jZV
z4FMtwD%uKFra{+lq#n6u-*J7v-mmN_GYym#rU(jjv9{FpDqKloTo}K2Qb}Re`&;sd
zqT--O5(F%Axbw=5QB&UYV#U+%Yhz~C$1a}wH1gpog)K%M>vHxhscw6=&1l`ntMT`v
z?}>+(m8Gb?d86_0Twh~g`tylww~qf;{aW_M@?OxYuJpFKdiBe)zRk^>I?ey)%c#p`
zyY77Ycu>mkde*MXxf`BdzU8z$yK?{X58God@8q^xSG@k+j&pPG8_vD#{G)7tY~Akr
z2kUm<cU;^bzSYg^dim1b+s?<z1>0nPp22mhdXDBYld4;vmzV#YXMfQ-`|FvhKI^yk
zh4shYj*~sP_3h<}F*{4Db@X<>eE+WR{+IIDdMC~!Q%~<+CmTEM;P-EPKYre~k9*;h
z6H@lmzbp01+q_=6*tG9*v7N7czr1X9lbn&bS%ZN0QdOnCB4Lk5cXsIN@&C(pW%gk2
zwu`uQ^oe*8yuEeM{-<R2q?5b()=oO<Rv+{FZy@)?nHQWKPC(FU2eun8K*u8F{+hbv
z^b>Y$*;VDQ-2rK=5_iAbMXY_h*dg_v^L7Wv7x(N1cuzC2F6@)zDE%_!OigjloRj=t
z%j6?+3yg%N<_MWtFsBr7-TMFd|Apo=58haAt}BoGHz7<oH1|rK_NG;d8@{eu)~vRA
z7T>k?SynTat=f8RZI<rq^)uIXu5!2@wJ!Vm_r1T>-YS3F%6#{F*{=1rmuh``PSp6?
zoT%~L_UKLaqqJvWoRs%0yJ*hOSu*MKFHYL*=Xzs_e#Rj+ql`mpjx#oRCaC2I@wMdU
zIVEa8irxMFd+e3Ei-m!|9qzlnT{L5bXyRwTbB89W9S`-25)?Oy+`nmRd&e@JjBlHw
zKr<6>6*BDahbv|rcB>C~eQbr<iJtdca?Sai?%D~w`qp?sz0iT}@m<g^TIujfdKvPd
z(VBgGSb3e+F+&lk_3m|v^{p~;;|z3&mW%V21&$}Gr!3*V<%=w*ks<I(sVQLD)H8i+
zdM|&!m=W)r=A<2bC@igEjgRAv@XgOF;&b<WIKGJcw9k$WLUA`3bk``v+?Z$l+G>XV
znyW6dI-B^CCX^O@E-7f9Yb~3zm2X?gf7SfMyKFa@|8Bm+zLYWDPUBvpU4?VK?BCtm
zRqvYR-)!c8wbF;(^|KGVE0Zs~E0Zg`X~^q)vKFe6F?*}a56A4RR=;kbEBPnjermv{
zM5Bu2`>6pzdzSHtErfMb-(B&{QEL{uI3+cpzOmbHT1ml&Zv_Yco2@81=s5ZPpFNdN
zZ42)7I^Nhfar*OL-|m}zQrPu(d#_DL-v3<X^(uEi=gma!fqItj==Voyv;4lPj?yi-
z_A>6Vg}vn8YgIEpu6QE<UGU<HC-;xXy8WoW$D0vwaQn4-tzV^|khir>>bYpN-EI2B
znFW#pQEr_2$qv(J-Y{KVu<x)@^(?+^Q<sY_^*<|G=&!x(ZKhSk;maixY;&V4qE?&v
zUp{E|$wYQZWt5|>_0RA?`Lk#BUhJA3d$-8EI$r;2^y~HScTPJw@yC*w^Y=c6{lE6B
z{QDkTb@!<1cYpUznkahGs?DSSLh`p)kL_wrc&neTP*;CFvu*D-Yg^vm1@}$gDA!)!
zF#FfKMESSBw_mGY^ZtHReX+e#(&^&wXL8@VztU++e|7%+rQ`Kij=R>zTsl7a%g2;j
z8&`S%tH<jv9iMgP)qeZ6r`Ggk6-|G8`J!1D=ysYu&aHtCD|(rnc(p;t-JJs8YJ1va
zS8c5tw*KTL-nW~PhlRkW>nLV2Eo>9z@SHrUr2BUaw$UVTgJ)UkO*{F&aZWQiXUBT&
zxS86pZ~6s>t-E-pROiHZp!X~`KzkNT4_{k#_D)gzS@z9|c~&cq-bq_?IPHJ$leMN<
zn?7Dwymx7P`R~-}Goqiq7O=n9Un~3Qc-6nB>P1Y!ySmmp|8cR;*&=In^17m2V9~B?
zR=aX`U5JY>nXj*v7yh-h!+*xS8?~F>C#h!|Z2TQ^|EOP)b!I(m1Tuc|*3*AYJJ0^g
z7P?sF;(vJSzo^wEo1g0by>$HH6H(+Yt5C($`t_3>epwxPw)Ofx3&-_t^Q7NBa9k12
z>||Y``m*W!Qck8x&)XOq0~{1YCQK@+{QU{}6cf)~GwzxpH^Mjhy_7osb81GlZ<>u(
zwnt-tvlplN5}qlyv*UZe?L~~EXl%cp*N-%a;*hug>*~UZx3hgGY*E^N9n=Tew&dxz
zS$}q?=RZ|;)_(MMYxM7@-)`yto$~G0auBP1@~!Mm{_!{CcCS44?UwG|qUyKL?tRgD
zV_ds^!})J_57}KW=l)%D|8{o#i|XpP-H>q)^X*sPnsz2gZ@Yf0K0Y<<%haiF+h&A{
z_GSBv`V~%E?^<na^mz6~OSLPk%nS7-I7$zKRu2k0wCyv#m#T6%dLL*C3Vy2FiiIpr
zdCX3{sscsQs;^6CYsC~hujFFqoo~H}SAHg^w4K+Ehsh06c5EviCMR5zwtM?Vh_|FO
z?4)YZ;p6Q5<<l=5JbXxX*`*IJRZVO5UE#M6FYTybm(^dtE^E7F+dlWm&Cd-!bKlMH
zud;RZ)a9zK@2ojroBipWU*M+BMf2A0n!ZG4wf$_{nw|3hSN&G{vy8{`OXjc7AAePV
zx}s|<gI%sLDz0&tH#w7Wd0F_ckdSKWFFF4n{$FFz%~)&eX2yM7GwoyV3$@rZr_BtC
zFeKSy4my5BE!J~a<Q>pSLQb0*E`m-)Fya<~Ayr<Z?tHhiPH9e?0}iDnHk>)cFtfEW
zN9?AK!HKkqXAiO6)R9US+x~U(nMc}xd1oBGZ*m2E{z&{=_1Ud5QSFf)9Kq(?mAyLP
zPTuUJ_y2lNjl3{j_f18_tfLjpKi0n37M<o4&Y%cGl0Dn+Djfc}E!y+f+nD>Hst115
z6{yw$ol;bCaHlr%kimop4KSpUIpIN#x|W5JFjt?Dm<+Ru1)E0!&zW5h-kfN<EORh3
z_25ATZa=X(D>=@+tred$J4|@a`mg2+7X4}mHzxm|EMMIrul+tJRDQB$-LfgqU;hoL
zeD~t_+K5R9Ozj1ljeE|pANM_7r!s5h?fyLtVxW!Cr{6xGKQB7f{!8SHXSIrl$`|j>
znaO#Tq2&q`r5M~xZRR<?J4f~9{OLdAu$4JWyl;G8?0C9IKh3fEMUt2a$4?#@>X}r+
z`P&hjrZasly_dfqUXgp!CnMVH#x|Y_;EA{e+eAI?^)EtQ>S6GD()9fTiQiP$hfdm~
z<SoY*`N_=xQxxx%J^5cItGqu~_l8?=-_7s(E)#@1o`=>c9@_rvwb|Zpe`Z=QTUd2k
zeb>gP@tqqk?=OoH`XC`&yZgQTiJhz8%b%FIdiFHNy5;ZXPyEcgulu38cDsY;g;`<|
zEo>UceNq}Cs#{r=%#1-J{%RVYaiGqL(e9^OJ3imh5?#Xo-sHVM%YTbq*QZO~-+DVv
zzGV#;1f?h(`364A_j>rGbxro2SVz4@|72ndlqIVloL__-k}DNBplHFQn}G+lWLDfd
zzj&3J@xoP_iJ`_0tI`@m&K_8^I_h8YLEn(Acltj&hHX6?Y$|KwbM=UqP{`Zge|f(=
z{#S5!+Oq$f{2ecqC>C$A<&2O1-tqG1P5xi+(kD56-Yoy^?)f7P*S{FgX_fWun|<YC
z%HN3PeoC`;%|Gc4ng`<F7IS`IS)%9pd4IP1TW002{v;f5dijc5kuGc2#`bP^JHM*n
zPWP&Ut_gSc7Uk>DertKN^^}i>ih>FZHGjM(m!jcvDJgP8_qOaU-izutcU}6vOJv*q
z?Qi$KeRfym%b%B3pSwZpfv!jk@ZJq#aH?X4phcalm07F)v7Nl6zj%|1@j@NV#7HBD
zO(_j2Cl9PSed)*LNb!`&O^3I4>gevs|MT$1te~$eXMFe^mHJlmb#Uaypq5wt<>j>>
z<Z}b2EfqN$H9vs2T>fG6Ub`FV&5O(JkFocEWt>-h=AClw2Mc+ddu*9)-!$ZN0<IR!
zdy`|8F=6vfx2yk*D<}6h_W9lmv%3Ag`d!ZT?O}!6<iC1HH;B!=ooBw?wy^j&_bm~T
z$u$W+_x77T%Q+hLJ;2US{_N#{9`f@S^w+QHuV2*v^53y%X?p4FzCGS|KQYrz-P89^
zz)YLc{r_x&wk!GG_POkHR)t~r*-KmAO8&~&lr}5vRGL-#sc+YRWjy+3{%gjf{QBj8
z-hcfl|D~|?0(6(irPg;he?^pSpLnfTB`>As|C{ECJjb@YYcvnfygT`Ll=z*7oi$yn
zY%l0!+^z7h_P=7Cq86er5ClaN4&JbKXe+I3pJ%_fy*wYsUbVf-6{x46+*IcPBahr~
zE1&y|e~5R*zHBnx{lM}4J@M|o(P>VX!wxOWZSc9xFmqeur{2}y-O+dWvewr;&Jz3c
zjH7O+eN@W9;|kn$hgtVubvXDxZq|eUOX6=OJupf7@%E?ump^(@mc15rPh+>(zn!kL
z-!=Bu-Fr*#3ctT(S6X#1-~0X7rE9}?tKVB)`ztM!sqWf$zjZ2SRx#SYuT~GQ6VEOy
z>JD;baQBN6nGmsNwf4p}krEC|wu-SoG2Q(l!*k-Ef7?7uAH>@SgvwT2+F>Q|XXU1+
z!6CT|(pFo2IB;rzb!t${>kS)XE1v9J_@QBi$jlE7SJZ5({*`?Ge->>$)AH!Q&FCwk
z^4{?K7N8s$@!u-9rsnGY-hZ9uGe2fe`F>6CV)hjC*|Bav`WH!p7d!pY`?dW#q8S1?
zO>N%geqEH~)C#{Y<nqp5#B_ec-v0kbqPKm2cB48l>%6#X^>xRD_f|LDzO8G{d4G1x
z_psb=>zZ>@-dnBM^c`sj@Rl`!TGb!Hb2@9f<$KE%)?WR8?@np#<Pz(9I)Asxz4r+F
zzq(i{?$f^P<K2t4KhJ;fDzn!^ti+`jHYB*Cvie`U?f(_4*Jzd9oA<wJ{gUTpyVQRq
zsJ#64_?YeAcl)Y;>Hm87a{rs%%kN+QZXZ~lfB(PB-P*sQzOm=7`2Kb-Rd&~Zm3=GP
z<ouQS+H3dB_;dco)mQhP-;H{D&p)Rq{oj^<?>wA?Ae-WDT~fF*jcwsJ6SPfnmvJs|
z`hN#y0dJOf^8}9OfM}^DW`fr~FR%V5iDL;PXo1s*Wu?#NU%xM#Iq|g54W;lyx<$-a
zl*}D;i<qCD`|}xnw$b|Y&9{a(-16pIe>OXjx4!x93-H3G**9j2AGdXIUtRXB{;Gbi
zVZp5C(voEJmB#;H|6{ZM{PySRTY_)%uil^ae)^sLE0&wq*WaHSZ<KcGzr+2h@pY1~
zv(KKMsQ!Yn_~hEk&ZkELb+TXCE6rWQvOs*oy;;-6--1_-Fxi}hon)IJe2O`L|Kde9
z1!p$;>hbSU$Z|D$T$dci;*`e&K}G^eVc>%%L|^{Ae41Gw*V4r4?B_sIHt<sp%-Iyd
zXyw`7uYX=XZQP7wU-jk)IScDoFO7t&b_sneVSe(G?Zjo?DW{qB(btFT@jv;*z_!z7
z!f9r+6Hgms<Tf1Ka*BC-*<PChPwgnV8MFV_ds*|$Il78@zGNu-6P=5%4&?t@9mf8x
zqBNm=jnx9CIQPN?|3l?#{=Q5TWtV$XUFaZ`cC@kmSDeg_-P`Xy0xfkdbV%CxsNu+@
zAejjs*}8luyvx9g8~)}_eso~}|0Sy|3eN1X5cm^#^J#F%+xck=EdpADZGIgMY@Q@p
zu4t?w@F@d=KzA)@fEIM}c%7gB?&5P?E5>bqsiJIIcydtzg0@y}nO9$Cvx|#;-tS8*
zo}co0vD52DG4F)uQVQoy4PMW){`Yz3&EnaQce<V|j=ouJcw*k|g7a4$58Hg-o|*gM
z{x|RFhH3jEyPm)Lz5Pwnm%p((;r9)Ei};OCUM*kFYxVBq<>EVwN^Vzg6us~HeRtiD
zxh0d*Hs5Ry$|1OFys3Sa%eNP5<vY*!dUc*@iDPquAtMQI&J!E-G%tQ$UcNJ44Ey?K
z|LvcrgSMo~?OMv<0$M0PVOA3aU0JrQ>R*X<y({+mrM~P<&7ad2`l}uq31{sPx>mrv
z<RP2KVcsdb@2u}Z?WZ1CbG!ask@>}c#nW%I-TYVj;lrl?YGph38@?)9pY{KIq(-h`
zfz-wS-~P;9Z1#PX`N8?yHarwMaCvz+(}tzre_i@r^YZwQOZ{J$_Fp;p@2nQroP7^+
z^E_vWvd!E5pq24w?3zdkja6MtMNgKvGD7m07@I)m+M|q>XZ64*k?qe7lC3!JSRWE7
zD`B?N>cc8R=TXg(#}QcT`C<fo8_!gLHWPr`I$1nUU=%c4>h;gdtC`oM?B@b6*aRQ9
zaXzW^foY)c^qB#dr*2p#tpGlfYC()_MK0P(81Q0f1ITs@==$hop|Ta3_A9LljyYv^
zH(rh?eb7C3$*TjO#mr)4f2>}+n$IR_^D5@^@gH6_sGM2FtRL~=Rl^^>YvHmJl-1Yr
zdFX`6PKXFv#VnM$_9{w{{HR*K%E}<4sPsW;pynjW>(Y~z1cH(wXhNgFWF=63S>gdY
zg=+`y1G;u-%wn4P5p=GU)UKrrEmtHUXo;EWwa?4L+2e2oCFp>zqu2t(nYaM)v|nlU
z;ZQ4RWAesV2Q2?Cd39jARp`}5**Mu7neX4f{ki$~w`sTM*{{z3+W75H_wRqQRr;^|
zw>p8gp;)hVO7NU0)EGPc3dgO_&%gb({^IIqzTB(eSyMRYzFQx)4n}gvss8Xv-y6zg
zS#(LO@kmlAQ>W@uFNKNMqEsiuUj~=jzi+99Hr=;bxXP;_Wb$g3{GL-2&+K?ML#A<p
zQZoecw9JqJZNO9nZNStz2r5~y9ar~7>)=w9LTAYd1qj-zxoMvLUax{k99d9oHOqAO
z(%3Yo*ziNU@)}C+GQ8Z?STyxP7y5z)Eys022@_Vc?DR@#j!``k$|UWx+N&VYex+Bz
zH%OwI>Ttw%q1T5+t*f*Sx_lRqF}=zKqHU@-uVU7Z`|zqEBz=ul!MUbzc9qW8tNB11
zc-U3MR`Yr2XkKOP+;Z(z19(O5&8c6v`<6cV|M&B%R|h5^zuL$w6)wAD)BJzt$DX1$
zz4oJ@xOI8$zgOrdN11=iR@-4ynO9M%P*SO|HFn;-`nhIy`(BxUEkDm5zW4CG6#grq
zLs#<Qhpzlj*#kM5Yxd&$nQ>`|6IR?N8Ad(Ry_kyJC{tT!7xECapyu{(#+&z~Gv2q}
zt`^*0F3SRKZJqdb&!;;7Y8tdDrd6%)Wj*=Ow@=$jm&YF8^dsiR_Psm)YF14CdcQQ2
z{n93T)%^dP*57D7u+-(!+ltL!u79fpFFQ|tKl$6XjQ36Vj_%*_KDNg4-mV|(-@9XJ
ziS^dz-#fi3>G|8A=3l(4@|IUO@w-jRv(LM8IeycFdH*i4e*aP|e&=%hwuE{2=GFgf
z>a42%C(6Bg)~`>-@7}FiE1vbLHLGgls>R}8Yjqd6e)jph^U^8q&`(aKdjiDuuN>x{
z`oW2JPrzS2v!i>@SG!HEwqJR2?$7OR(vyrHM?bbygS5^#wk~yKbMk3)XmffMpkQ0S
zYyN&+9J`9%eaJ`IRkU!M2}h>^$bc;2MBDmZ&-W{1*SR0#G^=wN-7#s0#C8hhO_W|B
zwv#byqO?Z&v-_fGbD4K$p3mz)Xjb(5&dlwOW!17d?vMklj-2}=xp&FAKb71|b=mxi
zH}uWB?)~b_{-VUgbAPJ4tl1x0pZ@OzXsLP0v-qtKj_Gq6Ken$w*c)Fb{`&P9Xd7)V
zw2gKP)J9_hwb5qcIFIW6kM>os4hYYVk*z2X=}I~F_1F@Q7FgMrqHtsh2Wa`e<|R-O
zcn`-(O8)ElVx;lbCf9NG&^B6qxVK{2X`dA@y&^92P5@UZ0qgko=%Xzm1aIDx0H1Mq
zLm`~KsPq8a<yCxhW`@b`NLaY#=z+{NRt2D{<-@C1-f;GRHLq7O*Kdlv+IU^3qSQes
z?P}w7y^2zYI&nNXUUSm*`(`Wo=KQS>4Po!ID~plMFkfO7(E3;@wl#Rp=U*!dPWG&x
z%qh;1X%0ar96C6~L7VpuEq(4^e(pP{^|7$HDFale7WoN)(S%!bg3sGqpNni|R{!I0
zg)>#H#7Xr{3)>0s@eMOd)pOF-a~24TE!}-E`X;C03BMZ!>Q@{O&-t*%M7`#&QzrL?
zOXl8zPPP9b2XP6!l3w=nLE^jS>07<+BBs3K(|==o{@$Zy>GiHJ+dd>||1<g1%Kb|&
ze#djqu>U^w|IYuC5VisL@F(p_Dmpls!SBla>r-|wO4~SD{2pXS+WOs}?yuhSPSf|@
zuX_Tb{4+W4a<|-pq7(x${t1b(k3h#e{HnuMb>92>7xiq*m+Bl~<dOSp<#T`Y57Ib#
zMVpf!JdQuszIc_c@xm<a#H(fwt8yDcZaeIJ{Tuys%QL$kK3|tF%xhm>n>&Fwo}FL+
zaDLMD?~7Q?|LAWGGyHR1f66uqi{8>&{kRY7*3WTM*}v)a@3z)SPq(jlz5Dj=ebswj
zSI;P~<^Q_w{e7SFzd@T|x0Kd?ueko*;QcSTT`%iDzU}3{_jPJZr0Ii6tnYuFdY@Dq
z8*f>3X;H(Gq}ce*)U$^el-6#wP*{Hxyol}VcHdRZ=JR7iWi6JSq{4ZZh(j&m2WD<v
zxpAKTUaNv)oOLGib{EswG$-HiL*P?E88UY@7L`7DjJ{GEwBz*vG}D0dk=cx}(g&AX
zm%KWV3NB-kuQr|*pR|fOTqkFT)rI@3UO80%J0m+gRBlJn!PCr>47K@Vwmx{u_%n6Q
zdK=JjpmGx;*4QX)Tr!1S<x<pX=1+?tN2oU3USd;lku~&b<KoZ04^!tT&7I#NHT}#E
z>zRd(6Uv(*h^J*nA*d;l7*qAH<mI0fkmtPAA;;IfWKjg8mA+P><Ll;zT1+_P@47S~
z%}F!lP*6t0k_!x;3mYS+AF2ti5MH|Bgn8?01@DD?a&bW`n%g`dUgh=-syGYEGmB;)
zD*x^Ku=)(g*SV)#J_HCm)ozb|*nITxn|UA1bz8o-cn1l;=bXO$-SzqIec?Xu%7qSe
z{`x#CFZ{}zQs{Y1xr<8gYOmVT_s{KmU;EN)z2yhC`+abq{AB0C#)cJ3XEZikNmHo$
z_vP91<-d2!Z#?!?mtFV#C+^MOtQX-#;NMk`gTDTGx$o!975S%n;^+NT<Iptc&@?}h
zFo#LtlkbGYSj`Kc%}<!y-;Td`Cj8g3GcEg8w$xreaKc<Zb3yH&_(_Ggiqq~|l&3t-
z*;LqfYeMSdD~&&vcBPq}%b8<#;@Hl}W07kX&Hgn_@BN=&a(bIq=D&P4Yx?#xrnf(b
z-w>Ye9=<KC_J4fcv7@%{ZRTAwy_j=8-un64<Ex(ug=}7`7<IgGdASUK&Ya?Xm5L?j
zw(CS>2i6N_mx&b~sQX))GOz5zl24iwbDC=Y-JbPnrT%JHb#FV5694E$VSoSp+Zy`I
zvwqpC%6g?=#euvNC8zUU77&=)XEym@gtJ3iGB0D`Tti;Qm1mE9`Sa3TwtnCKJxf>l
zG@fZuV{?L_v;G3UlmBqN-kB4d`sCK**T0?WE3HplPhWcY>5de&J$3^7_ch(~>oRzC
z_3_phPp(alE%WPst<d+n`{ML@XIcsy1MWF$yjS>giCK}E?c#kG+5OD6^;LooyjNef
zo_2U@rGHDT8HedCM-#!an+kO|!6zK_q}12=#>Z9qek;=cGb`oZ;?3Di3#<4zt`<9Z
zwXV9lzB#_FimkgmI#lu4%-y<Cc@t)CxU-<;G%wqVI|~$a%x@I`NqfDjB5eoP#Q!E`
z!m9<=28k~Epw+T%_v+OGt>KDMJGmMinO6&}T^6nwCB52d%d*(k3kRb@JCyDipW1i&
zpUf5!g<GH3zpG$<)YKQQaPEBstJC>SkM_ze<+|<i=2O}CgZJLqomeek-m^;J{p;zg
z1WtdkEiPj(ss6Xivig4R`FO*0(avvwrWS`yGI+af){!~;4(cvux4OK-TW9`@SC+d)
zciGlgU4P$dcjA4k-G%=p-=f9;q`j!N4qh8}qI8>=Xm@JW**W35>oR`&UM#v8wzJ}P
z(|r-$iJ{Z<3Z4YJXUi;4zrViU|MKz2?&%YLwa%T-Ey1yPQ%B&ByBCWt%yQ*viOE)6
z9J|XhDlQa!!clbS$sgZmuhx?MqQ14vY~7@fQ>WfLsF#|k|9;8S$c5Pr6S5lu-b*am
z*3~-Ces9vptFO9TA9ksJUTD@d0fKa!d0y?xv914gmvOs0H=EJp>FJsb3!_9k;^r-7
zaM3>>#9$<|oWUhH(w*zepO>u7>qGxPo6bB{lK)B&gOjPSz^a)I0c*KjCI`iTbO>Lm
zAy-rT^slaV7rT-CzbMBSQ+XeK-z)N3SK>wGw#0)AxXk^Zm#59Gk9bj|z3#KC=kxBj
z3qM<(u(D5`d);wzX&n3JHJ^2BH&5xExUanHg0}el>)%6ePIw*tM$1fJNay{lvfT}D
z^45qXY!~&=JkS!y#j5s&zs$=ie#e>aYqQUEADVsUv@e(1=S|a2)Kvd_%RSMWr;F2c
z--6rRQDII3_1kYN_&j)ejYGKN;-8mXf9}qmbU<A1{riZ5%IT|3EBEhz{Hd<iUhjR;
zl9RjV{NHhL;_t6-AQ55dSYNlx?%(@gJ9cgLHpz~v-WZpxue2*}#cFY_SFKT13)|vW
z%oBh0thK9hqvrQ*|J!eCO{wvyKfbEctM2%zyETy~bx%Ew-EDLI`^PjTg(VLaww|0Z
z&p!6>e!;JSGdWXvT2i1W#lVm8#D;aC<GZH*IhVnea!mh55QEb@HYYDWr}>efQ>j6x
zir4R&RBsGw2FJ51e9>Z97|z%cw*eGeH$WRXZN1LlUm*Q+zv$aFr+scjh98<+%6ug<
zT%hdQTgzLK=g;NNnlU$;{r0V$-II#@qh46ua630Q`i0$zb9*b#t!>V<D%U=`GukTc
z?Y*bBYBywmcf98&fB(e)bF9Mezx~-Q=Jo!+u5p%e{>C>`pXu_J80Qx{80T9)J{7iW
zUgVQ#|Gam)>1N-jeV$o;TI!m|eaXQ0*Pi%(SyWQIf2q@vX#IP8eqXv5vUhif_4nQ4
zcXq0VKf5!jwm7eI@ui*JAL8mQllK2RvrgB2{sQ*1s`uaMf1FY3ZSys<TzjqmzU=Dz
zAO7EcA7WYgPiiCAoTTRaeXAy%Y0+YFf*>azBZ<`lCpPGbUi!TJ_5;+zNUxk>QB-7G
zXlCp2^4^Qgr4HxsD@gzG`;vVmMQw|tYECoTi|ivj6S$>jl!~8;SH9*VuJh@7$4iCX
z3-~s1=Xr~7`M=2OM7;91d91>RzWte5oYx{6uUz&PT*s{!y#4rl=zBBiE9O2E9c#IT
z#Y3uB<s1^@pS@micb?)OkL@a7&wqLTe@*{tj(OlVpwOPAqMegLZNO~N-PdL%rLW)Z
z>h5jlVX}Ks+S=;>`m49(&DgrGCwR-d-GR#|&J1|%-~mHy$;%mk{=Zo*Y;p3GmjCa2
z9Zr{mOjf_mJh<L|ZN~{F$=lObol1F`dMhfUT~B~DbNah!XS6cXtD^S*+;#iq%Xu&5
zj*5zyJA{O|h=@A`28IL(x*n-@Exa!(9upwwI>X}mAO9o&&sN{>F*kagmg;i%KEKkv
zntL6;=T$!nJ5qaY=S0i!sh3}TyS(+?ZqPXSic$se7|j;G7BE_3wD$5_{|VP>^;eY|
z31`g}x@N_^q?FC$HP4l(@N8MOt=Fzbr8h^t&RV<q;EFBp=B`y)yL(fp1?!3SE4yaa
z#=Tyb)f%Pl>3hYh@`c&oO4TTJ#x;U#CqK@+cd?28TF14ybL}^{yiUtrtNlo1?QYFi
zCPu<pvK*OEbRy?|ro*#UMN)U`c3rEr2W5|~e2@{yYmF1YC}dgbuD_g@cFDVJ^-FVF
z8+<4#y<y8ahRnH*AU7ReaBWxA>zsh>*tMl*5>v13eO<S%R6fS*^}VZFbC{kuXWgCu
z?sL@lcVR0^CtYz_JGnjY^8D~cr9ua!E?QZ>dnT*<BcV6r?rpOvnX-Q`&fo3Raqa8*
z%zBBhd^0((a<^Q8qLgj#Wfh7;E8Fwz|DK*~4?1Y>5b9~VshStwF0Zfr1UeJp7-$3)
z+>_blF91dpPQ3}<K40&FJ(ht%kk0=8hVSt`^Igy;+ZavXI((>?LhJh$ivQVtrOCdr
zX}<WrSu?LT^*ieR5Z3$glZETwaWgf}f7MZ~!8|!`Jo_!be(Wuqmoi)M`1Z3ip4eYh
z{XdcQ<oU14f4`S(IB0k8>(5V(tGBbie4y|@>shng^1pWl)}MU)T{=6CFRbLR+yB2F
zlV<&X{i62!=l7YX>el(0K6o{)-v9HV-&L*;_Uithw``9b^Zw;CMZ1_Po^5Dl>`V>U
zpVoMk(IqOg(IIY5r8{@mldX};qD{u{!^0F8+)s-!uajL~@%;RvORC1}{MBL=mfVA)
z%}zeM9G<m=D8}ykTk?L7DkvH36^0~(@}>!36!L8DuD>5Yo->lEczAwsoQCm2ajiss
z6Nk8rhCLS!?5Iw>`(v(){+U<D*7IfQUpct%X{*%?1N}YAraozXpDpUg_(@TEzcjbs
zjJL_X_rGsHbZotf>Bf)R{CAhxf0xmhzV}|G!SvFPsqkbQ`)z^H+SyC*cUV{U-j8?L
z>zwBFl}QnXX1=XvKM@mpZ{cnK{6GJUK<-7ow_xg0hwbz4{n%@YGA#N=xCM-s82!HT
zb~*Qt^;jlfBDqR_KURM5SN!p|6`7}fGH!X@*upy@Q(ED&SwPO8e^zLH`^S1eN*|XC
zvF^|Nb8CTEjqID~Ci~9b``OW+P5&Fi9*Qu}-gxYN>DrcK`Q@8JH~gtNaqj({7klDu
zjP*<E?Dt=^-hX}jp3mBmo6fC&^WxPMX1gn&&b?n<J@-C8NLc^GC#(IR&LnCx3w4*)
zsZMLW3X0uUhqy_w*i~(+|LLEq+NAtjwW(A%NJ-<crrF=wE8I`^NKdR3;kao6K_}LL
zPSUVc46T@wXMcAYc(e#x2D<$vALTeB$T1oxmN{x|&b<5Y$Bhz6zMSuU7$<4G+qQe%
zw!55L?ygDLU21)sed}Al9dBPH9Lo9rD{Ru+x+UP!Dkpt=xX!NKZtA;k-pVMb-y&+Z
zL-lOh<{)v2jLj9t%>K^o4V!1X=AxI*?k~?9&1Q$@Y`VVvTEY6?ciN|Qz7d>!=k-2g
zEmb4oDoKt?C_0f7@8$4p)g+<2bye$sd#;VZIGZqJ8q?jnU32X%QIf$GkrpsoVq|*t
z?eg0{GeN~N+R<4$th@ex-1zxl_s1z=K8I7)t`w-Q0ne;VXp`3Xwrf5{p|Jh`uIsj)
z-{QaT$_==^pYNOQ@A*gKz8{au`+r?8^}l?+sGs2m$&3G=T~Uv^_j=k{55@&suKzuG
zt;?IC-fWjpgYMpw)9r3dw>vU@-+ukt6@33nwstYi&#IgqEtJ;U=)ZlBfx`Nu2M#%e
zg^5f^=ZhAZ5K;CuNisshVaZf6_9rvumTy_$(YN0;NVej5^66E~U&=Go*IRwqf;q>s
z1~jE$sTk@pF%NW=#TU?;5!5SKz=2j(`T#Vmi=}Hnb>&8|&NJ9`{<8>U_v_Evg?jqT
z(N_l~(Yp34Rx_8DGBoe93J7P<2?%F@^{Ro_EmZc0kM>Hd4>uuM=hXq;wJon2tnPAk
zGrRY1un4G9+*7e^3cHSJko<<dlbIZgUlp&tx=NsC-&4^h>F7AcA5N#WSw2<itanm4
zFSE@_VPizALz3r80gok9!#JKCoe2u2xTU5+iZiO6B3m2&E&2FQI9#zJZ1wMpQ6kE3
z+FRdVQtszn%I<RX#1i%;MlUbEU4Hxj=Jp%5l{q`A6}D6>Ono~q&;IV4^D;@_pKN^i
zuJOXV#*l5*yZ)AB*Pj#Et=`XD&fikTzhu+h%Ww5BpZHz<_s+F1Asep0elz)7p4H>u
z8uA-#7yZ_dH+$XtM4fAbe=57wmAw@+pKskI6|@Wa{FNS+#oNz(UW{@~3r}pL#$onp
zj}6U!{LOBj@6ddnYyTQOZHYa6OwHF1N*PtiUSYGJ$u>Vc?SoZ>Gu!%RqvrEm>1s3Q
zp1!@(dTwdS(dXT|*5dhovDZoxr}JgK=UMXm^ZL&xB0FsA7kBQmz5nY+eAY)v&*E3x
zE1zt?eDLU#;_LJD=jBPoYQ2iwv{d<(WbHY%y|xkgzUN(!`kqe>y<8l4z_luGcJ%`O
zs!4hF>+W3Mf2YX*zt?HozpHh>e_6lv%;nj^@%b}Xm@nUVG3wHl-Tga?W&axO_A#}M
zzI1W(E4|m-GvpTAPmiix<~46+U;3*@Gpj0=3C&yCn7-=%%~d<TFaEdp%-)yp{ruy~
z|FK?Nw&cu@m4(fmTbDVmFy(bxn>is;ahZccvD2ymg}ZgT=Fb<!oG&~TwBWXX`H#&g
zr5Z@L5)a6LQ!WwP=fC@jZ6bP;$ct~&Be^vWuf8_7K5>h$o^j&r7|!h{c>`wOVc2?-
zS7i6+=WeL$a2C8fcRjEFpj6TCUDo-{x89a+5W5@4K6S@mm9pnY-+aG*anjwN`P;ab
zOWZhPTcTp5|J>8N?D=l3-GxWr{%m(yV=o_C9rsG=+5bt>pX+bhey(4cqVwthnpxle
zY>!&^_kP~aZ}rQc-qP1U@#)s*PiN--YH=&7j!U|EwL3L|lVKuXINJqTkNoqeyN%yx
zI8NN-wOjV>N63*Zs{@oQew^7Pbnx1*?^h)Ey}r`DNKVx_&*fR5Lds<*+U#^@F{laf
zFmTu3lG$~ICK$IiXn8k+>W+9Jl)-W{UMDaLn(6!c+wtSeP8THXe(stZp5}Br^w75K
zhMemRH`g^5Zom8M`xW0sZ_`+BpUu8~biuZ}oZDk%eP+C^+degA_kB<Uq3G`hk(*b~
z=UaAPJYODochmh~^Kg;a&A!HW|DGwC9c;dJ&+)&1r+wd{>h-$t{{mC1+h@6S-{s{0
z*|bZ3+oAX{^_^x*{|0J1W`69+{biiJ)}}gQ?bL{}m*<(MnyrpVe`-3ve9MDo)1=Mo
zYcgk^&71k8`)s_`k*|9`-MC=o@S-Yi!l^aR+vndqQ+E?B8!un|^)z|`5Ig(4JX!&e
zs#RFOK3;I|jhM6=`KQWT++TdkQLU-m*7)e?GjW&oOw(t=*5@y%*!WW$IcEpN=j_`k
zlm1IGRC^bnTF}MK70yxYUynZ9y6AMmS5e#h=_0Z0sa^9#&-oZxPv0I~ZuPo><Md98
z_?)`n?sE>Gmg<{{XNpNb?>_6g{#Uw+cYMyjv%N>V&+gx4sPrguQ>*f;AmR7tYHO1}
zCVkG&)rr`d`>xUM#m=37cNE#~-}yB5x%~E+i}ja^T>odD);Iefd0O9W|I*M2Uv&1|
z__O8jwM$2z37wUQ&G~n-`}Cda;`@8Q&ldQucUV)aI&n+8hH;(J-2|uH5P_`4O##xP
zDPbL)!YAfT&}#AAK7ZZr^`MqIeDTXdGc68FWsa?Zj#|ZTck6Zu?YF|-a@+5dt9Mwm
ze9~|IW3CscZ9LPWo2+`r$jm`EnfZ#5S->8>-==7liNtrmuXS75{5P>(zn=Pg!L<il
zmmaiQ;nJ-Bao3yKQJ!;O&EF2ocY3<dJ(<^?-j|uYG1m4;=}mQ47EAfteqZ&Y5|{s)
zu6sS<<gWvMrD4~WPD_7xMcri5?khs>i};jJJ)9EJ?EgOG{uQm53SIY`dG6IU1zr4p
zUk_@%_|(5%=kn9TYCm`1T;IE@|ICgfGk!HpU}%P*GhBvW8D|Oywf=hX?eguL>${gZ
zpx*@o>Vqu5&H$dKuK-QKfR{Be@i>7|&`gHc-!5k}h=V3+&`vD}6;sDIvK4rS97|Q3
zQmCr)n9buD?}Q#{jcW{gXnUX!?6}VG>V2Aj60gGgYzC_p5d1ifd4gM+6Yqm-kUCeY
z;mc;>EQbAAYj?11$SyHuh_0HfU%NrSwnM+RKK@sLtjy-9(8k+Q_Y|hLM5aEtuDhP+
zME1r#ry6XEZ=7m4vT3!rw^yly(%LOn3di5<S<LEM^k?nFR|gEQi?3pyEnoX&ns4tV
z?wiV~4SQtFPk+3(j&D!m$E}Ax^)2Fmy_Y?5%H80U${Y<Fg_5-f9bEmIU6V?}>mLg+
zo=k?_r0TiLGTzL^;nNNHIS=nP=1VClROZ0Xc~}<u?Qu=<m!=%h9vb6C?k|MWRBJdl
zH$Gy$nco?wsf*Z5|FP-KY1FJZVJ|2v#&L7oF5VVU{Yz@&g_7rI+V=d}^<uK?b05Pq
zk5ca#&cDJ~vngZYY2z~{iZKh>{TBRtI`!pzzH1Zyy;Qiezw-Z&ZT&^TcE2^IPj<Ba
zzF4W~`<b8Sy8DHGZDYRsEiZp*4D;XH)8d(R&zl_Fy*PD#g?Ieln$&mU_NR^CzItvQ
ztyi{q|GS@_x%=NmUK5^~?Pt65t=#l?+0Qa^a-XFw-+K4@yh~;6)mgV|-)83SU-0uK
zh>(11lze+#@%B6BZ-bsa{&H>CwzQnIbpGqN_g{!EHrZ@!Y-(&=ys1cfGsl}xPmjNP
zyFpz0yUfbv{<fR7yu#0Km3!YjBXaJCokp8)-7#Oi;Jwy=0WUwUPepfhj#uAab8pv<
zyD7_G-o5NR^P})FHsLI*rhv<WEwlO*HaooukxMyuKJL1-=l1p6U9p^q1ln~hh`g_M
z#YT3gODs;kwgQvXR9}jH|AuY5d*rg0Qy+%Dmfxp*>%`=l8zwGqk*;K0F)_VCs*<hi
z@8NPc)S5S;=vVvJoxQ7f^4`{!S-Z3Fx?x)09nLjpec7we-YE&_+}Z2+IB(vWv;SV7
zj9wS~sqjp%^+(m8Tk`#4r|MtaQJrReZIiI(rfG9?6mD&@-Yc7V&9{1Up7Wl_?>m|<
zKRumwd9C&zw$z)oldUIuPPPuTnrwY@d*I2tlDX4&=ifd5^j&1`bnBD(UdDO5@4b6r
zo4(v`%f=MxcNTlMKAXK}ug52W*;`+#EP8rkUfb>Ly}DUjJSRPu)zz4E=3UvA<B-$#
zL$sR2>o@zb@W1X(D0Xt$z;m2;4bSow=cfjCPmuiH$R_;BQ~-=7L@K5UDik}NQJS)3
z_4!cH{<;u(fmqNLmR}hap(xPE?p1IbvuR~`h;NEoh_LD!H8u}lo(Z!gB+5-QuVop1
z%bFxQ%d2v&n#7f?O;uTonmL1oE&1hFs8uQ5GZ+3_A!K-wKj`O@>-~Ngh5mLJX<MFH
z<Kkam9W+Ta%4cTno*T<gYKW+4mrULC;dayFNxU1^F8-1(+?&2V)Wm3Nk4~OM#jCQv
zmF@TVQY9h}>KU1Hb0$7XQ*1x6XECe%o6?OtPWyWudDNkty;0+l$i|BDFEX)dP8%5%
zVQA*t`HP#+d_8}0>XPoG)u8Q~8e$6IJ7|*_ouFu8O4E@?uU15y@X-+SiqPSi5Fw$k
z(IBAPRaZ>nN>X5VD{t1u84?>Uj9qnR1)tB<zpXPX*gw{MVU}WK;?|11T~Q~3R|kOH
zm8Hmj65_6{JC4hyW)&V$iQn_>-iw)A&a^E5uTb)f-w8q)-L{|1HuLp-Pi@cr6>n!@
zOSB+~TI3yPEpu35$klUK#$J1D+tiM^Z~66dw^>$*k+9TMAu}!Jln}NPD|kfo<9<7!
zt-?AM`{Y&k^<(i*KK*)R%9Azm_H3o;{tHgnuAi$=^ebBQ)cV-!I_sCWwu)~FFL--v
z;o=Ru_q@4fYB<HYM5S^=_VtwhH@CL>KV4kBZ}Y9Xx3|ixuCJSW_gnUL72CscyVcH1
zdi~!}_VJc!=UI~gC8?ckURmH|D)SzcOjo~Y{J!hA{IrS(Z&a!ulwK6Bo%u2N8=G*D
zmH-${h*T_F#BZd2#P7@0CDjkuL01P$n840<0bkd<R^=}9deEKY`)086F6xfFps*wa
zj=V0}esBgQXCA4RCbos}`^>|P`s98*TruIKk4B1D#0j1W6C@QBjRU?M=yyRa0v3Eb
zaEsY+h3$rK4$@cTEDYr;o*iT1&+(gkxv%TNF%`j@L~Erc=@^#fkClG32zEZ$+x|1Q
zdA7TH<MVB?Osc$Ujrv);S-kSvr#e)g+aRQoEv_1nWXw6G!%eTTsOGG7RDg>68Bm?S
z;gryhhYO52@7$Vxpj23?G1cPeK0B#WrkNi%|7H{3q%QzQ6CxF#v4HX^=aeP4uO9~`
zV$9IkXNR)C?8H6?7@E@4Upw{Tfj&FIt;#bw-+FM~p2)aKnK{Ls?bzMEYgfxmzLiZ8
zeWS7dEl2aMzOeIsB?gIQB@d6OSXUKV7wvq^w*O9{RoTwP^A_nx_x#HcNt&|u+SmT`
zS*_RY_bqwLrNSw*!r{iDvcHw??<<`%1Hu9pocZd$NOI@D6O1PBGY+y>->s{AKf&nt
z!uu2KDs?ybyPe;Zsusd55ClaNZvKljI}!6=C)%@$`%N&mXq%*$I3Kig3m(^K=L#BQ
z(_~~Pbj|;M`r>V>#tU;b6TycHHsqXk*vMLjz98{f?59^^H-31>-DUdhs9RYb{7G#i
z>$gw6o|DSs6e{m9?M_Gz;(oLAt>}z<&2EWR+g_-}e@TcpxyDre;KiNysk!-$o>FK2
z$K5OYKlu*dm;E{=_Lb)X-nDP-)-~unD+5aZ%%?t@f_hRihTxu*miL4D`%!9*Uryh7
z9l!OVg=@8)xGBfXLh%d(#c*Z_nkkyZ#%QE|gvZHq*S8uwP;S4)3`yy>3QM5K>zI4>
zse%Qn=5AYE(wuYy4@D(4Y&pV^+1XflebTS++@Af@QogRgbzs4@NqbkSTFo%ft$cb+
zMb~ow-llt(7pAD(Wcj;{*ORM!R@_Cuf4<V6uDt#AnQyPGm*J+SxRiBbLWfRVb?Tc~
z>CSC;<8|7{Be|(*j{9Y6KHc(;@0jOt+Na_hzf<~ug%Sw0`LA&1gq{C7w@mu-?UFdw
zOuKjQm!%mOj2?qBEVvXt#pDD<i&XAz-Y3Hwm39c8Um;mn_3`7#zh7!qwL*-9xuyz<
zX)&vWuz9TD5xMOhkI^Wtj=38rRo}n*wzu61+r0d$J1X0z=bgU$IPb*t4?DP-`X7J0
z<SIS+miPJ`_gmhV@5SAE@OEkQp1matwogxUTUZW?+U$ht%(Rk2<%zd%drxAUyf1v~
z-n}Y2Ww-5BIWKwY?}oCCdsQ}qE=E=^cd*>|3{?3lr)^(sYZsBq`RDp$Z<P-p7OO=v
z?H98>Jzdg1KHorbJ`)7Z6irJ1sZi|nMkn5L7fYQjC`uuF5aDH;*Co{t(kP{V4Oa^k
zd4V(;W7BjjkZHdt`k8!PLO<TVK)o6Fico~N0^3%WYeE^#QB3M?O!0U9CLQR$Wyo3M
zds{WYOknDP>)XN=8h5+tHeS|il#XHIFXFVhp!#9PMb8JXZ|OBoVw-&6`u12R)$ND9
z7TBama8B9UEu^t=CX1Jg@^sA5I1@kJQ{k`W`oHRaO#3gtstXf6|H-)i^n?w6dE(@x
z=N)W*5xH;f!R8B+dnT0}{QVBKVZNs}zoX&F#zgpuY-jS&8s;TmAOES#uF~o#p1XJN
zecyB&t;+ECg1uh%<gQDf^cPW(XXP_lQT@@#KBM%!i8soo_K7K9ru|GTkwjc0S2A;<
z>SiAKh`!?ym%go6&3&V)s~uA~Q&h#5eX7SSJ~oe8d|95+!jq<jt7Te6I8R#Eykkjf
zO2*8&JhyhvpZjX(q`9{@Oy2qI%KA_DSf}aQu7259H}`d?Pnx=D72m|2-6uJB?3iJD
zIpxixZMBa~m)Fg9*V}lca#ziXo5n|v?26774bk!bX5eDA`25W!+u2{Q)rctHJMI6m
z>PUZ;HI}80*(G9Sp0{=1T-p|WL;6-;Vt&r-R@3Er*|XbBm*2b6R}oSEj@>f0W9G`1
zRBx$>unp^d&T2=`D~)97zc^oh^>+2wZ};5Od+|1J@7=}Qm%n(svMPFI<l7&+jW#dZ
zpSJD(k(pQf7yT86G{|3tGzKi^YOxAMYmm>znxXGrsKc6PUy6O7jICJ%Zi`n`uigLL
zzIWD?Ge73d_H3NsshK$0C}8%LV?}dbR%ho1SxLlC_R1`Oxxj4YttB}d+I+O)t<|pD
zy4MxomW~xS`BVH|PcJk-vwD7Zefg@>x1WXln!qfwckA1=>$Y$GJ3G6)aQCi7Z|AQ2
z8@uk_y=Q*m#jj@FiH`k!^ZAU(D@n(NE*l;f;*Z*voO<o`rd0Evo7lCh+;4}zo|_xK
zwpeOiRQNXc<x$~VW4EdtUl#Q~Yj>7so0#dIcdm<4?d>A!@2uNyUFGjxqN`)$b^lVr
z^#yr*-^@Q<^7?~kUdrE`j&&V2*UlTijgf5GrKE60G;q?opzS<@6Ku@jN4I5PI^WLW
zAt%ko;>6e(;NW#h^o6)Hi%LH)Gt<Hb2Mq<)(^qEK&Dq~$wkbtTL`*<POjSpRt*duU
z^x`-bL|1d;#ILK7&uzQ%YwJdt^it()p)cC2r6VP-{4$N$|H^IJp7%H1e=7YwbxJBS
zNv>Nu@{ww@bmSxTZt2J*{buP%@$Fr`Ys$BWD@1mbxXs_}na_VMdh_b<Te^GKtiHW?
z^Xl*0?yg&xxjT06`nP3Y|7|nhv@W+=`8D&~3gy?!YVyrDsWh2pgsrcPni+Z4_tW<y
zdEI4NDaZUJq<vNdGdj)X5_lETaE5DRaODI``|8+ymAm`uu%8*#_H8zDt*~f!qGR&}
zlg10->l{30O<59s=PkAxev{8-?ZY44!X)Kx)%<$-JNFBBw2^RKqBm!K;%SE3#M1{j
znVuXzaPTneiRW?oH?7fjF)ujXw{hpy`@+)o#on27uHF~^d~jc0p4EyYcho*sCtctA
z_Hx~U??>!z^ws+N9MOMRog{9yqk5VAf@?OjxA9+A-LL*Fopt%?=^t%3Ub;8)&HkHr
zzvgb=?R|ac-P#qlR=a-}+<xmfYkFF_eCF=Eo=xq4H_fmC_2nl1Ni~eDp7>PJ?DwV_
zE?Z=7UoMbe+`Q*Qs-fp6@c71!H=q_W_}a9PtfuL6LerWyPtIv7ck}<6dd&Zpw2#Ls
z2M9`Qy|L2n#2f+ZtKpOKn*6hlV>z1Ba~G$bCCc9Rkj4p6r0HpX@G`c>WQvUM2hF!L
zCY|(Ik>V9`l4rssNd;Bo0F#5u(R#`Y%nsgSF<jxh!OT(mikgL?n#Q`x2~G03$9)2B
z)pDx+*!Ydhr{K7UPk^|Q;2ggny^8GpeoXfF$~dQ(%wVZo^j1h?r)(J0Q_I6%3)aL=
zap*j&0%|L<V6>G4#iw{axaRpU?)K$dm&<i6PoMvzyI8vH`<+0!r_bM&nei`r{vAxh
z+3Qtezs-{i6`1+4LCVMDn*#);{dR0V^R+o_l3w-s4y+r&Z&s%vA6}+-lNpABjqEms
zw=qjv+iE2n37?uFG|iA%C7I3R7*Egco~!rMXS+zA&Xzp9VA{s3lMQ8kX7rp)ZRIrj
zcCt((d4AUJp4f@Idun&RHTt&g&iXBTRy{QPHuD=-PR?hI9G%79x`IngpQxB*pYYsq
zZNlWal2f~Dx4qRXbG{w>rZ~6U(DSW>_~Ec^ug_aootM6LJmv2tZ+*dwCt`j0)`MGS
zZ<|4l57FrQ$eCTaFIV5Va%ANV$&1AWGdYhkwj6<?lx_8Vd`8#p*~2HT%Qz1@r5p1c
z1lQ`a-PKYdJiEkKoM~@t%7_rt;E?3w;56uH%WKr~yuQhJ@y)4{{1=<pE<V-G5Qx18
z?p%4CcF@@E73O;SSM|R+-%6fT=Ndi!tsw7YyJ+Wx@1MWA{ix1mD-nNkc<uHx#kcQX
zz8~Z~dFF)+Q#LG=d?9)3F0V<sjCWsJf1vy157pfE`e>Jo8SdJ!WWn|ipBJMZ<RkZZ
z?#WH!RXwSttEOG$oN{%I!fGplrDxoAS6dyJrtTT`Idsa^n%<LH`?@yG3Rhcg)p0t@
zZP~1y%etaxEvwu2c2-sLZPU;cH@`yP-ZR1bFMP{qs@v#$pXG?ync!6JXDdJ1d=9Cc
zSNUrCt+I6q=O^?RZ;t(0v$f+1^LduQlB-Js{X~6KTqAt-cFXJT)cW#iiD>qjFG@GA
zbRS>|OY==gH5HENJirpRsLJ8Jr1tb1`rBiZRKwLa#%Jz6;&qLwbE}rq`J|7Zo4-DP
zTeW-fcbCmK)YYQbuoU>@U+=p*QRVQi?oXR^|DSHz#hkYJ|E3dZr3UYtSz~=Z?Y(_b
z&b8<Pt5vq*-?jOhm6q<kzT10BSzlf6=_j#CznWI;KhZP)sQHWuC5;ngn<mIMo#8U9
zXPhaV^ly<$Y$lF4UutWOTs{Oa^SAg2f{;{&*QKxD=3uSFMfd6+b}pCw{jxf7%U%uR
zg{L$Vry4uFb!Ap5VvBk5>-0Qn^kpvZv#w2_@lJZtjO($!JVCC3?5kC}tRkEjt!Q58
z8u+y+Bk+o&>Y^F?x?SJm&x?M(wC-8>?RDMD&8NM{s#`0&`^cBqx!YZDI(!kjoWDic
z_bl(dD|5ard)9dGip`1Hv)cO>t(^H<u0twI><mxp_p?0fGj>XzlA9@6x7l{rwP)LJ
z<+a|=-XU7I`)!!UOUWCz&NwMfc|JqHY*K5$HNChrt&Ne{!AemJd7iaiTc%QZ?_!hE
z$&aAkxxk49eE-72S9q|<|9@yCxa7W-apnH=pIzU6iRaVo=RN<(@r%#>bB`Qf=)`&M
zda}0ywYmBr&In~-Sug!LFLFyjCwR}V!hKt0f7i}4dK`Gty1GALc>rfQ7jH(u!S|nQ
zBDW}N!t476J>f65@p7?9w@bgTp7zv8Z&qr>C#$Nj??21b2cCX)|9PjQZdS9a?s}gq
zB^%mYeAx>FPjkucJ#+5wn~?Kc-&p6qe-t}s_w>D+r+)fvv;X$DcXMqnx4fy&eSc5)
z>u;N*U2Brn&u{6QJLjDKrHwi_j|#l(u}hzPPj1cjw8W&Cw8TyGB4_M4v_j|2vTe~f
zzTeJEw9nYx`gg<I{pUZs=jNZgdk5SQJ$ZKT-`_T>O~+rH@4tF`^4GGseDN=3+wZ-*
zcsuyZw~)PSudMm^@R-r&SugHCDyrMku4G(y=thFmUGRax0o%D!!aC-jYCiMx=Ibiu
zm*2j2VM~FY=3BT?PUa|G?cg!XVMR2n)1@UUcOS(`V(UnrHrZ>mCrfu5_u{>JGdZhc
zy>?trZK#T6TX8*g!HuNqP_z-US7On*c@l3-i&sbI*sVC5yJ6?c1wzHMkBHswzwN2J
zZT^;IU9*XcTEuSq-`399_&4+5%TK9WZ@hb}yN&l>bj-59+jq#k*njk?!hc=8dK0US
zzawuS1)cm_?tL3{;!;kv@4U^y^0z$l)}Op}^xLc>X>UzCGb|S^e|YRxHp(awcxK3P
zsnCy!@27Yw{1uyiGJE~rs*bo`8#9|`_Jwi09CHt9dy4PrZbNBUK7DJ7(y)A)^zD`+
z)1>3@1DR62!tKvpcfFl$^f)lmnX5%A$ZLmU>Vg|_)wNsRBOMaFac@~6a#!iqw_C@G
z=3ITd)pNp@HF@h31H5k~c&@&k{b^si{8L-!(~sV6oxi>N?bge)HQ#QH-_redYy7t0
zTiM&Ub-y*WoV$M2-fyeRHtzkl=GhCKH`}&F-&lV;FL8h7?$*2azJ0rufBRnC?!~en
zH@v$uw|DQ|xXB#X5~|;}&7VF~^l#9#liBNIh2G9T(KFw`YzDNOa%CmcOwpv}pA?Gg
zzU{i_v8y%~bo3_1D8kFOZ*9npOsBb80+ZAPUWGJHFwykfzQf!N%Y?&8Bj5Er>!YgQ
zZpxVNop#7>y3o5~$pv=P8DAAkYHYiHPXw);+qE}rwlrJy**mYk&En50md=4RC^zm^
z<C}URZue%{?Dd@@s#~tlyAoMez1FfcaI-?`&rQFw%k^toeQPeh@iR&+Q};_RQ@1wP
z+Ff0A{O!AF-tD_DTjiBcnx9ym^J&i9Ul-hp`hBnIeY>Gz_IjhI%ju1_yyn-ECto=S
z8djWn_iaW$zu(7$EA3WXVcCDJBA}B~&g`Vxk7qAd?mP3tv$~nnQ<MXYPOK5IzREsR
zIBEGWuS>okbg{LtC*?IwcSk;Zdy<v_45_|U`*96h-js9~u89sgnW7eAq`D@B%>&$k
z)R^@^6m6On+-~H_5?<qbfo&_NUk|6<(#L8)4jvOy`*FqZ49om$g~C&KU3@>hoSQm(
z0h=+Cpw8dJFRyR8!!rM3q41PBGdNG3>pjIX|7M}^o)`P_nwFQ}VNpGJcot|}LU_v7
zZZ(aKGdaCnl+7JIUw~THlWy+KYpOSiO={Zg?)&NLw0!wTmuxF@Dx~<GroU^vkhy14
z$?59jsACWZ_ZFiR>UYnrlR=#vto-_Tk5<*zrDrNaK3%(~EHyQD{)(3M{w4u?ZheZq
zcv=DJSm3zapYKrmY~j&)I>%@3yMArivgVJbTi5KnCDeTD3ft_cxS1<oCh*Rj#rJ60
zw28}7%ZxIf*Oje$9#>Yox?=0o=jO{|aw}s&%&oe=k3|2<^4_TQbHd}*x<_ZO+I1^v
zPr}Em(-!eB-n7BkDlhT;s~xSjyB~{{r5#_tt;P>T<f%VOyt^}6y83sPcV_}`TyEvJ
z_tR%yomjg$%daDH>cbu1raTl;uk_#IsBBzkbSuGWt${#RYh!>mPfA!vCew*I^Cj1t
zPkN^tj*>K9X*Eu`-4GDY*>cD2q|x1FJmGgjwGKO8f4=wPy8bgQvGS^Sz8X5j$}?a2
zYH08-c7EDhA>kK7l?PQ{t?TFiRyzH{LA!@Si(mXY5n1bW{duMAyI(J!Pd2Ijq8x1Z
z>Z@`nkF)*aHIIuY{A``~{F{_rq@%9U@0yMMlbigW9Nu2OsmV7bOK4`4i<wpPge5Xd
z?PuHC>|gwC!b;1OkI#7L?TW3xw{W}l*M+@Xp81LevbSuIsl8y3>?>{Q23|G1o&Vd9
z2O{!wUNw82eRTZ0zHzs5)@F@IBAa)pRZY?}UKrUh0fx?e^S|Wy?3emWuS;hi)q*mO
z2{&ZUY%}~Mv;ZT=w2%K*M4s@;5c9gB!!sdLLSeH(z--rCF^MZ_fwNnAvo_C=*!&~r
z^w~$ZmY#XUIyZH8Ypr31(p9HThq4O8)FXADtPEYz+G0?&bwYCrXz}&8T~RW{6*HqQ
z$Xm|abNb*q*+;jODsw8P@i<)<YrGJ-Y0{U|2ki_{8XR?&H%d_}fKQ(fqE-N1zV_!{
zFP`3fvd3L@?^C&j+$*MhU=3mGn%-QiQ8jlO;tH>$rw`i6AT>W4e-&-$eQL2<pMAE^
z#Zz31mNt92c(XIRc(ZTKSj%~Fl|K9A@3y}+-baRs?U#A;rZ1kaEb{W+S6luD>#<ue
ze>}bUq_OX+xEr23W!{Lt{!?U7xh$5iEN{6&WaOqq#Y;h_U!B{#0;H;{%<@LJ^<v|7
z(@XvoZTM`J7pwpF$I}G;*C68kkEaRG%ej6{{rYd))w=56MFybM%vbj49B5oi%BFIE
z(L?2na;;~6a3(i%nua;7*vRD6D+-!j(&9IIp1%Hd?~>cs-EoX-*@^K+r6JDilV$-;
zG~ZQ#PBeeSR`NxHCYrmVBmRD=Rl2o$(##FvOIxZ#*;a(7HdKYOZ3!3Ma>7m$t;Q(K
zpLlhDQR1Joy6fwoGO=yl$!lgV8<LdwQ_6UI;Fc3dra!q{=g|E|bncDyF#?*CCUf3y
z(2RW;uD62m%dV82b#-0`jh>q8nJk~TYu$sApC5WR@A(q0cjDjdyPdwX?si_DdH3YG
z-c#@NUhKHLchlpt^2FnB{e*1ZtdE)S{LPhivrAFg5#Z5?Y<N|YG+E_5W>xb4QHzoA
z68i$vO0^#=A$7^_xv!dGV+oC*VV>FvmWpMo)~noQu?G$FIN39TPyhQQEC55GI%6g%
z<kF;CjCh@9vMuy!4p`=>aT!ztZ3bl~^clNNT531$f0kZkYdZ6z?e=+69AB?YDeymg
zpkZEA{nzuZv3_Yz(}NG`r8mT!W7s)|v2<tcvh?uT_gQAfhs~B}``z;MY4({vB?eo5
zKAk#qKHs#|y8C%Mf8M?E$^ZNA9Y6N2di-en{_gv$t*;%v+%B`=#iG95^G~$IDpZPx
z@0Z^i^Y`2Lw0q@dn|{x<KGhyy{Hoda_YRZtEz9QZSp4(8@ZJA$mnA#@zl>T|FD>+M
z+sDK1%j?#^-SO+>x*5Oru6z9I`>%6KUtdeT`1hpl$IpYmiqHSw^zg2~*!!LGVsV+%
z{hRf^+sU5!op6x7@#{^)4X19E-#M9j%e}rf`)*4{yrkd9wddV+9nze*85Ch?rf8BF
zgOU0X9S6@{`FAC-HF00=``U`q%1PsPf}+(ap*5>{j{jZ3bNuhp`B(Mba=p@=W(OTI
zOKr$G#c*><W8wRrwX6STy!or4U2^Qxt$u?UDfX8R-uOL{PwV62z>;Hn?^H^U>AlqX
zeL7i7F~{xF{7u_#)akiRFN^89cj4n|+50yNjd!gv<}yCTC2pN|wPvYLQR$R_IecH1
z^;u8Icy{2M__hnd3O4x~yr;O{u0InUFLbs;^hDnx&>#kfj0gMbH4f{4{x)L0Wpnbr
z-tS8S@u8EBw=KV@P_-&|`9*~iskJJ5SATtqQi7E<|2l<QWy{u<B3IcdGoM}V|D1g>
zfBMND_td@00<UB>AKshD$gB0E?B?~wx?0FH01Je_^&;2MJTF?NKHTwg>O(`bjlXWE
z3a2DR?g?Jn?B(Ob&g|pEzO{2Lr|{|TlERlA?;J6@wBd{&+uJ+m{l4DWeeaHD-EN<A
zYuM{|ztNrhX!~is_czq<UAnLL`ghp>+o?&(p|!hxV$Q9ze^bBP$0tAJ)7NW#<_qs#
zD`ecg=rPl`Z+-Q<-^j|ociOu-IAFt>{juMc{QdTA`)L(upUU*p+fCm8ww_s|_KW{l
zo$;+B6C~{ua|{-q<K`%hb6D}2$w>Q%-&4nDf8LbtomlewR~XjA4W(~wM>!^PYd5$H
z70UwYLhTdAmcBq;sF=e3)${kgcbgq)By6^MN`did2Gh+v0mi2r%r-a4-TIC;&}#6`
zcFQlR!ynDJ-!pC7D;E`Juzgqiv*y~n;Kejgv(MadyXY+Z+v~-`*qfcTyM0u09&A57
zD>%G#$3(L$*H2%WA9l}qv#a^fMeM&9Jt|8L7nifN+E^Wa9Nd}u{;m&njYdMcF8A&%
zNb@)<oHtuv$mU4eU08o=7xw;C#q{(k9uLBPMeqCizO{VLB3pA;UZ=D>tY;J)PF#he
zwALAp4QDtFn*%1Tv%L>maIo-O1Nc<UOnwe1>Yw!S;yfdnjFbF}qLhpmPSZ%#HFN+^
z#2(m@?fJL*;qiFyO-{}$_iZ`PzIED~dDC7b9NOX%rOO%hY2G<5y|>jClAd8N^i#k6
zG-}%~7B{JA%D<dtg{A60uOB)cTs><d?@EbCM}4Dk$P$y|(4{Y%EuP$Iij?{GLV0`6
zDev3fcYUYKRdQ)r9NG81FY=53&$=ByT%yk9NEe+_H-322o@4Ic<_i$&%s2lfj?Y>=
zUWl#K?3e80<lXC-()z79P5pYAUheX9by4eX`{#%K1)T!KiESs$uU)8HAWFDez{o{7
z+?A{3_e-~*k8v#L|M4U9|Ir_wAug$EB7v$pi`YC|cqep7X>5C1hp`9Z`~AA;cSpDW
zzjgU~$Nz0HinnV8{Y)PvN|(A$)|D!}I4fA@C;#&Iubc00bi7y}yr#0U&&J&LakH<T
z#SON0fB8qvzkf6ITPFPqkpJT@Z*zbx^HQz8{F)2<HqMPYTsmRZ!{iIHCaD|$pE>^Y
zi1YDhkGoC(nCo8uu=<m#$)CM*4{5TQCEFglzip4Z#_Y~79HH6O>T;0_11;Y69^(Dz
z_OLeg%G2Q7)Lrwk{mfn_*#D26vVHrXsDP6_COor)%eVZA3b=Xp|BCbGQ~xvXtzB^M
z-@<!#9{HC==Rdtya(~LdS$*ar`z!6Q|NCCLXQ76^yO(p}?0es8<j?yQ)_txiuI@Z4
z)g#os^M7Gg_ObkR`S<d5-8-+``R}rKE7t+fs@Xy8KeV6Luiak1czd@N^QT8=K-Kkn
z->S?9g;mjOn7(ka>@9LwU-qFw{>v$@jZ6pU?_ajYVMFC4ZWjCQs)=WIJe(okI6<}<
zg3fRm>N9~Bl#0LncA1-Hy9?$ClAvXwm$_N&QI3UCv}S{#m1p`&f4^jHipQbz_(q2h
zr&p{z?UV7!>&6w{2`i-)g3SYT1n!{6_%4S4aSnqO+$^Py2iQs*7w8GxNC;tV$}ZLy
z*rOc3$l=33KkybPrbde|2bdhox1O6M+Qi%H-O2xn>tN&2{UL^vgbwcA>tgUWTqM6E
zKJZV=RW1IS1&^OHo}4k2eckJdN{6_fr&HOV%sf1eT}4cr-$O_9DP!lBOP?BKwtVgr
z6FJGa!|fFF@|eIdIg6Z0r<wP6MNK@j<NgfM#tG8R5OjviP#YXtqA$N)KFz!x%V{p)
z&^pb$AGA9VzFHY_PM@MV+sZS&rN3W3ZM=^&v}_8huUt-5`%<F%<|XKyz6r~vHKwuG
zp^vEUvI&^ZZm{Asb7|!Pw$jQ4di*yMLY_9h{<P%N0d{N9rom&Um@k$2Yw*Y1k4&s|
zaIMV`W#@|nUCC?}Cp)1fvh=}W+4X#&)wZudt8HI397(#$*r~eIN?~GHl<b7$GSEP%
z<-cnlr4Rbo>#pRJDGb?fB~X*Ub9d(^;T44|_@+GE;W7Q8$n!nQS}{hCU!@#kb-Kk1
zK}Om~TDVjEJVXyIz3pFq&Kh*=$puSClM-)64oh_i@<=_m^0vJEbDhJD!gec#i=Ae2
z&JEzSUdUMF#Qda%?buz;-}hIpn_Eyc$3Xg1^tG+RuNIUY@+@$Y^_d}Dw`6jO?@xE@
z*5HOY`ySZlrW^ip)N_jX#`>OJq{P7c_pI-yp6W|~Nqv7caBqL<pVbeRRZhBcWPQ_V
z=j|`g+a5pN_T8E@VfsIt3vV}d?e**14QhczC`Wz2K416pE@kzdFMmJrJA-yY>&<=T
z4QE21%I^C6W!ZaNyS%<EdmoH?eYBn92{6*y?0>gzSA#uh6CsvT)!vTjUcKQwG1J_c
zoW9YVv$ruC<ua$-V(ap~za0I9);p~G^M3g)dT`x0UiwPY`sP~i59%9V{{HCK^kDT}
zy>Hd;e%39TeC6}sl;sLPe@=*(vd=5IH6L0~UHY-y>fh4BlRYK1zHUq3X8+!4b+p01
ze9!yeZ+}-hJ}{Pj|JzGx`t|Qq)A^#sCqVXvNjof=dW{{n;c2#Mkiw5Y+fQ+^xPReh
zIXJ)hZQi0cGFf}LSkAxD`E`5IGuIpZ+_&et%Sl`DcT`QZ<o8HDxA?aI^#AF68>>Iv
zDERJ>@!dh|w(Z@zT{q_29eH;$`S4xlg?E`(=6o;t{qj=XF|;ic`oSlDSN~n(_vZYI
zQ$6n&*X;Y&@osU=jWy<n>MO1_u$Ah^J-a#g<%#>-r@}WyI7|nv@31u9g}e#s%mvmC
zBb~dQLN>>k?*BL5we^61-+}a2zS=N>3RxDW_06U#AFNie))}$dt@n9Qx}l9Fjy;uW
zKJrEh?vpz!ieGJG-m9oDb=#Wx<n({D_bK@)z4}&TTpD=qpXA9)^*6s)=Kj93^?CO)
z^X2Dq+-+>Vr<J(a!d7*>{JFg7dU52{FMoc%ZJKxcncR!!c}s5hYk&W8zU<EB{1-cx
z*ROj#@88e9|B?@+t^cJr?7t8R+UoS<XYJ*G5(VckZhrOao7mapFVBOt&$s;#J~(yP
zch>Dwc7A_Y`#sKF@m*|NaL6~yi+sz@{OBxc=KQ+RaYa9`Q*F+KNJTXt(B>uqwYzn@
z-r1RB-zqVG-I4R44F&LGYoVPPN2W2y*Nu)^m(%Y4Gupb7C;ZNFy~B?4fBw8^xA{y<
zZJO$xbTfzAH0CSmW(MzSpOtQ1=C^6tw_j%}9fM!nZRXGNy~{moRdcOVu=~YyF~z&_
z?%rQ!&JK=$BjU$cv};05^W38^p6@=fx~`~m_bc_~D^_zo=BhqpELeWF{k>s2Yw6i<
z$Cf^gf7LR5TD@Mm^VAmpH!6=MZ-0TzoV}U*Yl&Ntd1TVcQ(>k*zUXa|new?$tY*V)
zcKCLRjyKh_+NXBBd7~1a`mbrlloLKLQWc)S(B@iA#+j?Vyh?t*?0tsq^i<FW-j`){
zWhi%YuhirKBahUqRk!`Oe=c66Ibo&&tHKl}0WU_5r3|3Uyg?ctCqMry{<t-eclykL
z!&5i3Nh|Q0J4od@nA&XkUyOEGt--r*@$sp4o!{n*zp-EaR=)YxKlMBRK67sQpPuWp
z>A!wCXvay<i~8bMJ-ub~Odc40USBdzhcV}a(1DUYECnB4crk4Iq89%vA^w*@{I7re
zzAUub@jgqGb>FRz*S4yh;bM+|U6JSz*WK9KxMqz8Xg{Nd!p1kRjv8$+Xz<Y1<vU?$
z4PKvEpS{GY;9c9MR}6n2e)<*OZRuBdPTaNCJ1u6e&khHV-Hs5H);go0@eJomryV7~
zU!ME<2-Myy@q-)|evZ=#jDltszxsCkxaH}B2QB)YRtwLx_^oJ}dx60cT*N<q*Yb6}
z*lZW|GhE_&*JTssulQL!^jp!)S$rrw$EWDf`f{%23_mByK4iYj&R--y#a?;q3HHs0
zTF=a^edhb(z1VLz^&AH8u>TSD|AhDUa>~i=@~wa88E3ZVfhR-ulGobo_dqML*9fk!
zKJ@q0p1yzgO5T?C1@C#cXT_BhJ}*iYp1{!N+LfR*kX7>gW!(2mAdh^pf_Nm3-3g3>
zW?p~!P5s2{II*u*GdcJAaaPY|{A9)aq?9dd{p!7Z&9`1>t>5hUwsh<Dn+YLt-=DNq
z-{t)&6!-nC-$M}v_4TvM*57^*S3aToZe!ij_X~gTxmLScIBTwoY2fQ83vYjUJ~d-5
z%LcbCh-#?(S@Wqad5ix0eK@`No}%%>s>TU0bmrS$=9G0>?=QSv9)G5~4#&p2ze)GP
zLG25~39z+I6Tm1WZT_ymC5-zOuonQ3S}0BuqZShX948SQmUbw0>W58i8IjCe)-)E1
z|M``4&r{^jo_#aI*|$D;op4|1PYo06|7$j$ANJqXoRgGbob==DO6%hNGs4Z3`{Jt%
z-<Mmlo<2YQkKKF6I>Yykb%yVo-JbtNOSin8aZ%@22Xp<%JHGze)SgJo2i3CsXNAZ0
zJdHi8eO_jp7Bt-&HF#*R<vZaBNw*EzOKb}M%%3`ioo~6l$e#O0rn1M0ZdICDxZhBl
z3EujeDGEAiS$zqY_NBMWr?bb&;@IS=&mSX#Q)-VI$_DUL%nBiL3=2Ooc%(jMy!-FR
z6!udE3*N8qeYN{c%dd4UU+*%!+|78YocZy(_rI_H+UNhG`tJPij%(iwuX}Gb!=U!R
z@4O}N%}YUveZt?LkGr1To3l%;y!8J0D|;<VXWMd4Q~Pvp&Mw>U@v6EX`+V-_)_(r}
z_fNiECr8%-^X2bO{GIOQZGKbapJ(sYpdatcV;<hWR@ORw`azL@Yu~c89yi|h^8A{Q
zpWY;z#yv9ZonFC~RTH-H(CJ14(8}!HwHuDJS08=w|9<;4Nt?gEFKYi@_uH?x%F;-9
zmK^BPzL_BML{5CM!?RVFWbW4Odb7_Cd%~How;Q~n43XmAG)(}b5VhUA{&G&b>%C}`
zw(-I@T8TGJ9X4e*q+CC+<8<EN?B(i#Wt*eQN&`-><IB1!TYhuzj=3tk?O%w14tYo|
z*9$B=pTG0XyxRpgr)v0?#ov{i`z9^>vD8=jvP0QdRIjIP3Ey(LCv4tE-}-x?m3imo
zu4SlBi~aREZhK_q+2^vlKN3J=`w8oBypgxxb|&p5zl8t2r>~~FopnibvSe0-p_y-I
zbDoF^O<Q!^|GoQuMI4@7_aYzl0B(C$MKD@<#=rFU%dR>sr@Df6y4Kf-?ERm#NAuMq
zBjH^;gvtt;pFCnaag=vOH@j+sIY#r&o8jxzSCb={G`{WLyo;s5F_vk@2O$T$M%9Mr
z=6hHQs**c}4)j}rn)q&63};tr-v(6(MPFxIAGKnLUAOO`e)#;p_;veAOH@JI?bcUp
zI9>qVZWmuw+Qnr5u3~kxP+Du_{1W010(c{QY8CSr^=azstv*a6bPzy6C60n=uhoZZ
zpfi==XQ~7xLk}lDbtPi^{5aW)XzV)cWmYqXW1R7qRQlj8`lRA&W^4xmRFqr4WNMsW
z2ChXz+3!sbldbSfKKbfE$)V{t<;@Sha(H?5!z96#{3grw@_t_Z5Wf9yR>jSWS2YFy
zta+^3bozFj(vGE%RhcRsr-ds`Nau@Inh>$Z1$6Qshsq@{Z<bF+;9VW-&w|#CYzX&a
ziT|;ElhDDK{Vp5+ADPN=@8Zk4ZDMCRekUgG{(2(W`88vpvC(VBm1n9-e!u+n|D0l(
zznt_tIgYn-9;v&R-}Vpxt=*1xOhD}I^->tSge&XU-@iI@9@n?3xwV(>`KH&%KV{zL
zULybWaN6F9e_R+pt$gFqyh~jF^E<!m&R)}zuk`}8kSqO>r}ax_F7y^i{c)hk<`~!h
z`n4Z~SA2+kD1I)Twe`B=0?;7<T<e>o9;ydF{Cr9zjy>DsVQIj!50MYgsBA8-v@Tt~
zxo_Q%nETs`KR&y%zR3LB>suvkm;U~|Uin04iS_q|+h*>vy&v-TQfZCbr8&QH<$m&h
zzPt0Ybe-ycoBQjY)K@Aiy^2IV79jp&f$j8A-}CXeG+sb<8GG*fyQ9eef8}x8zv8mr
zzr=%@%x`BbFK>P`V}<$hyw^IJukO0n=r51=n7?vay0=wT*xk~<u-0<ON#m;@ePV5b
z`Ok+OGB*9-^VK?9^!vWIXN<njoBQP3pQ{(wL5~FZy3BEfIj_@R^dkZG<7zd(`*0nl
zwhhRaYH^Whsk*E%wR1zB{oT*^#j#f=@oBmXK&uP>{k>?n^Gr)^k?I|FbBEd@<}2#v
z0XgU6G5Y+r@w;u=vQNBy{cR6#*7oZMqe`k}Lmqvbd}E&Y=KJyP-rJtnLn_|y8<v|)
zy7hVg%QZV}|4-=n`uudpdH&Lmb;Yk1r5*gcIV<Y(_VSpYs>#d#?0>uC)9LPwd#>%V
zDPC^3aN?a=)4{#I75_U*b_g}RbEwLW<GTeuB8K4(d~rcU>!$<i=d?BX&s@x3wfg^|
z9gjs`{&BdnBjwoLlRexmd!!*KC9FeI9JGVG$9wyHy}97SZx@z84u+lNCjdqhPAv)E
zZf|!fvW;2uPeKS+s@fDMRh?Eg4=&ybB2p6Nnrr?a`k~^L7?$zl*;bLH0Plw_-V6EE
ze7NlI6=?oRNaobsvpCp-^~Bf1L30A^uRYaqvp;c^<16#!mJbTTPNB11?PiGn+CQ`Q
ziSLVeiT#^}|9vy_d?o+-eEp*BhWigA4G1h)b!{EnymzHVcg|WzeK;s}aaXjS-sN4L
z|EA_%ci)Ti%%Jzb>Tr&fe*Ig3(sKY`*1~a9-6Qqe%G>_pANoP*2HPfV_XEH6kGU^i
zrfa+~Q#<jpnFILB7Ke?me{Xz&x~HRO_k-)P_dP}a?0TKu9QC1eLq6v}m9kp<X=*?G
zXMr1G*S`LBIlOz{CdtA-m)5<XoX$0EzW&XxwikBqWB+;d-lz0^?yCQGzB{KT`{sH4
zscAhP_qSVqz1SB2Rd;v#+<3vuS2fw^m_43*fA#fB&j*^u_kK<lPpO<6U-`_h)=7D%
zk;2A^=iy0`5wZ^8Q&Cc9m2X+#5x4YiA9zN6O<L!JN!q8qSiV%>R(rp`r`{&Z&FFF8
z<pifX9tbkhK5~WwG*pzf<hH-QV2u)vx;IYg2l$B8WuWerlP2gOz5p%<Q0;2J*X6@X
zlv+hgupyw6p~Z_uVd_+llHZSml{5}F9;&xm^}$G3YmZP^1@n>*Y#tAJdv+hXUY}ZX
zKj6nM_xGT(E#_gVK_Zv_tXT^__|Me@9Xa;xh5Qbu>hMmvg?sMbep=fczuETf^&I&Z
z*K_2bJkx7!zH0b=`Pm&i>({=0rgzi4c)HXHhhlK6E9d<uQ1`T?dV0l~d#dvqzs(EH
zc~`XO95`tSe($f_;dAHZ_LaVI`Tvar<0sAxxar^lLutSFF&TwVUH{_S<)eQ$<2X>L
zq!8Rr`3OI!e~oAh7%ef%zWR1~_wVPpx~0nR{)#{T9OyiK=7kGWH!PG^a5i^n%Uf{c
z_I^Y31F7O9R#gA~?UtypnwweTN^2vhK95gQ{(tLSeIA{U&u@f$5WCL0W9$FD+qQp8
z)ee%<$bD;Hn|AWi0XBU;pX1%k{1Tak58kz&`)3_}@8<L~9+d~aoqNT6KX-jt?E}fZ
zzYdg3$H`Zut+!L?l!=f(aVm@X)0xC<W})t@Y%0?lw}Q^6s)e0C7J3U*_<Zwfd=P3r
zO_bwB_`a+6UzW{TG&jD(YWkTSwx%;CR5nA<87{-;;6d8rm)|aL{oD--z!h^9AP40M
zw`>8SB}Q+ryzTEVoA%Iwd%wt4r<t5v130r6GG20GUed~TESCHA`x5^}VrgH?ca;WY
zFXa2Ct9#{OOXk9ka}K(1{LX<!FJ6h~f2jLdvM0VK#*uS#?HlX6=`&uXt^<uUzAqs(
z(%3lr)Rw&0D&K4O%iunqZtwfwQ!}_y)UX|?bpQKmlp6+8<|{zZ)SJum>|+n?$8tN!
zsh|b7<>eXQ@0W=0pSA5w%d)jCzPA}>g2x(<?t5>JF>%)v|Gic{@WbnU>v^*dUO%``
z^~dBJ-~U$5dSJiW<-^X8zfYG1J^p^vnDzAe_MAU<DJA#jPdBae&#!tM9+cc0f73eq
z&%Z@`qpmg>ua&wWTf6z8Xyfw;SBCY+KS_a3BI9Nj>NW+PM233K93-awJ|4^p<M{V?
z+a|7q(>(uqeK%j6q+-0zf>&+HaRmt4?Bvtq06J;TZP(u~TnD$~NbfI2oAPlSc5zvo
z<$mWfhcv{17Z)Q(D2KxeCx)QNR)_8OcA`y2G7^8v7qjUbFFdH7*lg~=mfyg0@4yb}
zn!i)u)gFHET5K<WR`dLV=IaMrB==}!HXXDtUsk|1f&b>B|Mg)y@2%am-hcP|@3no^
zyH_2*KUY1fOTT?I_LZF4yH7?uBIP#8v;Xah%`g8lZ*TDYD<0FzJC8q!eI%*zKJw@z
zz4q6TF^qisN9$&L@A<pI^V_%guBEPNPRY!QFf{Y+YpxS9p}Q8{_W%BEHm)$Z_wO%`
zl(cL6f6!<Eq8!;H+X6;QjPkF)^`Ef)zrm}wM#5FQg}#+BKY7b`;x_M<dvVp)Y+JWq
zzn9m1>vnej_Jb?-{N1yx`ZxRZPv4%ezjAwa@bdN18+XX>`F`Z@iK{~2#n0^6G5>N&
zVSUx{qp@-AB8Ah_b1u)1yz}(t;jZ0Bk{{`Pd{bID{c$O1rPH;J9rH^9I(M9xGd(%|
z$$yPk+D5`!(j1ylbRy?|xWltmpG5E0?b>l)27ANGU;Mi~D9RDt(XCArz$heb?XJHi
zh5tQq^fPLX?ERng$0X~8k+9S*A+r+ZloxC#F7U2c$llsG-xYP2c)}fS=C7(hQH#eX
z!VwY`nZ_#(3c@@S8}v`w@6UYh$^7rkt((T$dVDsC??u_=_C+0SEUr4r=s81_J<j6s
zQN~JLHZk^1n+`RA+F*)%4HPy;BsyR{k?lvbGpHlxe&hB1!_O>^TIP3hO+T~4YGy&>
zgtBG`I>Tl75M2Bfy!>`~?&s&A;_t;ANbxsExCM-s7(E9Sf5p=t9^kAOEXACAd42z|
zS*PEOtNYu1*|!S6O1NJHo_LvexlnyinWnl8B#mFySy#^=UHr;*S=G$!c`9G~zdpCW
zczeeEhoEt-d5=>M|DMaT!Mk4WMOoz0IQ8RqA<22I^}i0IwTtcN*jD=9k9Wh}w95Z=
zPYOo_=l}Yrg)$5SK8vyCjWFyu#$1rMv7N&w_~Bpo$Jdz0G5-3ehF<&W{V2T*K92Dh
z;y6a%`<tyjoBn_K%e`FUMvp{Y@vBzZ{9mWij(qf<y-$ChW<;G`%H{8ji$DL%bGZJb
zHU3E1t$+WzZ>X4G`o8t<<YVhQU%r~c9GCR8;oXZxebZUaZJxwnv~$n7_3#64P9J#1
zptN?2lY;&^(6ZG}T5Bf?9Tb)e3R9Z#!v11y^_8BKW3tE3aJ2l9fS{DHj+ug>M)nzx
z?epW5e&k|L+V*>03fxgzqdyHfz{n%DZVjmIbw?6=V9OsDIygBkX`P<&!qwV|;pPtO
z@)~09I&5_L@C2<fdPTKqmrH|VtkMc^7PA%JETx?X*cNLE?%6RpM5*GX4|w`k=-|_{
zON0)dP78}svM6a$ZCbdFd;9u5>-{PpJT;D!o6r+k`QVSFKL3gCje9;pGlY%8#)wLX
zBu`C#k0n#5u|HV=KBAECfN7AN#jhosJ~8}N-Tv!P!Ewz?mA8D(5a@;YgGzj-mn;`S
zEhm+^3J^5ab9r8U&63SL>_I=StPnZnqtWUW0q%k*Xqp(j3yO%Bu<Hz(aaFwS^@3?m
zvTve;Ry1=?KJ<E)XV8zXnTIqT?4Gnf?AQL0u&qB<qONge+_PEgp1ykwV!#8_mT_i#
z?t3zTPNU459{L8Uk8%Hg@Uqe`&$nl-EuOQe)W6%R_e@I^yAuo<-7c4!uyfTMx9#)S
zmHLBb;GNbogI&I)Q~`pfX3ov4Z`$%sGH~tWnE|h-Y`7+=u-4ciDt!UyG+l!gTi0E)
zk_H|BJD1mOY3cN`Nn74c-Fj_p%xk@vCHT(K?foCHtaP^e&-KQ(#;;_Jgjb1ktc0Qy
zIrptWg)raUx?e~4EC&^}Sb8y+^`F^dxhn>IoT}b~^C-u8DKfG{&`O^JrN4z$9-Qyv
z+Ht0ZX<ZBJ9R|)Fj6wy>kM$mWjZdHD!g!ur{Ps1bcg;};vKhA@l;HgFbgl|x{nb;f
ze>hngx9k3ym;dnIKGvTbba$Wow&S8^W!$gOJKfg*LhqQD|5?3b(gNqwFVoIt&HT0c
z>=o7bvfE25S>Ht6K5r_#ZtGFdc&TmX@y+d`<(=u_`t$bcz5g`n47klc@q4tt_T=(k
zmrqUp?t900@i`^qh1(h@z|fg*b6Hc?X{BF$yZrQTTr)y1KmEId(o-=C7XYIPr_O|K
zpRe~GJpG2{FxCG4J>TPdp1bSDra5_sADWiepmUcYau?&)FAFR_G@<3fp6bE{|J2^?
z3zRwW>JbB5X<@>lZswmXV(dqngu9r<r;4!un7t$a&5g=S4f&7urKKGeZTj7}!O7r?
zd12_c@Z}aCZpA_F?YsW9i}_O&j}Bi-YAYkS!&jB)khJko!x3!LMj!msr-rceE!W<r
zzTT?f+L<r0-b*-sFH9`=?|T}!@K3{v8#DeiO!(8VLLpSqe%IeGS_f_h{+}#)U-GlP
zz+Fji#uGXAtO`%+6=tb<GoFYE)x7X_c{t;_nKSoQdvY1we#>0)&+hfMzgH9MH(&g>
zRN(d+pR&E@w`okC`+oD<Qz_qn=Y-9yiMV?=qWJj6-6|PBuSDgaIFfa<^QBs}Idki7
z@wHz+to_v$aV$qSbjy@Q2f5i(e4dG?pOHi$pU4@8uG;5k<f)yQu~szY%(Y8Bz2UtN
z@2q%p+DGHJSHw5o32&qoZkh+IG2EkpR#lYtE?j4rVDQb9O_t-Ndu;NF>sh^u{nsTv
z?K;-r7@Hh1Rm%AoE8Ei|twqe1|1UJoDSc5N7LyTC=*V8wcX!UOB<npt7CaP}H~I6Z
zxh=kCLUry!5bw>K#g?VXvrluMT{*jWwZ6&nb$K`1tBYUl<^8=U*Y9p&ytbjq@_C<r
zhb3qD{k6Hyzbi&tTzkE7%9p1MA79+jcdV#BtnXOi4kD5l2c&K|YkPsc{zWtU&xEI<
z?bpv&9DVpma=k^2(PPne?|0K<3LXA!2X8Xnw(79(<kfsa{h_id^QNq7cDcRU>PeZ-
z+6|u)1ogG-VkU`q@lSu2z3fN9E7sJb?7!k1lbyQwQ!U=TPqkRLE7ijFbDYdt-V;66
zwauMj+_QYPL?vuxoDiuPCe1KYIB6^6gj1)Kcl~wT`>>8H&d9jdVM5dWjo)wXe(4h)
z-8WJ9>%K`-?jQb9XWcLO^XwbrrUz-QpDG;-x}7TJm3D+K+*2%@P;j^N#*=!k8Mz<N
zGo?w_6dcH@zMU~q_IPshZRd>WJAzKPm+W~fxFz>g^n&$|XaD)!a8pcP$l&&adcGOC
z`R^r)B<(iH^lwZw%Q`&A;qV!*`-bPa+Ez9f9X{ihJHv{D$JpP%N0y~+rZ|tWzC@Z`
zPvWZucMM7cq@VqeT*l{?Ib&7FX>%XhDU!?f{C%}{PDR__*Vj$=)a!Dk{>={5u&mDT
zi@mrZxb4}MZ0UOk_HA-}?pPFL_GaaTf9mrmIoB<X`IB(WZo;Ik7saAe`fg5&*WSKd
z=jtT0m$BOv_c6Au_<u5U+CTNW75{Y?wnzLt`0KOT-nTCkmp%8GIZq_j+bhxRiTG*V
zHF4~>>fWqe@b`y@yhy8o_Kk#PS~FI%r@d;J$(69|)AW@;Q&-i_w2I%Rb?AD9_skC$
zx4!u8-|^wA?BhK%-&H!TOgrhbB2r-q7;Sd)+3XOqO66bH6|eA@rSpFO{(kA`-ICRf
zrH>~`_MiU7-=b&2{*n8v@qP7zyZQHKFa3Ua(KM@DRkxdtN^dz5FIH=tqE=(;@Zu}O
z!t2Z}ar_gy;`w8jUT1s%{{HF3>)X%#xOd}U<Av9Zi#C3K&A6}Wy7Tsgk8%e-&Wrz7
z^(ARaW&epJmWA5J4lhpe2<$Rqc8OoE6~}+K?$^|x>u0=N<(RYGxZ0dMo~g3!{kFG4
z9;sJF?$%YAK5d#AVd|Q8YL(_G@$lfP39B`2Ph6cM_av+9kn2^E^cdg5V_<5dt5_(C
z^jhJt;5mh+M<v69=UlxyWz}lU-`B5B*)?mE_$o~+ftP2vuZrYeo*o`t(vaSpZ>k!f
ze054qZ)otAhx_=$gEyVfG|kdZQme|ltUvEY%ifizS8gcX@-*g5+7ie7rxLF(+&^sf
z<?PDsTYlu6h}wN^(RAL3?l`jq-Kv`nTVE`lm#kZr*?0RogH&qkH1lO*QESvLUu-}3
z<=Txa(cd4$>9oJ_c;);3`ot>}`Mz>gU7em2@bc;Iom1mx1>2um`z`6Zs>jEp>%1dE
zYF}PDo%W_`-?J;T)An$EekS?;^8VCgzrRdBUorpRTH|IeL!sl%U!Uhz?cOVXneT7q
z@_XO93_F6(&DBZO);$#w^gC42n&Vfh<n8d4r*HhT_i~>!zfkAgpM$@>x{Qn6eLv;@
ztbWSybIQ*EJ4X)~N^1>C%-Z$$%X-$B9Xs3trku~a@JVA8>zB@Vd){hwfC!I;K?bX0
zufAO#|L^~*neTpHy0m-N&vz%2Yuj_bJDk|*sP(&Scb@&;o)53C7&)1jRDzB`-d7!I
zx_im$CDR4<W@+jwr+nL3=s$P=`Ped>Uo+a}K6Bh$HdSbfo}TI#h3P_76TCTXPb_6=
z?e^k4w`0nK9&Zqp(5<6|A|0)+qiXRusL5DQ)nc_bXXtdHSNCl#9GAA#)Oc}L{yEg6
z>cv@ks8!O+Vdp|U)fWr&RC_8l6~pz?IjdGBA8m1~P(5~6`MLd0yE9%Kzau#v<<Cq{
zG2xn?@?@9kO6eEPOLG?ZZ(nurE>~!?&dwx-qpf}Gtrnl3*wpxL>J{#i*Fkz0zAlMc
zAQ-XnLBofj-eU`@4z4d=FaF2ud(}bnqV?i6w%4l~b2Z8i9=n~kC#2wL&vdSPc8`}f
zm7n3!67}HVzczpS%e8Z2A}y@HJfB;2dMOj<1uxb~+Lp?fcy#thE%<$WdV$FyZwsk9
zPWQvwtdnLIt`XVuBDmG-VA{^S{ee}I?SEctTk4)VUAS51{ai0cNj>45Lg%?UwaZ^x
zUC2&bHv324>b<Nds!u&yoAT7ly5RBp*gYTLP5x!~b=OhTzQ38(v7A$WZaBv1WXdP7
zs<0uTmpLV@qjUbGlIgX7AFVpF$RX8^N2G;`b)kc!&4svvQ`KR&`X4<y%F66lUtVqW
zUOPfWL4>tqcG<J*4jS7{nV;@WSr$^cJ9^U0P3LxgI=bt2^P1hZC%_b!_{(+1*J9Jx
z>^>J!o;%SMEC`j`=C=YQI(OqcE9tfGzQw!#G?&}c_50`a2VdjsKd4qz+b_^Rcv-ro
zM&aIFhvMTKznE53_tf#m-QwTd`t*MN&qKe?3Fzd!7u{m8xAMjzu0ySF4R;m9-2DA9
zMyl66?NG&(0vk3BIo3p*ZxX%fJ>5)yUvr;Z(arSji)oOEL<G}`X`xIfPJh`K!Q>(M
z?@_*BN9}tjTluPZ9WEXJAD92^i8;MFME7`<=*^04I@00o_qXT7urJ>5vC_VA>t;>s
z#;ubht=%UH>t^Sk?v$^0b&|Su?aB1Evh$~po|qjxKdb$1ZRLio-&2{d-J9NfN^*Mb
z@k76UZ_@nyY0rbFlC`0WeqY%BG*Q|9`ueD7@&9v;57%G3cWur^QL~qC4^~xo-0SKw
zU%oCY;PpKloA2+>$v?T@V`1>=EXU2glJAduf4Q@Ay6)_RcvI*5lMMLlulqc@u42-~
z{<{CR?KIh6i|6d){q^lnVO4frt&jey<m!*JLjSk^)6w5hxm|Mm&Fx>Gr|SD|`@ALJ
ztAzc3(2r?*{_r(af)4(A+ibhnz^|}m)gu4xq1$t3+h)JxEIq%qTk78C_s4%LJiFyy
zxA)9e{@FIy-_5t*m$<QMBS+MYyTzWBQ@p2TigRpT?zqQx?#@4&`ag{hON(z0?tXXo
z?kXEC5xWUZA2juU3LQ#q{=Q**ZlzA{ZvPe9yo-KkR<BUm>-%rnQR{us4Q;QKqXkY?
zvo`$8%AYqg&R*f{-m6bGrmhUlyUn+XBVRJ$-Gs{f^Y+eB_)^pqAj;TsN>*X>-znOj
z?~CK@g0=q6{Jt}F-;L*CyCVKnPdK`tJrYF4KUDfye(cfvo}>3AyZ7sE@&E}!<?dhO
z1dAGr)u%5O{cp5q{r8>OOJi?)&F+uN+<a<^nYPB-S#KWom42M`bH=4t4E>sMeEWr#
zhI;#kM#+XO+M>1GS$i$tH{GRY-Bxee`G5M+HDUhS-q<{O*XsBA?wyS5)%`c+=8IOJ
zDYM;VI`jQY-)C*L9<DNG=DcS8Q@O7nO@4c+<h3sU)ljjUZ@-vXE%txKsq;e7O6alK
zMBa6d^$m76bjnWYmNiGe*%JL`?_aLsY{By{+wR#uX8S!)()`Bi^gX$M?n#E<$WGgn
z`)A)wM?Py&t2b>CyCae(8}poQEbac>bUf{z?z^AaV#VQq{jXdt^SN{NQ~LDDr}exh
zM-*>d;l5{2--i`{OOE|1x$Xa8`FERlJ7kZ`?tS_s*ZTjbC+@P}UK}<vy&Ld(-oj^P
zw{BRo*S$XT#rB2F4$IQOysr(Jb9bHpXWe)A>+{?Fl`H0K_cVMkukHP%qsMJR{BM-&
zE~~nv*V@ACwtULGiZ2@v^(*fyzI|@4`h%KJ3t97?PdP5WV{W+Jngeg|e0s=gw>l>7
z!-Vsi_8SlIhwB|b%6RPh+avi0?N%z@6-Yfh*FD?Ihf_p1tVu3K^@U?tliZfr3Ct50
z9MwOr;>aDx=iel@Q)U%Qe}G1*c$2%<pVb0#OFyia{yROmX?yIi*{)Z<d3IU&<St*b
z=<t<qS#^S2??y$q<nG_~zvowH-I8ysz6Gq|w-(g*_&z`4y=&Et&Tm~Fu_xj$w9l<L
z<6Qp6CaO9*NcGcHxo;<g%j|;W|5`-7Y!Q}Me6jz<{u%#QZLeRx{l9Pi|G0el_x~pu
z|7E|q_wW0v-~V5~wSV!}{?%Limv4o?eye}+*8cU||5t9;U%Xv^_4faz+y6)BgS5rw
z|M&mC&GPG#nD_H?%9r1~8~o<o%Che!yQ_EIwLSc9-ppXNFYzBgs+X2;uq@r>^QX`E
z&Q<kor_V?leU{I8_RgyKk#b-Cjl+HSG$)^rGP(Iz{qFplZ9bQx-O9V$Iqi!B1rC+2
zd@eG7L*$R*ZvPu={43%<az?k<@Bh)F`>RD<Wd4TGACX7ZbFQk-iLE)b=0kB;jo%OM
z?mq<&pL4zcyW1!+&u?#r;M97@BEuq&r||*BuT;BN{Nc{>o4dW^)cys}u6T<F{uEEC
z&um}0$JAtb+`f)e)y~DQ{<wzRlX<iLqruej_GedEovQyQ^l#tZ{_Kjjc=GMt+~Uc%
z_lD~p+g86mt|yoMDYNE*ZS6&BSL@sD5}z+--8W6X`prk{vYQuwS{>uJE`0ux-!|&Q
z>*BxdMH}y))XzHmX8Pu`_xBRY^SA6i{pOu-+4r>F)!lbv^UCwLT5HZNf4^?S?(Mhl
zTEBTWx2$~W-PqLf_nUT?-@aS@=3UnA>h*U|&R2bRZ}0hc_wviH-@42G=AG5<-wW=>
z=9kNF+RcCauKSyJi_5+n?*6^wZtbCWcA4e#H|_SneK-BhyUk_g&39|hy|c?NH@|gP
z{LQ=WvhS+9e{Z^5d-UDDtn&EH);oXcZGO$LyZru^-T61~Zh!mEx=j7P^P79M=ilXR
z+Wr3Y+<(&-zq)AKI)BzJv)VN~j>Yb7mVdI0_x5ss!!5PH+8wNy`I~M@{^jmqz5M^R
z3+G<WZtR;^H)rAE<@IYgo+Y~beqX!4deM)6lC{6z_pO_IvA-e4|N6g+?EjY(%g?yT
z-yHM*w|v#@3;itB7ye&ik2POBpQr8LRrdd<+5g{W|NpGH{`<lI8yjn5Zg|)k=BUU^
znN2#+`}4e=RgTJhIkQRp0@5ebJ9_8clz#cVt?x^*ll!lQ3zYw|T$s4;`D>5+PfC~M
zzqY#cy-@b0_4d9mYopwMJzJw(YkqCwzW=X0?iZFWdB4%>()Uo=m(?r#zWfb#|21!=
za_#&pGB2tZ_kH;r;QmW)g>vov%M<rqf9Y}mUdfX88CI9f4P{^cp4Rtet(W_+cS{yu
z|K|2fZ;5i{<Bbz#T+JqJmzO?iJ>gG!zSB;YoP3wN|E0dgrNrFp%TamnVK&KJRr=)b
zNxe_jYF_s}y?e4@W%H(qd)#k&+*_6tvOhTVgWuITzZ&oUz3}cy^p%;liMy)5C-45<
zdH3&?cXesy+i%SMGwbKOyZUe5O)o27efRIFcX^wwcZ%(<{w}?H_uY3-g5JElD_d5+
z{I2cFh2{R+c3*z;PP6QL$nNS{cWv*!o0nLAe#`F5Z{P9kuAX++_V&ATTXsLcaku#G
zyUMcfHM^@D@6JsvPrrTFvh2IlZtLss;!?`>x9y&OB6eZmZ)5R?%-iOFdCq_HV~22`
zDQ{f(s)IoxFET&ePFwa~a!Zrcx1cAlOJCXjG%Px#u->abW47jxH!r6z-F4n>{lfo~
z*3Evo@9T*Tzn8^x{0Ozz<*q39IuL$@dD=>Y1m#S|_}7f5*`w_r{f<1c{eDx&b@}2y
z-zLj%{dsXV|Lt9w_Wb6rTdh;YrKfz+c=D=QNN4G6A)T+Yg>;_I6VjPFU#KR=a@wi@
z&TW4k+TIzOmhQQJTmD&d`*(JY8S@`JVNqANvb=awx#v#lt0gbzzg*eUlXviie)Svu
zgUgo5W%|F^>-PO+ozRVk|BH58-+vdEST26!uJ+q^PP?n8-aW~EYj5?|yRj+d>o;2O
zlvCf_UAC)y{r276Z{G>+-hJiWy@c|hop0{Nrj_S!+HHROZuFaXMZ2q4-}&0N@K4<9
z_uu;e|B3&fdA{EA=g0r|HJAInw=%dt<L>po)xZA<9lsh|7?W#RbUF6RhDqC3-b>v2
zKd3$Qzx?sr>C2X<KHkf2@^;a}uU5_V(!cVu?lTsx`|qUWo^-T5=D+;Y*wcJF-G4r~
zc&#JyU*MPB6BlP(c({0Zz(K_;e_u8mPgH)E`!~JCy*Fg(=1Yf{*3XgIP`7{opX2qF
zhvq%txcPIntNV#-Q)Lw2cgQIk1(&r*tnZ(o+CSmBXy1g_>@td<dLH&q;C=o6*Y^J#
zKKuw?dO<)&wf_6-qwXgKwJp5vN!og)EPJD27$>jlEvI^3S4LG{s$j|q<FKMBGGcP7
z=l9lL3^b~~!PPfOd$D`xnJKcW$6hZ^Il1`BokM}29x}Rj+9dy2oKp37ima-8EBnc(
z<vMlRmRYRqCqpkbyQH1s6MFpBanlpWPm2yYewxV0-f5G}%6@X<L&qZLZK^gN+txh!
z{45qlWl`Kig`Y1PnqB4@{&BoyoB#KG>*s6tO~hmsqtn9+TJ~61wVd#|DVVg!&S{#B
z)4X^KCp)tT923)d>RZ0tbL-={?`HOH`wxYiyPBGx*rJ&Hsp*j8r;ji<JbdU_^yObS
z|D?m=1ruUqZ9P(!y-_fXlUMPUQ#r3GqcUHjV8RLGu!0F^bcG)5*0b|?7FIA}k9pOE
z6FxT`llItoOtbMgrz4{>Uk0X5@6-L=x^^C6kM>`8;TM{zY3<Q-o&Dq__LJP)>?fDA
z$*6ql5tUJi7XSI4vHNP8>c8LnUN|Zlr?Pi`{G|~2Nx^b?!GxNs1CC1PBMv%lQnm5O
z+w=TU`6OL!3y*v9D9%e4=bLn%$HL=@q_%~JnCR2@H#YoGxVfjP`N=I*6IT~Zs40V)
zxI%6J<MQU_CmY=JBM;^MazD(Tw#=Nx{cznuR->Q0R{pV@8LRp@d1uJ7>W~x0Uo$=)
zKGGnkb~tv)hF|Xfa`shUW?WQu?O*Kb?mw^Ki$~QPhE0Kw45!@uIQjI_zP-sW+CE4e
zS|a1VE!T32k#!FbPoc`)+}h&v_y3z)cf2$D`c!+pK-KyCvGv~{_uKwcJpN{b`K;cb
ze?K4IB#@`s9DVvhplX4)<ejPtNt;DHa$#)!I*rk%9$dRAWD&jXtnSj)=f6HMZ1|*q
zHX*szyx+V&^0S8hoyQIRHBT<B|HJ+>Tw}V_%ZVK(&-b#IxtHI2xmY`Y>-1-G?00I6
zZHpgY>z%!O_l~C<dT;yRD7$m=xXl;APya8f|M|I1)_s1>n`39&OP}}M*};)ra(w=m
z4q<=0vd@Cd&)9AMuUPtgccZTD{>lS?rY(JLo-b=)|Kca}wflE^|97Z9>yN7Xbz<rA
z{)nnyAC@kEK5gE-*s7|4M``S5&hyT&uX*`XH+ni>{^?7XXHTDJmy`VcujZU<_44Yr
zkCq6_Redr%KKILtn>P>g-?aLn7|mv%^7%o#N{hAm55;!=x)XxZ=K6Jk+w6ZOwokt_
z>G(T~vg?UUOFkcaCw)-qSBJ1rT-&?n2M)B$SpHCS=czmK;7yL~oX2aM`)8rz&AU?z
z%C_^IdpGUi&9`;uawBUPKI}g}dAq#f3r6PcAL?&bZ`N@yiis}KGGvKde9T^Tx>At(
zUhSRLZcqPy{CWP7q(d@u0`ryH(C72genv@3CRT4|bQECGyp(g>+u*y9&t8^f{eu_0
zH@sA}_#&heyNy#=Cpbv|=mlTHvS6RPBE{xMx6Mwv?z{2*GK-Snl2WTO5ZcwEb<Fgi
z&AyVYTh6p-+-KVzlF+EWt1rBZSxiH?#G7HUgBruKg?|_WmU}T?Sy}64?i$axpk^x5
zj1^vtJ|RmP)K&#C2#0F^nm6lMt@_Cu^A8^T$7A*_eZxlk=8Fw4RbOuJXv@?0tY=ep
zzv6wVpZ|pD*GXTtdiQOezGQuD)b<0W_jT9)EYqqkwcPTE`-a7P=OdS*^b^`A$SO|@
zt7MdZ&ii`l*SptJ<&{1OO#Iz($MuPs(({g<sV41j%u>n)y|pdlR~Ra_cf`y&yyQfh
zz?}+-3tH>uXY5kHSmD3u;XIde&V75;FTR`Sk}&t%{5{KFpK@8ft8UR@+s<#_Rd%`i
zZ`t))eb@1M%c|dWUaR=)^8Lo;lKx|vORxKbsIAxiMe=<wX6Y}o-ubv!H|n`-$)1fa
z7js>XOM8pNx|i&^U*2WzotY~B`D2>u+E+<-_dYKcjXiU`x^By??w=K#XNDCS-oF^1
zzIo=g0>k%fA3Tcrzx}m&uHxB8TsOnL%Zr$A=e<0d-#hD?a&@u$tcQIjk7YC8O-$dX
zeD+(P$!_aQZ{B+p?@&H#yKhEV@m-fK^Iog(N<X*AG}iv2ZL!$e*S|X7Wy-F7`>XTa
z%*`3K*ZoWUr#NKqsasTS;`bU%?c4D;HtLn_Ux{tMb$3eFEt&pmZr%MC>yNHim?AsN
z@n)iANt?#H-o@U{MJ1URm|f&g*h-Zotj|pBbJev;syJkj^FW<_KU?doKP_N%WUFIo
zof8sDDy@T~pbZ<|Idlj-_cr@e@wnXH&eJqKWR>OOptF)wv(9O(^M$ilgcQ3io#m~)
zWEQv1)tH2p&zQVT#U*YDuDq<a%&yTuC+d57<H8#`-eJlq6%4Jng4yN<-q6lkkRtMJ
zn^c2jYuFODGPmg)vOQMxi0^mv>52F2`<VTKWBZDe%4t46aZe|Q|9lc^S7FJvzOPsP
z>apzO$5tmhmokVO1&9jFm#HcFu**}cFy{TWmF2Im>@IzE*XnED+^Y2D_inB%FMBl?
z%sjcWyy#V`c9X@r>2F0I<lGQA{`J#^MvHaVW#`;6aNt=J=#y|;Hez{4!qTKeEAL2e
zZ8ks0e%_d8-egIe?Isnwq-?I6SMVi#kU8+cvf*GcLk#PYjg2`n%n!R1-<iy65^pcK
z_3gpdw+B~0esHz$gUP<{MQ?0Zeya=Y+fnU*XKwh<bE|8fU)}imRl@OZ&gFb%duJ4A
zr9bcH__lAxfosRMF%;(H=5R8kJ2zP9&40`MXve+gVEx&`M+*1m_y`>Tx=E99jxOh;
z9rxx2>)#eXk{#f4`dMaF_(d+c@EylaF|FIUsUdrf&I2iJ?uby!2U^B!R-M_f%INT_
zQ#`A+%y*nxBOL0{7CKcjj43T*s%^5T5wiUD9UxW4I;&;AZ<xSz+KKCph|*KN4O6OZ
z&<v6<m?{|-nidf{Gch!^?e(Xghf&H7ubtMozFxG}^)=Ia*QvTG-Q{den_79aKcC*P
zW}~DW!_my8CC1a%9SGXR<o%LM=W2XHsOmQ!*09i|^}!%!hVi$05bu6eLa6GyZ<5tN
znO19UT&%re)uor-$1kRSUlbGGHg)H_0!7KNXR{Jh*Fjv+DI41uI`zgy(TLDa*}E;c
zd9le&3{yq<A_7^biHb#Zg(ob}x%q{0_Ti4>1)ghF4vIx2nARt_2L!G5<oMmrv|8(9
zgVu&g(*D0#!=#Qd9&I?>&$Rlbfc1t+QJzhY7YkZ{1u1C>+!gUs`n(nEw6Z%hI31oo
zx$dLHAgiz{<SvgP%j&YnC)XVCx>~V8JmMx(nF@26HES75$hHRC*v6?UH@f+DNOP~T
z+B<XWthkIbJPS6JODi+4cym|l$PV8Z=U<<{o|;x=v(H}c{PfhbWl@`UJP9mcY3%c1
zf!2msyf%IAHm|tXtU4mM^uQ{wH*4LF3tPPtvAz!qvHkjeZjpj~MO<rm#MuK_!<Kb4
zZ)R*SVhszmy38=oglo;JBa>qbuX+ikU$M$NTIl5WSayH=-`d7d@#I?Z2+kL0_;z%2
zzj@RB@t=s2+`bRX?wt6&x#K~6i9w^b{NDRIJKl-bSO4q&U$@^TR?hxa+QcJ!zmyt#
zJ@VdLd}wmntoi)&%^1yD?no2_A8_52!<;8x@Vmj9MP~lQ@*O3+?kTVS&i-W4EX|22
zlh0h;EU72@WL8bqx0P%C-_~Tk4`!cVr4eN&qIv1*s@BMLQ8PdkAOHS?(kGk0SATr)
z_mE9Ra{Y&6{~r7eva7Jq=ik%X!*NggIE&Q!pI2C=lxI38s}yJSr114kbXsH>biz<+
zdB+@Op~?w45+^Q;F6Oj&^3tf?CidBc<)>p*)IXKX<gS|7w)`~f-V@AM)SKg;D88EP
zsQ*d9G^KEXM!MqRIUeh^Ie*(1ulR4={tHe`oP*5YzeV<Iy<*^haiOpEip_CP{+S<o
z7T9B@RlH)cQ9FbZKiOoZRs8jfxUbV$C!PgGtv7WJGiZgcJFB$Daki<1Lu&3>E}jLO
zwx#{Oy5Q_H84!P)Jcw*dYBq~zW0<<tbhaRf+%3<0D`zT0$hB)ZhZsWExt<E!9rIiy
zLN5c9O{a$$$9)x#2<;62%NiE?DD;01lu_RpI<?}e{bSpQpaff249YBDKDY&6p3E@y
z*o8034vstS?a1$Ex>)*pPb{Cy^|i0dECph>_eFQ#{E^%L>ALNct+uD)zfU;-O{4y<
zbGHXbNJOq$<i*TODHn`enQenDAk+@4-pF&;J&QXVR_tocHCm(Au*))d!E9qz2qoIV
zIBSaLg|jo6?#_WwF|&Af?JQU@o0}a$?eo+4^;Kj4^$W{fmi>uyo-H(!OXW*-@1c9O
zi!!FyM!q+itvln2%*A9Me?!~jU)t{JKe+Vg66f@%;$b^<_P;rl`g1Rv-s$%)1vf2I
zmQRp%F5|e#8<J}1tP_@efU#RU?~Fx4VY75HU&NXh$CfaQl^3LKEKHdefA7Vnl&ONf
z>^=)WHfVkc**5d~{C#!zr@83MoBBk5?El`cTFm>3CFZeVQ~TlTKfjjL<?4OoxZ$aL
zTJJ-c$B7TO3x94d{J{7?UETJfx55ssjHCMJ^X2RROI+iNJ~)5AzyGd=+m)fB$9fgC
z?W}gZ>FPSqw@<XG_<C&K_D%C64yc@YA=vDGqAc{$!GrvK`^rDeZ9dJne#aH(qqf4+
zs`8|kN$-*3`zh;_Bh!?m;v;c)qi(%c^12<Fx4UL<TMMGRXRqENd5&}acMjH?10UoL
zTl3@_=Gb^NE%n#f@I_&(t;DgY=K)-gB3{dEa+JxQ>+IZ-yw-fXIcv{Wzwb_hJzM?E
z{Z!}3Y`K`+)GH^P^Q6u`*8aqHjrL+|=Jv^Z=NDScG-y^6UiLfeki{HPbH4PWy@w+U
z9~X2fAKhWd|6+x9-Wg^;7Sa11o@oawCk0yhZN6yX_2J{kw-vkV{_HKEIrnr<Y+BjO
zlc{dgC1corUY`8Caps322mUiIv0VD~FW9$!e*I|X>(&QiYsH(Qx8!kd&)6$)XHn_%
zm9DS%1$JMvT_(2nccAVrO{<uTk1v0I{oB3E?V9Mcgs|esw`)a~DlP7syzbSG$rZgl
zVKHuQ+8RoWMYY-b3Y9w~9fa01`#mac@Y=(d(HYLJ@bQ&FSWRfe*Jf7xLKm)wR+~9@
zoXcTaCl`6(sxr4k#Al}K-tTp}a{jR7qM<pn7y}oiO7T0KW?|M9=Go$7aKY#xm?Iyp
zJGuL0##OiOu(Mk;t`>D)lgqq#t6Epfxh*V#<#eX3hVy|0OEpp5gbRnRv@@*zdw0=Q
zv#2e%0=t=Xxqs&bE=W!MuFJKhrYUM!7=y0xo63z+(>(qx^a&MOzJp!(7~^`z={oz?
z+%U{LVscu!Q#w6+TD*|?xobM_Rr2PnjkNQ+vqE?K=@q)dZn>`yRm|1D?kDm&Rd|Jn
zmTU4YpS}w>GE*ExBz{lq-MdkvFRD+Y!rvgmNbrqFlG9(7*#Y(k)vnmDUs(69C-jX~
zvsu)fBZgV(-glRpNA_7cv0Us;xW?e}?)r^f{zPuR#IoE~I~`;6%(V2xrA4QA_jb*G
zt7E)JC9kYC?9Lmdr)`!$+*Y5}yO%XTa;xb+u5X(HXBW1$glC&%FBLV<UM*^#y;1bI
zb6XvUvw<8-#`MMnn*$g4*beroB+T_Qh`1`u^NoSSO#8uB%e13=9;F@K`6%Lb!R*e$
zHKn(X870kIV4NtCF*jjBX;b4h&SO#Tw-s2Dzb?`hYuZ@#^SMI@|5KAIU6<p2{W{F?
z_sfgP@Git0rJ|k_8|KdbG~3O&>3+RHbp2AtHxiqiPvj|0e63&6uw)G*_i9}ok)0bh
z>}+jarImB#{TAIvlLOyhT%Tbl8Oigv?b+moTPD_;>!<EsyYb!A>yMv?Y%+OqdA@h~
z{b~D8Wr!^~`}yC-Kd)!}Gpdwmt<>9ZasH|Jsp6ldm#h`{>mT2>G<+jtg7SegUcbIS
z{qj5M+LE4GQ%x49T|KLzcYVz>NmK25x3hYTi@&P-TMNkUK6_Z?R<7h>LC&{klP}~M
zgQ$gDKn&X|wTCeiPF4xDo^V~=vhMIh$CN2^J{5LNxfQ(R$~+}y{ii$b9_q7-^J$)P
zY=!Ey7@LZ#hh9|K#J|1N6|j4Y(iOe699w^LwA`v?ae8aVw6ILRF<^JU!-~7^3Rm7K
z7ihn+V>(>6y)AKf^x+M6*CyV0cg=t&s-h{%&QW*%f@%H%r`0bR8GO%CF3?Fanl<xw
z@x<(0mi31<9<?<{Gv{&buzawMF+86sV%<HK4KaH;6D(h-7TsPr{e;RFp_wglN|P@g
zPy6(^>gVHMulZx1dmXa!4?O2{s4iwL^SAo4Oh)$K^-mN2ua|lAe`@pJ{Y4x8hx2{=
ze`;p##?N1KC2v01&M9}e?E0L<-Pz|h+%-+V@otuJPWf3$GeNGy-?no)z0DVHnRTN-
zP`K0}OKHm0&k9#u>`pD-x}tN11Zz~>U9G0ZX-iLw8C=*jhmVD4%bYZ+1}0tkh12>2
zPP?yos(j_s#4T&e!YqsIKmGS^o3`p;S@W$0aTCn=d0w${e!j6FZi4BG_(Z`g;cM8w
zimrC7J$lAvWz#P)Q{fF<ucAe!XCIOgy|R4;XK_)$`a1vp`*a^O{59DxB;C$ne|9~~
zDMvltYX@7VH@`BtH}Tbn54#oz7iz6IC!+1Q?)sSn(^k*BU3B$v^ty8*(^ebzJbiU0
zWvA8RNWHB1t0!08Ieq$2Oq`wWzB8WF#Vh$XJ({m^Ao_L2HnwoaYhF5MQe9nLUpw!a
zKlNlrZO8WuMv`m!KkkcFTl`h=p7t4)p3ZKe2YI2153|;8DA>MgLxF6>jmY`dj`!-8
zR#Y)u);*gpu=a6{>+7tGLhifw%~_THVa>0VD{6E*XH9*4G)tjj%`(;#SyLL;7_L%%
zt=I5$!E-~y#b9#P(*@5XEnifI@m0;c^P^$4WBuuwPni@F{dQkqP&qeqjr8|=wZ#AF
zLf3ar+VDU8k__9^%p3n24sQ4xt9|g9_%xQ;@ex8fb%~xEezvL}e71ca%WU~Lp`3GZ
zMH0Pzhm7)~<|q8N<~{7D$!WGo<p#&Rgv)1Gq?fAPSW%GMpZDze@8j=pYuBy}-L+U$
zUHCvtXQh$htH}$zO*}8JU}a}H^hTKB<T9O(Q!3S)76ir^C0u^Ud2CwN)j0{vb1t`X
zn#s;fD1I}2xm1ak&BJf*=Ue>w?5uWdoG5BO%hN)Fi=}y?g8_uvaM1@`1#X#_aM?Y(
z+92myyqv|Jex36*Q#AiwPMwz{YQo_t>b{DpT<4dm^}`*>7uP@KJTBX<7irLEE%xWi
zd4qQmPxIQPG^A%NmWkmoV}AWZxaaHvKdtQ7hB=2qHs+ao_mn=&n<k<CuhUCg``7Xb
zt);r$brIK_>Tj`4WX#_(Rqx*{x#=-hhY#62nqAUg;>Ui-Z8xV`?Zkx3#hhk;=OjFq
z5z5igJ9x}aIOn|AlEU0sGYb;_u*^Oroa5rTLD1&lvYDJ_UY;8Q6PtPuUH>*^LT>Bq
z)N{cHBv&VXNwJA&T5G%AI`P`P=xeu%Z)t4)Hs^!tUZI7uo&G8NwH{x3xc#$s?Hk!g
z$Ih<XF*85>PD`71USG#ri>{>{?HfgeHKrvcT{+HauW=%3&CjpT{R6Cz#-|lU7C!zH
ze#b{lJ5O!8sC$&EOy<;rC838VhH<(_scvt+<!X^7{_xZEyDk4~tp4rV*YWqTOU%pS
z!wgfcXG<bsPS&~G-JZL3YUfSa_h{MVusbEMy7&*w%S*pL?*!Y#dpFHw?}Y4qbg7qj
zOJR}FekqQKs_jhHtqt-MIj7x9srbvu^>~vfr@iG%PItw`TXziqZM<ny;5SiJ?7OLZ
zd(ru~zdp%NKi*&T?xx_=lLjv(K7TzrCrPizMkv<Rpmz78w0fh?Y5DPweA3R(FDx*4
zc;#Qrl|@C@bnoWXElIfXC+ENmBT@7Bzh}HKouhkT{$gQ<THBSZX}``))o8x=QFGIU
z=Q~5hB9FPqhXik3Vf5m$|014WGV_>Hrp??Jxtw9L)3k&4KL)p+GWwAn?^N<@!h9y5
z&*BNDCQLiRKCY<@Rj)m|#@9i9&!qF47~U;<7Ww=-(?#?1x6*$Zg#Y$=Z!-0~k$rSS
z#kD=J^6rKT*RBjOnYk}OV|7THhkj*f!z-&?r_I~$c-}soV&X3^lyh{!c9+xXs;%4p
z&MehuOqGk0-29HO>SFHiGt>0vg&MzL&eA<_f9({@DQYi-bCue|<m!FGmIh3HA@{KV
z{;9GPQSNKG>MXZU=(ej}zDdLRq1?m<E$8%y`WLty`fqgd#!OqNa`kFoRM5YcMNCuJ
zAJ<K7*u=7SV`rST&Z?^ieCF>6Y0#Qtm!)~?!Z+htAG3Z%?bgx#xgy#=YqrdmKK6fe
z9$L-4=u#8gw8>mjQs?6_tJa4s{Ck;>#WnfrAG{*?@WR9IvUS%VZkMfl_rdn(*^WCU
zMqhp%x%}dnHdlLfVbjX#2VP&DlwkP0RI}vQ@7}X}?LKMmbLIA1|7>o!V*K8(kB`6Y
zyt|-c-9B^M*I%SR-tn`18Tst_L+yFyOD(;(oW8ofe{#*5RS(=JPG6lT?;5vmM{Njm
z)bE>~?OuO;3m9h@S<E=K`r6~}!rfoCCQfu@=M%2K&lu&rd!GQOPkmOk5ocRm)4Y>5
zB^7U7P1f)2cvgOE;cA1UF9OTYraY^bJ>tKN$C&liJ6X$){AIjz6E1z`sQ(nc<bl6+
zd+v3)pwD~%+;Yyk=ab{Ly~<0@vMe`ht*H5@@Wl(y?qXXioY8z~kD12V?B+{*%ylch
zuI~xk^=#`U)AhAmp6!j)_*^A+YVNI4uD3_z)j#ZU^L$X*YxbjI+H_%?6*E>(k1OBx
ztaN!cDs``A>0Y*M?F)O-S&w$vXlrnW6s&Fkl(J3pi_^4pi;p^$whPbRdVfx>HPUpW
z_o~e+;@V$!R+&XhRBg3=`ScvmsR?frHqK6eE1LfHX1Xow*{YKU(obJay|d=}g2`ge
z=L3!3mu|5<!{nu(nii$^Om^>|&gIuXf7QQd8}WAi(WxFX?rU$)SDiWU`-|vTOb=}3
zHK#FtF;Ui*d-?klSHRSaRGwYjZDvxc4Z<Dinb|r^E2cT|R!Qd@RToulPP(@0fj^6;
z!?jbqqUW`mSBB*C^4{5bXx`L2xiS6?+unQXe_vj<)WJde=JNEF-fOFK|2E&Vxb!&H
zD0-{g@3fcex7xojVw;<4AkDMuu-aZ>^H;swIp5fyG;)hQwxry(SKq+e`Pjd$u{~Aa
z^O!V67Kob7bX>Z6?w0_$t$pgrxqDP^i>+$BdE}Z~(A$@8%I){8XKk@_ySpQ>-}Rr%
ze1<Jc7H^yGxV`L3wX5>-j9;^s9k{ymULDWv+PxMReg2m`;9Q{WqTX>%;ON$?_oJh>
z+<TgN@z-Mi?rX{ty0>I*2z+RGD0o=7Es^=<0!4>~R+<^i8X@YkKNvJZ)O9l%xvqM)
zhcIwmy*aO5&>%$J^`}7FtDO2%Q|`~2!W+N%^s}?mb+xX}30(QC$ICQb<hmN14H<)7
zDjIZ_by}9*hLGaMrL(ws-mb7baZBad{8&Mr?rVQz6Ew_jPrAw>Dd({8+m!%qR%el0
z4N_T>t+p%JLfsbby^zI!qez;^dykyjgbG`q3y<!VM`}79v{*NNS$xmqDow9r7VD-n
zIrluS%50r+{vpR4>9)?QB6q>BGo~obvD#5Mqd@EVv&2Iy@8l}zTFV~N>?${D;L}Vv
zWO2<>_mjZ6{RWOQ)BO|Kj}`9y6By8Nc@g{L9dS`Ei89x{yA4``|MVBe=>HdCDvZ(B
zEfnzU&I8#qTmJEmxLY+27H=IBWTLI-pDEzTS@cJlU1dj}kHyr@&yTbOTmJTQ+3@*D
zOYqL;-aptyJu3FKOh3i<@XEuTJa&f}Iq!Wv-g4O?D#U`tOl}?1qZ=7Ny^jeTcRT%+
zsqu$Jlk<aZADd1p7To^PeL~=P?&G=!i@e#tKc@XlWftC%yN9KmV>#cqS2GIMbpAC|
zlUaE~Ir)&_^jagO8=A?7R?fMruQtc(24nJ~ww-(O?j6Xt^JD(=EV(iJi#BB7b!KAd
z(~i}Mfmh=-tLC5#nTAe1yIfar&8j2unz|cS3DqwxIRs}Nk!RH1ptIzFpJLEEChwic
zpD}OY2n#ivzrc0Fs!cyRa=Fdp7lN65x=o=|zs~NfEIeAUR&YV-&FhO`_>|bEg79q<
z5>vS)!=6n~j7&DRVd%Q-^)gK-wsC0*>$FRv5v%rth7MnV#smA-fthJ<5WG8Yjmt_v
z!?Et+3870bPw!iNINn-sgHiaOt&f?si;sdhtAy&`RvdzA2Ah+IhqunqvEdXKdrl*G
z{B>L50kMdLP}O-^pkd75w++j0fySpoq7y<@<&>D^lt80cia}U-&az6c=JCp2j<EOK
z5b|b{y6IFiV_t{CD9eoxJq6AiG0(ffwZ^1PeH)W@uVv_?&b0?tdD(0PjSj1CWAx7f
z84DGgx^VrfBYCMuCW%CN1tn;DHZE0Bp0)%O5)VM0;mbS12M!lPyb>9id6nF2bi~f@
zVGT=tJaaq9#?N9Ap`GdXjtMh_{MvPlpCROz)f-l~H?O(Y{Nnz-i)r=0L;LcM>;s$s
znrqFflBmeq*t*3IRe5y=i?3hbamVbzvxeDBc|1E5ADBHgd)F&{Jmt4=*?~v8+WSt3
zrb>fGwxpODw(X0MobYvNZNr-NznN2N0~Hir?@e(yCur&VL}H@pHO7=H3u~szl}O4f
z6y>&rv>UUsd1{sJOemP(6qs7VarL}NkJP2jr(ILsq*kV7?D!_l)v!j5@kEwT!;#q+
zYJ9X$gyynNn8>_3-(pKl?cs$_U#-q)o$)7|S?z&FW>wkWvx@T5YeB=nv-#6J_xvkU
z{P-)n{m9}|CoO-e$h6LEd2Bwp;7PiX2uIayA1C{(CEfl`_isovm1?ZzWYsBo*4irU
zn7DjG@0BY&{tj+`+y3UMEp$1$^_WghcH+W$T->uK-^{plSa!B&hS1EGV^cgc+Ge)c
zPWH_BHM8ZEepJVa6I^pOmTlN@pNsqVS_q}PdBc4p&fmI|H{3UhjJLQ_6*<rLug_N#
zg}}2`{0oZCURd*qLF+otS*i7ovsL9lW2{X4ppn<g>kH0)69w^~fyry3&1SQAgT`FB
zeHu>Pn)Nib*=)5ph_zMK;i=Jzoad*vhBQ8nT6Mrru}IhSv2QCV?Jjcy4YdX*9-GYt
zN@Y;NLp|lbpd`L~87Mbh&i>ZUpw+wZrALF;<<)mP7FpKK_bfBfRJ5E?_VeLnjbFLX
z{pY(l=2=(IO4vHnCYFzN=h-VSi!ur?`^?d6zh-cD%gdq+-pf9-R!sk~X8vr=h&vx*
zUQJ%XetYH>^+5h@pH~QOo9PzF{qvaOha6K8g_4=vvo}>dO}n|sd&|0L&o$GXl=g}U
zy<9rML%-Ff>SRj7&3jez!YAb|JMcvFg#+s@^}|0jW=7B2%=}=j!q41*x=cZF9_=X3
z1*d*|*fLu)OH3+kZSLA>?)#&4OEV)GZnxAl<gQ}P5%ym9p3Pk+ved<YLY}Vlg|*HM
zCE33kA8b67<<oydah=7vI~OJNc9*6uc;h3lFgyEL&be;ybCs`^<Th?(O$>crwc6da
z(s1_YBwz7V$Ixkchqgs#tm%&_vsonfWw)Y!e}De*+g~5QKbYz^eP+zEUB~|vow&aJ
z@~8G$eVqF2KXNX6Es$|v&!@IWW&@v^Pv4)rKVP|sCUzZ)U$wravT^J8rN2bY^>4%$
zes;Pix?X&aik<b3Cl3}k^YiT!jF*r802-kE)yzBn`S$+(vD&wGpE;MhO)ofHPkXZ6
zlf3)4-qzl?{UKEodx2wzUOh{W&%&Bxa*vm-4!cuwwQKgesKU#k+HvCfOxxdkrQg`6
zJvZ_9x{|iHyDv$yMXAFFt#*QjVBPi8{Vc9oRaqLBYQ3>N=4jbd{j}j;vdkB`#|yr5
zu<vy@cwyV*_+F5wsz2dEHB0k7Wr;6x2N!(bVJpG5x4fvTzi>_I`tKK3Zx!y_+P81k
zA&YBPKeD(EN4(y0A@2Ev=wEi1-$&1MHZbHkzDwZb#%HaMxQbqeCa&W7yVc{{_1wqe
zYkqy(x+|!yPO-#S$26jF<;Skma;tW{x!6_ByXy6mZ9hwmSGua(8m{G?6kK_2%FI96
z<`yj*?@isHe?TqsjrCg2wdHf8uk4GMeL*V3V{XV??>(<#9u>Jfzclrucm&^Frqhja
z2`|OCVs=M%Jt{5ktyYP9tkQXUVZ^GbbuEc}jH%~C??il+nzram%k?ioG6{_CQcE~k
zw^gUyX3e<!Dd*xY+gpo{O}}`Y$L`_v7_l2WKC?)d&r5iGPB_Qr#M?W3JD6S-F6B_(
zS!cf2C%^4z*Y$|#N5|U2?%aqebUoF(W^I<vqP|+;2hxY9?%@1z_P~T4Ga1iDOy4n+
zG5pS%2JODT+8eB;;sjXpT5>|%#97()btF7+<6!e^Pk6A1gDux`lDMnJhaK)O=Ju~u
z->>Q%=^ZftwS}GS{(bcajs`5cbc<8u>w@k@q5rE&VyYKqU5OL>+1N2_X<K9N-Lo%*
z3$AW#$nNL3v19U|r`$7kNi{aC-mg=zNxva1I_F~6cHOAj?Cvo8JBuRN5*U|Xi;CJ3
z71n)i!Y0>=pE%7vPbw@FT5oUcv8U5iG%?Bd^^-O=j>9_yg{>BL&0Zj2wX<vXOBt(+
zUEa&13m0z_HP1Tz)+p<AOW<v(q;<(3r&$SBGCA&?#~@+d%hSP>Z0`g`<#*@KboI?p
zEfm(8dBN*Z=w|JGtJZ%k^4eUvbn`NC?YW}SYwuo}>E8I^^3op*RD`S6@8H}Ue#a$#
zjrVWa%Pg}Ev=6FjcyIW+lgB!=>8jnqg#3nt4a-y|)--UM?Vg`qe5NV$sIS4MM+rrW
z`7aJ^{maBQnfu_iYcGQ*nObB7zCOgc_1(Mx>nM)9)8cQ1ZMnIBd-BAhEAmXad^)>j
zU$#W8cUbXNp=H)wj$cdezyH0zN3UoF*AL^JU&C*T=1QerKfc-{ukTq(<kM^MAt$6t
zxR16kjoSDsf5|qX1uMU3Y6bXRNnarEcjYw4(M^wqHq@B)J&0T19CyHtD~~rX*;aqk
zB46pZ98Vs}-r!4a%Uy8Nz@%mC=7%q$EN`f5v;VGrdaOa3DUZ3==vT&{z4K>p4_%j)
z-xq(YXUCPR+m?68C<|><nY>|r*r$alXNq-nL??vKn`UyB#WK;jIQ~#tnvj|C<g!}L
z*zE3Gd*?rSBAE5PZj$nOudTXkm=6?{9*A03b)jwZH;yl{Z!b=Z>R%M8_ognxeD${1
zoQkKfa_O=!pEI?2m1c6xPQ||$Uo*}BA$)T|WaC_p<98R8EqC?f(B)dwzI>U(6i0pE
zS?js7%jfTtTf^S)!)8O+v0tJI8sanV`{xQ=T~ub?7Vy*9=i=gz1&?MQb`(D>n7WMZ
z^i|EJ55uI_spag<IODP@;Xb2DdCM$or?X2fMGpm>vu^W|&6?J1w~!}SqwVgS;EOE{
zZ{3s*JCw`Ft>kU^cRcy3Qt0yaKPFyYee}iq(}Ic02hLpDSi^Dsh-=DUg(b>2)>TQc
zEtEK@w&h8{`5ZfgEG9oUM+0|OY5iI4YqXU6^BbCuivR9CaQdK!;^d1b+JfVR_uTAx
z{h_sWolK8I_ilq{l_}vX|7h*rG}*LpWin&)LuFlu0|`G=UT=E6`|++1oBh6j>Yn@V
zskp&IlOBiNdi(tnL>5e(;l_CE={4TB_0L;Z{9RU*X6HIP^LFDDezRnWZL<w|Zl9HC
zdz;2{xXidMaku2*4R?DIZ{!^_$T0^?ue1!BEV_NRA*cC%m9;y5KFvIuZa7Wt=ffOJ
zC(+2mr4DUJPu*~zxVvM@KbMK6jjSiyi_C;4EP2`>>r}>bQ!^z!`RmK?3(ieHa@NDM
zS+;lKkp{z(L(Q!T1`!-=IH=joY}}6%HW=_hsJyysv4wZSsy^E_HJaFnIBL4ba2;Im
zo`bE{-Qb0FljD8CHy%vC`Vuabvo!BhmiQuT&i+OAaYXcs6>*0g?;G;{>MOhu_A1!;
z>FTrF#7wi7?di_Cdr>OD<oZGe&F|qNoV7)23;oZjd^tI@#m;i_1@&~J9Ca{frv~G%
zCx@Ko$3(oC%x(Sh{_+c-b}fDNX_w>MPf-)h`Xk=IvdMnI@##td?~}~Kn?#>&IFnSG
z7`$=UhLtmJ9tr5~5i^~wTse_3AVzP?$<nGLj};~t$fOAMHT$%c9x9yRX~Ad2dE8O$
zp~VRm8Fp9B0vQppzUD)R3IlFvm_0nHm!NEGy8RLZr>b7E@U^Ijh}WkcMHzGEYEHf|
z%?L_KdT}H3yMz|#ikiKWR6nPyvt{WaC)3nuBjf+aAKf^&{(aiB_(J2)>vzoj8Gh%?
zAMHH8_iJ}pzS;ihS;2#@*@s)S_0F#}d3&qk$OFN}cIMjzPCxqb^f72~^7s4OudOd>
zJ@i=JqP$ipPw6;o{gcPjILs82ntVHEBnT_1-Dv6H+@|=bYq6T39aHH)$nfM&4Z-W;
zmd8^=&J{{dEsk+}Dl<LsC$odo&ig*o^|DRZd!OVv<JGV{V0V}IhDc788(-3!&Uz^v
zTsB)cXP4!{WwRx>Y0qFu-uaeG?O;US?j}!zX}8xU2<bePdv)HG&F)jb_qOVcB?|V=
z7N3k;l#wZ$OB3fOGX0cPbF1yB;^fHGOgYHdXz-iG!HAQ4k<m#RosQmv>sGDP|6f@7
zM}z&c;LQF#T6fopeR%ocQyJgm94Q6c$No`|U&m?hFxV}$>~k1X%#2vhDUV-VJ=ijx
z`P#vj!h^4;wQc+;YMz{Q^lZ%DM`>bFg^wSF-`O#(<@V|Xp4mUu4j#)@y<ySKB7J>Q
z!s8C1939hx$4=IMn3Brnxj|Fqpr7Kg^=cK1%h|<e$W2dO683J_CX-`(9{F2c6Fz<A
z`~*|x^w^(=c8SSc3!Qe+TkmGbLA%1sv*K$s9AjTa2VOdKH)q;1TL$)TUK^XXSF;)Z
zmh||mX&1c4e!dNJ!W4#ju3ef=^-@*W<o{la-#PEA`<(Q@a|^4CV}AR{Uzv1%((`;f
z?z#!0FY=dOp8oF1<|jXs?>qU<3eQ~@?!)O&Us`od-faHM$!S`xrxq=}ATKeWcfo#7
zyVvWFo!_-|S@l=*9qE5}=KT9Lo#DmfIIe)m65T(APOK|Lz8rccCh^j_oy#Cn#37zT
zq~RZvb^U#RhG)XxZbi8NIyRFjgzML(Sxg~Zb;2Rs8J<69ujZOy^^|`xmxFoV{&Fpb
zyB2lY+Zb-xs3_!4SnFt$9Vgi?_xI1G6vhuPrZspu&OaX9;N^IKLvX{C?yaixmRhNQ
zF0H!zQFyPV7sIX9ABtDo+`Tf<PI5(8z|;%Z^+Xp0T`)HnT@dtQqS4EVMw!y{ww&~f
zIy~9zrswxdM|!ib9Q@~Fb6PK<JMQq2%lGqruDicG@U?K}r5o>V9e1=l_o?u*-KXz5
z*LO~@W816O{bYL?cc=ZTyUXTRz1+>&V)ORTw;zSoNzuaRo!8r3jG4V(yZ@X)cY4^2
zifK&S7u(tDOU0V22DL5^|2%KWg!>we#`iS>#3n>v5fS(l#c;M?w(ivj+n?J&<Fnso
z|6O<}FVn5@>!NLfP=#5fP|seLd7;x-<_XP~XL7pt`pKE<xpOMlPd2_k`8<O2zO?9>
zyvTa@nR)x4mHswee|vr9-MX`{zh%d5kN>>u@2u-?>nf9<s5P1uO?Hsp>A9fyj>?BA
zFC=$9+d47(-s_a}Crm-cf#~<8o6?`m`fj!J#qrlqCLMs`HJvFd7SAtbId=cxG)|v2
zdc|kd!j|Vx;dW^6THMFu9+%qL;jNlrIE%&Vgmh_)?W=&AN2)IO-dOLO5fHroi`w2R
zGfNJut)1iPZTNEP*&|7znmp^bTE5g})jhJ}y6)n0iAj~RZ_h`)iFCjD=WZpVgz<%m
zzt&7Oe&IKF>&LUZxY=?wHnXwCvehq%OS$On{_op%kA>G4|2g<2ta;a&#<S}^7qHHc
zj8|%06)b&y%~s==SAQ+pZmhO>XYw_ts?*t*PCx4`Uy>quOw30tR3qPNYr{RJ8DH#9
zpGjRK-TZU1(R!7?uM)V+_We^`JG0u~=K9+2X4B&ypS!hrV{do*&R5BTM>mVO+`hV|
zT;T<`zY}-W61|2sj~ulpF-~-N894huiq_TWp2gcb9d935usi>Xtj()`GFx-|qBaE1
zzPRFShRLK?t-n`Dn`gE5MmaX$Tm2+Fz`K5xRqOQwLIJ5#?^oP5d$EmoS8j9OsRgr*
zG9F)u%evCU7xldPrTN}alULh%tD<}CZB@ChelvbG(d?D(>cIRB87I~6YU(VnxVGoh
zja^3^&2uijdVGDwY7s5&4YDiuIhxOn-@J}Xwl3hvx99TlEdL^O+Uw>lJ-)vGbgt*u
zPa7Mad=q=~@#Ph}KR0b`f;TnF-2GHiSO2>z==}CsKdv9Q_l*71_Wa9_ub--Z|9D*X
z^W<!!`T6<NXS?$^)Ybo8vvYo2{qHXmGGDEo8YaoO`C5j=eiN0Y8H=X|ZMk@9QSY{b
zi^p8n-&!UYBgSSkcj@u<-<Lo9R3vw~|FrhEB5A+r@v~d2|LO|g*Z9aH#ILzg)or~<
z$Ws=t%1>U22d~E;b$t{n7Om>l6LnJ6pCP(_&h%BAjn=a=D0My*T(M$Fp~I4A)hrp;
zZpAh5GDxdV+r^%sF;`1JGWb)M_P1~U{OlU<oNqF@*LtU=V&3fMb8=7I5OSXQI8w;=
z-=D8vD=H%|tWRC&>9+6B^@d;CGxnT*{E=U_PW-b;r;E+mFM|G;LyiPXh<r4;>t=IS
zU2*^054Jz6zP?YMm-ek){_+Z|udhG<{Z?92vuDr#`nmn`$CqATzHoBdD|N|wAAT!N
z+qn4RRr~AyR@KzjMYp`3Ue@k&yKYjf{mFgvpIq=S>Yrs^zC`k!hw;6MX+g<9e^&k5
zcw083Dm%bbqH^>3g_$~EEAH{|KygWhl=q&S;ve=zN_^P+N%?0-|1I|#fBT6wNAefH
zyIJ=(xk~)<+(XZ2%uAZZ>_1;lX;xT};$F^-xQdpND;rw-wrsnw$NtR)liF6bo!z_V
z{J5Y}E<5>7sZY^yHAz$bA`b4q0vEhrE_8h7bXBh;W5S-J!WRSAe`f5S(5I8vX|<?L
zw?snNS9b9XD>uW^o}^b2M~}D(cFMQ$1Rw7$?UGM=s#}sF8k{4#<-gdag`ppoiz)BA
zykTD4qC7=Akv_AnwX^E|IbV8|*==}w+AXmlV^i_*l;?dX&uz@>;QxE$&d21!{hu9;
z=Er&Q>z4I_(6l;Lj+Y)qb`=tr0@w3@VQ%s7{}>Q+%}&<p(k-X<;L~blPSWo_%sqbD
zE$c`h<8Oz*Pd9y0?murg!OLl-vay<-6x$K^!yP{|B!uK|D*f+~zBJMFW8>KgeL6GG
z*Z(rfuW2s{F@L|fz2r)s9gEbZi9zh!Iy?H>PCB)3JmPF4DJ&l;^Ks%)K^Udk?l0C-
zawY%IX;%+-GviMOPj&REJWt-IDC>D{zv%C*#S_I=PW|CwVV5g-X<^_S>&<`druF@}
zknv4+@$K@SvimdNz7&_7AGgWf_`Fj)bCEp%^V%HKFV%$}?qb##)?c*S8{r!A-N}8D
ze#w=0;HY|7V|%2o>&J@Io&3JfE*Rc)KAyraJK6Tj>;5O|`>y}n@SK0)H*u?1yIcFJ
z>J}>RmVEIdz{7o`*x9+0GroWRx~8mly869IHh1P0$zSn!bn0$|*7D8L+>>V9jhN#0
z{@5auDs!==Gv9d>?^h}>Uw-G_+)w{*E#DpNcCY7rPHTz9!D9;<=d*CUyzs<&q2nF{
zsfxrWyO^?W{M{wj)aWqr>D;G1<=<~ww43I=(|ewGTKf3zV7~I@d#YP{zQ6vE_ubU)
z&g`OH(et~HPqtrobIRHN>`PXfFMmG$@%3BP?+46kvEM7}r|0}?J$m{04gCjv_3P_7
zSv<e!H!5`gQx#CLWa)hvX_fHa%<Gr$b=~EggZjc>)`V#;aXv2LzQ9?gk*|>DRQhHo
ztF8?i<q`{*s!oru*|X%4bG)K#*4+BVMX8I=-qxs6wd<+7tlzFEKh?iRMgC)A$(*{M
zy|eBI)@Fo$^e<`t+v#U*e7Edu_T9|5_dhf1J~qyt_P^5FF8;;m5ApBo_s_{+fBwtC
zSsPjwMjr~RZ2A27Ygy&~8l7Dge?Awzo3eiHnn(Yw>|(85eX0sF<M{n_o8s)U;_{m_
z_gz0J96RY_$dBJ&%kF&>I`f6ANA2L(k3Y8kta>YE^RPy_jh!dIYHQD47H9o~Ny{F&
zbeL|s%J(Sgi%nMdLeW6|lNbCi$%paZ6=~1o$_-aK`qE2(TH%+E71ew0<gGV1Kln*q
zb;h>Brthy$uV2o+_aLX*@5(*7N<|&eMYl!L6L)vqaetzyR2rgkd!_A?kc(<5UyZys
zC7*cpA#78XrRvRyXR#+<MFelM@|&8o=43#4@`}}lS41seHoQG^`Pq`i&#Z$vGIgF<
zZ{E_m(d7Q*_~Q|?t{YB!_B-&<9bd!J;GVl8wU#9Y3PC!4q1j%aqk?C>&-7~l)OCIN
zERnU_lSJ2w8+KhgxN6Z>!I#TkIz**jZ``uz>m$F5RTCF|6}!AET)N6JGdWSDY$Ef*
zxy|nx?P@N%hv@pf<mda>P{`$}XvZ+;m8|R?@BNGm?=*dW{Zr@6`sbSs_Rl+i=ued$
zH%Oqz>3XA6ILG1>Q*JdUx_1X?w<zlhz5Ak7>D!Ud=oe%a=5SB?(TP(W-%k~;$t?Ne
z@LBPQtnCFEjzV{Sk?Rt3zBJr&wYbFmFwWBWZe`|&%fFRl1OHdlxgYuRwQ!%+k;n7D
zT#NVK^S|)#&&JPtE?0B89@#Fm{ruC;xPlI@CsXzB@^8NK(=BL$(DY|@HTU$sd~)>d
zi=Ut0-Mm{t^7s<Y*`0bVOMSL&+juRlRVG|9F*ai7;^lV?Uo!=+yXWrzKKbfy)%F#E
zhi_?UAD`grqB`x>nhDNALZUCsay+V<STe7Ddn8lx_YH5;&DYC8XnN&0tK>emgKzko
zZpyM0n{X_15Dvc7vf}mM#}&VS)coGHXW!}-cE;Ra&IC42Sdv(H!a-QPXjO}{x{vX#
z=3BQH)X&N-{k3)J{8#%nO-pz(FXZ>`pttY5w(ixwS`)tN<+_l^u`Anl2Q5s<Y*wDm
z+gEI6p>->ON3}d+3Cr81#)&pYH{bsGUB(r>r*@wFW0CXg(>K>`(){x4<gyF<SFIE|
z{+*R)a!mX>`Q@)|WsP5Mc>nh2`q!^tz7DON%X2x}tR^cgMD9)g_S5!tK21%RZ0<~c
zQQ*JmuWe`9ca>ZBCTHwZzgXhG=;J(>!?vAYt}XR?QWY=JCDeCKSbEQ<n-{E3Omkw~
z_WW?*0iPYl;yr$rhhzW!J{MA6`SYQkzW?&FcOIqFr!N;)DPOYd^y$lae`Qy``}8UA
zzEwB>4pWC6n|CbKWe{vpvDuj?usz_$_f=g{dqsQsB+geHe)Nh(HC$a|=b>88LtjrB
zdq0`-UgL4rl<m_d+dX8wls{MOf7SlVGskz|`h4=t^WSg({3=q6%aPRlclEsAKlM|7
zd#3+AfBJsE|8(V2t9f~SA`H^1Is0BrJ|f|MN?qo-?#t|FV#n4^*e9a<<@bz3A5yYs
zSnhkcsDPv7DC1?(_VDmGC)Q^qca$_d?3F6ytgG2SZ-Lg%wTrf&%D?g2UCKwyEV5&<
zWLLqSok{Ip$7Hh`ocjund$~Pf@+dyRDzGlr;n>`&hs*dL&a0dAX`%Nm3%#CG<zINr
zKeMUZKJ@TA6u6tiy-Go5Yj?pFy`st$u`P@1RPJnKKmXOaTDit;vtX|D9;GI;s|t?N
zPV4_V#P9Z;*>c)!^E0*cvmEN=R;A_eXXZr58%)q|F!&_1M2<&!y-9++Swg>g!heei
z>}>|0t}|DbFA<x?WAJVU`<xsO^EBstUhnH^3VfHBe-CxIlVLP9Icj#o;*%`WdxiJx
ztGsw9!mX~6QHSl+q4z8smVS6EFkw!gvXjKA8F}GOGSmE)A5iS^TE1Oq(IrmzrTrzV
z1rBZaQc}<QXhX@aPmGCIRw|n{POM@+@I_6K;j(Q8xBfMbYANwU`q!scPcHG;_R-6Y
z^-Eds5~)KIv(()E>Sk*<B|dy<WPc=a>6a*Gj>BF*t#<3$$X;%-STp5ZsFTD@30|WR
zt6FW2osx%otNkrreUR)At>e|#xFfl`#X0fEGgFOuc?+E-xSHF|_D;BZc;bW>WkpVR
zC%+PQi9^x9tP+?%XLEe#uu&*zcZgUv^Sy_3U$1cAEO(2ndp_&E8x4ZAYI(S?%{r&x
zEOX6k{kBGfH<dPOCyqS~>H7P^W&00>o>x!InQvRYyt`K}?@*7ZJC|}z$a{w06|rJd
zMVz_01(vVOOybL|Epxl@@$a=SVv{=FJ(<T`Rng)4xci&n+WxN^QSz??znCpJe$G4h
zk+kgI>m^6t-<JHD>Z)feleG4)_&smgpSG@gbKfof^~LVo-}nkoo4ZT<UU+QzXZy}$
z%Rkvq9xIcd7X|(aT6b>m-!EP>I{2<$s+IL!A-3=1%Gy-v@?VkG@8@^tFZvc&D0qL4
z<m1`K<$LewEmCeRzjyyyeR+(E)cz>He;!pofBvj{sNDW%{Zskfd0n~Yt#$_4!7rFM
zo3;KsczxLg`yaPNezC{TZmLUprFwC_CadR{shqQytgonDd1zV13+^KK?ys_f6I||_
zFnWIJ&UO^4Jt(4bQQXII(%er9Pfxx5d3DeGYiaX;t)2VJYVl$1y(+cZlE1gPrH55{
z{<2zpS!=J#-+zmXwf3sizSUFQ@~d*uUZb}G&tG?6f4%+m$2EO+qI$Ay%-n{X`)7qI
zX8bjHY|9$=@I>`7lc^UM|J@lDzv6rFE9r-IUVm3H+Apo+ZJPh-pV&+5Yjs|>-vhlW
zz5nfN%6M>ZX3+g_<-wN>_8Bj&({7x7X;0lz|H}He-?zWW)4iCn&-m%xWo~uP&P^$w
zEme8II(XXr`uqC*^S8e~e*e$0ciT^2ZoU70f5yk3U!VT^SoQnY>rE2(<By-O-?w!B
z8HRJ#{A~3P@7wbHIkvw#LSlK{!})i0=9sB4>{~j&)9Byv*KdE-ulg`UK-IKm#m<A}
zI~2BOsb)J{nCf(0p6eWaF8le1eXDYJTsPk%$XpO}Mq2NgYHkT*&7s_9RfdXQSsdZj
zex6>-+HOdFy=dX_YIf#7;kOn4oA+p^_na_aE^J$)ne*|f|MKO_*Dp_v^4-1g<;FEy
zm-1FHT~1rYbXjaAQ{qhiFK>-_FHIDjUE=nj<$z!#Gn4t3*oC4szp7#utQXg~$Z%0`
zQFO;Ug<b707#9VEd*>^OoU(SRd>OFF^`!SX$zBuVzZDuM`@Wo=^63NruN9|$P3g**
zG*4yck=Q5NUU7BnQs@4^xGDXlUPFad*P`G@3mKQh#Dq?Lt#c_zdX-4O6IWiq?={+s
zp7cg+I;tM|cJYZyp{tjI*R~mNdwOb3|Ei76H8QE6)qbvsnEBn}^zpSdcTT!%9`h6{
zog_MUiG+IOMbEI3NuqP7oJ)1>-?Yd*^5Wt(F9O59XlPgMG1<GpM(?%Q$2~`O=g#N+
zth#d6@<1EkN10;&(I2-6`%ib<qvH2jW2xfe47SM=+I$vO3SGJ&Z97X{@oc0=v6$(e
z`z^0lT=*8aYHnAq{L))1A3U4rweIVLRx|%aswub6PR`)GnfF;G%4u5k{1XMU)dQJl
z=6+VmQdpcjZ<g6bq0O0wwpwNS^8BAZE}SK6%lF4{^|Q)=^NXwIUHQCb-u73O%jbQ6
z^>e|zsWm3{o$0FbXFVeWm+#kHlQ&OFRnNb-Y5H=vpQk75EPc7<Y3KeYq4U0d&6HH-
zb-&FXdbMAE`|`Fwl}o-leqLggsVqOcX~)D*8zuR^+~8qf6{?vL#x*~*{miPvX;&9+
ze)S<z^2nOk!Lnv6`R0bS`+M#4Jn8<hwIb|Ouh+l8=iyKLSN#h-z5HqT+u0$%)m85=
zc`|#Os?ok$_hV|ZKcyS`1pfB*Ts~~SPx*1!n)fGm*q!Ryr~LSr%A?iqPyDbp`q$U<
zIe75{kVL)vu}yWl`?K!<e}Ce~%x^vX?J{Dz{aQLzZH`kN+&lOLbQ@#4uPFp9VDFgr
zAZUTAfM^D16swi9$hO>uT;=j9U%$${=)MyXSnMb-z!A*HAtPv^Sm2frd34JI#>P~^
zD@{k^15RsB@CiHWf8O*?ZQR=xwRwl*>;4^=(z<kbWvZO^W|7-Vtjzz{<rH5Dm^DAd
z*Yw&G^@Dcij0Nv}Kl-d(ZSs8D<0`G|9$(G~U0oj5^xVuR&DA7zP77P8b=Hxi{;5h2
zmm5v|5Ioc4$4VcSJ9R5(FLS>wId8tpOjDx=>XFMPtZ|e6qms2EkjuVPDy!tM|DrZ2
z(T%fa1<iAnp0<2-#iUwYugtHXbV|PIeEF$U^7qb>HGfJ3{jb}6T)JH7;HTu?gr9*Y
z!jvQGgf?B}m^Qy9$F%9Gy5jA~7mJQ<{t~j-uj<#`Ess36d|26e>gUQ_VRs3owJ&~e
z^UV!8=f7zCZL_U`miC;Px5Bn;G~VhI<(chx{?M*@txs*aSJ#M%?Y7~R-L~zo&YORc
zJ)P->ar0(>y<a@5@#*3uZ=Jbv<)1dTWWM~UAn*NRf?T_h)td9oReB2#|B7*H|GKk<
z?{zU}?zQJax7M6j-4Z#U|5fD4@Z^_YcLruk%YP1De96r2nflE2Ej#~QDS0pS%xm4t
zH?7&HV&={IHEp@uw5OiaZce#$?6J+W*}rZnSa03Va&vXnlgAPA*9~^n=5YBn)~!Av
zmAwA^s>NA7d+k>Dtuy@gYYorRV>e!Jeg&2|C6Lv#*DQ2ueDa&GAdBC8joi8(B)w|?
zu^X#xqUx^h1L^mIlBv8CAFsS!e&(!3&TWR<Zh5b*pZ)9Gxj*^cCl!_7eLG)YE<bbE
zrc>^3-_F_YNAvb4=T-gd+j;!`jNLZcKmV~GyCY-z?`P?+KR>(k<?hs-naz7Y`cy{m
z7O{TE_(R1z6(1aHm>rWRePuiEtJ`c}bDMvaIo9r8urD@1{(eo_j@p3xb}Rb#v%M?I
zezCWxzrOC`?Jd^};+mM5+*uA8rL(Mi2wKM$bGVXIPGHBnV^co7KIOZR*~PuXPhhU%
zth~nbEmtk#uasHt7k_Ddyu@3-c>DVK%j1t&LRTuL^W+=uIs8HHFgwrk@Dmah9_xKJ
zS5!^!JbKwXPG{b|!laL1)`@igwmrFCve0t>^yLetFHh+C%*_;YZhgPK<*TB)+CO~k
z(?7p{{C>Ta%>JVX9{xDIMnrCn%-{azHr>50dtT1*$>DI9OzVFjvtY@=(+5~i7*t8d
zBo%pm`oPX^Z(*@r@<BEG_bI!S=bW=y_hYSD;_fv|%mghzbRSZz{QLD&)#5vaOqrHS
z2Y1h|T(@-h%-D-XY1^M&$vAUXXX<I!RK7p!e2+{$b|KT@K=4MntuLp%@-$&vt8#8~
z*_umjd(=OjP^zAy{#L}pdye|sD-+E=&)YIt|LqfRYxYY*&pmI?C_PdAHLt0v^Yg2_
zC9lrzS-!M){Zig+PU{JwG4o~iDBj_aQU73KsxmS5+|<faKD(Ev?(lrJXw)v2daL*R
z)E>Ul`6a1&Y}IoPOts`KpI)3A$G*pZmyx-%o!^5~bJ+Ht-f6V{@I7^Q35}dj+!q-Q
zKgeeKPN>X}Id;-`N9BvXhh|9LwOKqnz38(~-n^S<jdxdW%bTbBoRP~{>j2BSi6RZ0
zbCgZxg)Q8(Y}5++u9WyZkg?W3e(v<f??rF+%CPGnKY04%gQpb_WD4}Gu3VJ<B%RJ8
zf61a`XRq<Zw|_Nq-n%FM?%Oi+`;xn0&+h)b^zP3~@2oDR-J4t{4hoRmu4}fZCU1*$
zY}up!c2`}YrT;CFXVp`tzZM9ZC&TZ_H~sUie*w4UKE*l9)nv-Q;ra37`StUc#cK-+
zek^(`JpV~}dBqcviODW)OqqYTK5;%E%akWy@G>`V#^h@6Nwb;#-YxX-dv|)pG4?rk
zKKa@ktT_8nbV~F-Z4LAGaDgeF`O&Nj8lM|Z*(@;ns`ibm$tZKSOv3`7TOI5Q8k4vE
zV&pirL|&MI<J6M*+8|Qi1w_t|Vc1c~ep*dx@9c~(%eJrky~Mui=ik?iFZ<)_Uavm=
zbMf^1-(MB|dvP|u>gXK%s!aL&&;JOnsyCl7$F!-l-eAK5)27ZYHU$mgot#w<?U@{B
zKH1qem!)!vL=M9Z4ufd9{<P+spKjPooSAl9?s&pBn{SD1o1bnBH<_W^|98#7ocTN_
z6#2pE^Xv7D9H(6PDjoP{#^2|22v93J!CZ9Wk6#8ulhH(Hxd}MX2}S7%b(u^HOa*>*
zo=DP|YijXJ(<A)Q`b+E!a?g6~&tepqa?&YEV9LoP5Has)(*mC-Yu%n)|IvG!fhARG
zz161wp;m5u6PB9Hcq^}xT=lfxIQG*@o>`J&pNiz3imW|huzb!UQO)HUuBRHDCLMNm
zky9#?2TgxF_9!Xyu2gWFII%56V6lfJgGUgX;iSoqJx@{ulr1HXc=$oNPlQ~Gi~<6C
z`7ILepIFU<3l$tuT5qHPMTcCa&Qyu&T}ZfCF;~fY-l93lCYO$9EV&$=S8F8ol6&#&
z<Cj++Tq9M{WHuvI+y5uq=BI@Mi3ZVf=hw4suB?=i$Wiuta#!zkynUPD-mv%uo}M*v
z+0O4nc|qep#pXxXO;6&KvDQ46*QIz>sqRK#>X)t=yIx5IB{zHha$O?gC>Yzyw^G$n
z&@<YxY2Bu_x~9}UtwDArw?AIcT^#Q%Wa71Pzq0H4X)9+Z-Cmn|TQ~WYrtL}-ABR#F
z&PhgxSmp`^IUi=3E41tEo2=?r-+o=$I%i|{{N(F)$Jgcd#@?3NJ<YgGH{D08H~7n`
z7q3cutYVYQs-l=W)_>;Cx2(OpPQS+C({UqJVfTk#2QHoaef|0D!<UZ#`m^WDLI-pG
zwI}{mWuE4|I{VgUmW+nz)3J|i<}c-))_nR>mRZL6huJ6JZTh`FHEy%2dgt^T3<cS1
zxjS!6DgJkBSLvlT!=&JIZ?C_Zw(Iw;=9=u4-U3ga->Lt(HYTZZd&n&_$4%=>?P_}K
z_FpjLo0M_3`iE3=-OXBF$LR0zi|@~C*H;OC#1~&T_e*ngyn60MrPKG-u0`3^CaX<c
za9H}%wxyF6TvA(pHNiRRbB;&0Hg9Hou=6dsd=Kl6&N)IW*RDC4E*kHd(8!xPH`F=m
zceclC7U@gB&bMt@c5}it{cur<_BhSt%~OrkqBV_IX{W}xF70VwIi)b#_w<_OIUcW5
zvKJofHv73IdHv5bHG!WSGdn!&AKd)vv~1_}2N%2z%AWrZahZ@L`EIUPP}72rh4U9_
zznCyZaH+?yo}dzG>oQf@-IMxaJ&&to?k<XN+Hfv**MHOUv$H;{-#o(BktbkvXm{*m
z#zn7Zn~Cgdej&E#L*8|c=!y5076shcCb&&^zpKl_4Y|xYw-wB*p6-?2Z~t}uxk;aY
zZ?2wx{OO-xUw(?7{Q1@O`;14w{p)Hk)~<drFRW(&>Gk@%{J-sgs;9f>)Aobln$hp(
z|DEO%ceR@Z=F8R;6)a!+{}!+3FL~KJpWn^>$ZFP5{r&Ww>XdtDE%(K<@%`j`Cu{Tm
zlHC0X&XPMaJ3ibxQ2r`_&0lh!N6k$>(IZh=bvstDYqY<&RxxPQ{`~)rwaP)psQz1D
z{NJ?gS-$e?mJO#Xf9`uf<t58JvG#v+jZXZv-=WKKbw=OZ>M7x`{@?m7zTw3Khx&rs
z<$G-(6wNa^+uJ(fyU0X6?}{nvZ>x+CKefr6eOp2Cz2|JbMPQ-VN1yJgc`}jxyy2bV
zVt(t^G=XEBF*|>mpV}Dl|7-c@*mGyazucW`{>1s-<}D&$Kw6|e?U<wf_B-!SFJ1$S
zvh@PHrt{s+?s|9B?Yq{J>dH%d^IpbXC|O^!Yr4bT?8Wa~FW3KG>*cWHdi-DO!=8Kj
z9zXf`{?o7T^?$7o|Fcd0pSP)g{>}Z&Z(kqSpM7`drC!eJk_q~+87|Bd`l82hb8`ES
zAVI6Qeflj6n!E3*3I_=8y6~a)@R_CsJ|zne?oU)>Za!XA{Ni`pv;Rw^XU)507O0=M
zDDIBS-W{D)6YIkIJobzKe}3o6=jy=!dW)pw3(Btii_W{M`Qi7rsjQAOGu&h^%w5iS
zw{p>DIS!W8f7#oG_vwd679^iL{C|1OI;I6vKkh$RdivVK4~0xcR_DK6oABg`D(}gO
z?B6|K{ub3t=XE{x(`{nWvY;h%9*bz|w`l~$X$CIY)3fM=hja3hg@%_V?220sV_%TS
z^oZRRm;w^NAd;EE^sV>L`34Z;pF7D}K|}cGe8!*hR`%@<uj~I^&5n@z9sZu}=V7}?
zDQ_12vU;E-u9B>C^5hMlBBN!K@7&w+bN}VN>;AvXH~rziLnE)<Z<p=5qW{0|FK;lI
zExteb;3+4?#9P1qRPLNppYzFauYKP7{VyNL$zHkL_p>41^`ybh3CAD*e*D_#^w*#-
zrzLi2npK{^=l$`5cfkwQ8{dUWQeT)Szgu>rEcneX&2M)_o<3VL`_aPbO-W4=vb*D&
za`g}1@izD>RFa$js_d3ic~DPnYN@DQnRV`)vOhOW=WWbxNG`YOkDVLja^uIO*4_JR
zY(H*em@jI6XKm3-@u_DnCi*`+!MH>=-Pv}>nS-g{^fnjITzmSAp7G8zFN{+2?;1#l
z+jfiYo-)5%DXQP<$CZ1_%j~v(U3qi4+I*?nQ`gxlb=wz-PH_KuYk}x=FgXuQE(Mco
zOje{W`R91vJb6c}VOz9B@{ZPzuWw%P)l<G>dRI~LDcc*nlyb%0yf@(oLTn0g$%#x+
z+EnAIGWq)Se*HKurHbZ+xXFqZQ&#EB5{#U7yF-V&)Mc8gozm%N3`(cBF)5vHV^Qj5
zUhysaj@!~RUxGD^|B4**v2QiJ?4Nk%OYn@MYgUHh@3y6Wx@-C4-oew6d9C(O?x&0T
z`5r&g;1zXkoizLROZ|Lte%;!8=IDi6T)EKX%&QBh1h#T#b#Po?Fi+{!)~)K-ug!~z
zt}e=bdvfbmb>UYc$L1Q&yCeDdB!uF;bs?!ljxRU+;KE#8i!Eze+qZ3f(Cv0<mc92W
zy{BJ4FW&K`LoTVR=cVSd=QG}U?CXA6>m#4^OL58Zh-{Igt|e=>8eWq+I!918yZ6zZ
zj=rsK8%te|M=h?fTXgnGx$f)L*Grb(dvf;jGv^~g`K&n-p911p*UWsmK6U2}!I`@Z
z6Au6Aw=zsPykqh%gM^Jr#o|YG^ei_=tUB{ZELAL9d2__%qidYR%Jb7UW~P{#Xm5Jf
zaMZa`L+jqh)vd?m7G1dEEFx9i;grlDnDIuDtJl_L;l}n8TONmV_x{aVn7r1qZfl2_
zxSz1<i}OB@Cr*sCF*53QcT4+t;)ITz<jkYW%9|@vL`qwAw!J$uYbsZ}8~4G+1$SIl
zhuHSBSO}Mjy?b`ujk~(v=r-R}quHWUjiP0y8c8cpHM*@i)o8ZCRHJC~sh_r<;I^B7
zI9R9fN@+t))`^4?i$M2<$^9nB^wN7``-G>6Se04I`sZv{U+w#=%>6WT|EKDyVm5M5
zqqTM8ZBA_ui_)l^5*ce-|8KJ7Zv6m>&CHP!o80@Qj(nOtJwQBa*Muy?htDKzlRkCa
zRNf}Hv6$nN>WmLZROhpDZesM2v2oH{8y%Y)lU87TNoN=L<Jql|CE~|)c5x?*-EB4O
zewU@M_xP5?3=1vQua|?;b}uq`C$QnRXltQq`gU!u$64p{!bOf<opX1cM$b&$3b%;E
zA@!5?@3V+LI+OQMdn>O~e=F~yX|23Y^ICZiEp6p>THDHdXiF=v)1KGen~$Bz0Zp!5
zm3Vb3(k;#G%2kP1U1@h4cuSw^D2Gp5cBi4VcG>fjCr>9F`uxQF`sC_&)0f%#RJ;p+
zzh`?7=iw;U93`VOmTpUKuF5!RskB{iXZML(7dfR%EIVYn?>(M&>fmy<=WAtDat;<r
ztztdxcu4HdlW@zemvsDi7Am{2cPK?p-^t#<&hk!hhw}rmMq{Rl%jQjhqP&1$^A(y>
z(j2a{b}9Paov<v=BiMY&O6f~ec$23ctXZ5s%TD%~&(2oE%O#0tuFR9<Dv&w0_W84G
z&hxhXT-$K|zy*m#oC1&dC6;^gKWc97=X-a;d9w78?R@)+KkRL`e!KJ~_d}CH$2*zt
zA6)Tv<T^69?CSO)%{v#RS>px1Kbf+ByZ9f*!=JYQVVZ7U;JIVZdhbI|-^K~)y=MxR
zI`*L>vC?nBfu{<Zhf5|*@muTvc1xaLOQFdv-%W4TZ+@LT<?$ugea~(bHQ!aduyFp9
zg|kz(a7j-;rF$*>(IdfKp2zBhR`)(rC~N*|YI1MllKZQZ_lJw$zwCSI{o13-FT>2-
zk4dk&uVW>0%X<^g0yC3nH<1_LpFOE)K5Mqx_nM4QzQN?Fd3CQ+&PIM>o4k;RKUeH#
z)8w^F%JZf;eTw6f<SC5R*~|KS>sP;(K|1S08>}+gBI-9>I`|`Q(!T`RU(R(eUT-b8
zn>kHzSMOQX`E0M}$}hX>rSJM)=JVI3<$W`!MZL{s-DhxkyXdXQ51vZ-o?PDdp_#>5
z-*J1_%IOL3RBwC}dQ-*uZ7)lE98;#DLgOZ@DNgg&xNAO<aMgTb;jX#Fb&<%WSq@yY
z!mTxTfm&plo8ByW;jML?&r9*RyqDs8r3E5)@6F$}*ZyniE#2lttNpt2qTANliK(ZT
zd(M*PY|G9wI$>ML)j#v~oMYcKK1+uzJ#%VnK-%QJ2G7hlMa_xq5<m53zxXj2UVmKY
z5_huLozR@=hWlf#RMph_*Xc#=u9~twEK0F@%FmCxf}Xh_@tQebPW!1ecdkIil=ZzA
zud}_4shF~!H)?zJl=ZyN+dE%Zx$ypHnGm_><?c=Vf}Ps~!-et>tW&wSE7o(*%iWVI
zc2}r=bo=b}Xuj1Zu_Uqo0+S;DID4c$-gnTxB?Zp?$gdbF`J;Wp8L8!ki{=Z=I~08G
z*j3SEJB}ZkG2etc+08%k&H7(ci=OVAxpRR*o!sLP?qr$5#GcQ3J*#)lUTyh%az$dy
z*^BF)B5WVnxGep!-g>odi(XR0@`xAWacS0HBrXU0oHyLt`!d$&eey4X%lwMIkM$QN
zukGD<En`;qi->HKt#06Ad{%MNstX&hY5eGTQLspPDQnJ)M|1D*GM3&|5fpKtP4C{%
zwbq*#cB`kd>RbyAQ#}{mW1X^bp0|3d&b8vSjq{AVzs`wV^ZZPbZMxYuucFmw%PuGH
zjZgmbY^%<sxXasrh}@KUkYDef@acYK=Elf7p@mQV{Y9tShla-dI}%d(Q#knOQ-2@P
z>Gp<jfyH_3<;&};FRe6q$HHIl6uX#zdL!GXiSKqLGJU(q9>2WV;>}|I|GVTsq?H1Q
z+@<(NIcr9<GjHI0zAF7jQ!nTK#kSLp7y3Q*X$aBWY_NJt<c!c$I%l*}r>&f7boz?d
z%+#!<XN<OHZuWmzY5ZF%JxX^={D-sQ#z(KpJvD#y%zUm>cK@fVHJ`1YKP!Lve>L-m
zx02<~+m=5*_#|YPddkO?IgvIK)5X{sXC4hMP5T%!H_|3FUCjR~lpA|XI(paU2u%~d
z-Q2y0-#I<ru)9R0uZLUKyywt~j$@A13ky%gzvz2XxO?tVuZ78T`{&AaUwx!=iAy&7
zY@~vi)rP`<y!J`Wf(Q2B(f<B9hHsJd_9#u^7b33?rk=5LN<4GVIq^)LYvLI>_e7@r
zgYHU7W*-V_DwLC$`ODwR%q=UsU?lxR(JoMUW8(YcWl!IjJripUvgAHCwN$KUrs+ko
z^YO2XgbL0E*5wF)V@Xc*(TJ50t`k4j@}|`=u`V&Ddh70)t%b*1@1E7^;k3;5_GJmx
z4CfXpv+S9F{Hn~+n74(TQCpXswu<h*QF-K^GM}7cY_PLN`8A{FV2PKk!g+lcm2H+z
zP(R$VT=&!T86UQM&A0#bo<IBf^4>FT=e7oaay!07_qV{rcF>{@J*B;TpWZ*NbbE0B
z=VzYYIdk<RJ!Z8w7rYd-bWQnLQ>u1y{^8G`?wfP#R5UA`s&f{-JHf+T<qxmv=Qfcd
z=T(QN3!OTCr1irOHr7|E@7Y>sHv6C5S>JB>IVkZ=Oqhi6|I}k{jID*QH(qp0G)vmu
zb^gEwfkit6ABq?{#pIn2MaGqLK55=_{_+3q%6~_fCdTA_4!xs&+%oz7ocrZ|zpHzT
ztXjpA1j?t_SY7%vJ5Tt4mFAbW9gBZFn8mv0+RoI6OXMy(rZS)WeD7P2gnr5{&vzQG
z^Elf)pUg~{;R0fq?V9-DjM?0>zVpWda~7XxFxQ`&Z&2-aYj^2F!}sqRkL{WKORCua
zsP-9Kru7EjH@UeN@4ehB!t*ugi{IR!h%4R~-#@;$GPpKLdg+U~o0d52NZ(SDvSqqU
z+-?WY7ay|pJ>#bZ##uCaraW*eyI7aAnDzY!9-~!HYTn)Lj!S$j8};gS)tl-?ANeAG
z<;uVRu=Z1zfX}7(8xP(qa`>KgL06!plkM(=59>ZJ+4=J4<1fWa{w^&|{QB+B_3M{k
zuYawa8@K1OyOe_C{TmG|wP%=xzC7(R6_~<Of1cGi#x~`Jx8RJ2>+6@;%IDp_Zhvet
zlN#?CsT)}d%NzHyvGL~kRhD@lc>AgD=MO`bg~BD36D!Y!v+DdmRqKBC$+~R2>613j
zw0BqA_~qeg6$j7l4w+x{IF4FuSe_x(#8Mw6s5LiNhtu!O>z}`_uW2mYYEk#kQ02Z4
z|B}{UC3B8mR+;Daaf_&bbA?fE{=Qw47Os?(lJt(hAH3=bgR|Im7JbRAW$!0VcIw+Q
zDSE-gJPyaRyB_zn3x`<N+pFes2VabQ&Xp-@HtCkP>d9ZT+=KFdTbyuT9PqDBe$&o<
zxoXmSXQlSv`~U05HQ&{<m2Q0f>N2Z|D`9#U$G<pDrCI*5OE+!K;xRM(dpN>)&dZ&<
z)`=?2^*E5xY9FVfDCW_>=8xcl{?l(~+A(d>c>MG6>*rsezrKFC|8Cmh-+8Q=qLc1R
zzMqh}{=DMlxcSb@_S&`2Q7)36nCW~~@p9N2=ViVz?LPJ{mMUwXFq>TvtUq;K`KCmz
zk;b%rwW1RmUu7zaOlW*{+~aHVopTOflb8H5te+vi@#o@gGo+c$g;#gYuetPI_}`^8
zhhMcu7n)2J{@O))?DrSD^nP;dm)|Lhf9(`ie;jU%i2wX1C06g$^Y119Domd}Yq-sJ
zNAbZWeb*VvSAQ(Yx4rF=`}=G5#TmDE%hc{Z_x#VV7tv*Q&sWbj%cwaQ{#`NSzGBY&
z`@Zc9X7dG_%PyLi@cuzjpk4gpH&QX@u4`DcP5*3qxcPQwjnr*B%N@+~W47L}n`a$!
z?)^i}6XvWxE7ra)+BfIRU*Gwke|K*4t3CIAx#bHmdbQm06=xjdj+iOuuk)<EQFHG4
z8M6y#%wGJ=U9c5|mTXn*3To5vTA06p-8e}~BJSXyr_u9yirGH0>0V|w>M&oXaqq#$
z7n2?L7`on?tMt}yVcGJ4-GL6)E(<>huwHZ)sSB=mPq{EnMA4${>}&DqpL^}r#?=@J
zOcRgJoBHp@)2DM!vsU$r75s^P)4+4<;KRl4ZralupRZl8+o|)9outKqFDD%qDM~fU
zT>h1k@UAld-AukmD*xm^=O|9l`fH)R;LAB3#-+C1Vza)9-HOb}R@A<p@^qF%Z`e9c
zkNoU8YhyM~t;^27b4zObwqt9j)@9$`v9&07ZA`TG^>e4TZZ3MeCdOL(h+b~G`Gt=!
ze}4V@`1$k8!t<p~&piG4_Hq8bsOo3VuR5#iZT9W?6e{Sm<gK*>kKD$G@i%9^3O>5U
z_j-fQ^1Lg@v@()Kw`}CPb))Zg#N6DR;}FCfw(j65SM~WODNm)=#57OM-cZCFw(jC7
z)2N+p+SfClO0A7qJhi)S>D7QL*C)lvoNwltxGlbvz<j{1Vd1As*VZ4|#&DaF=Y-GV
z%Lxv4QuEoEKV4j4Dls9S{mE^{pWhtz{he^U>Y$Xl!}AYOS+X6OC1NJ3S5+?um`Ge;
z<8^Ch%)KCBB%#sIHu0cCWy&u$=BE=sO7l!{-#q1@L#2toB+nH0&ub1kR3==j+u;1;
zAm5kfQg(K03;r?=`8N*s5#<FNie%TsuxnqxcWUeQqPJ^fsu4_+FcBALFXymzv7F1i
z#kX!={?bn9c)|f0k3cr&X9XU|ze`Pg#ll;t|M$UzFhl;Z#r$FK`L~tJ<;dGb^x83m
zyFHcW$(ZEUbZ~-N@1AsNuQ|CIh1(V$x!ER^ZvA)u+b{LoF8{yj_dne_b$;V*tKf`W
z1MA8;ngQlBuKtkRvDmo4J-x`CZS&sJWvZPA8)`&0Nb{UvZ)!H?;5(;aVbT2H0S`Lo
zXdfGM<-se*za<-7Ff;f%SF_1PBI7p0lf?=_$q8DmW}M3|8PD)KFXAc1bHaV&l7kI3
z30Zc{D}VAVduBXi)_IfSES`#~#vkm`A1pn0VD{XTu~IxQ8f2Co{H9j4d#?OTlc`;I
z^3ttNE|~l&aP8Hf;#2ngfBKZAa9;|`N25-SJw8@k`q`J7F83~ae*N>)DdjdS#k*zB
zKY#sOGyg5er|)ln6()w6e>9IhXmIOCt#WQgY7@sl9;F|~9S_bcG}$*JbAmr487Rne
zXq^)=l;k<#{&D)jh8hJA%VveAJ{uQ;!Xi_O=S2GBuy=gCy*26!8#hgyHHG2UgEM|J
zQ>|4F_sTB+ut9Z|<msrXqNiggUhSByr{!U`CS|*|XTdEdZ8N?~p0vjz(&?LdvreqK
zdhBM7i_|8`T$6K)JsizUQq#3Xcm8r)dE$vwDR1;-^SRku)g1N-9eASUyHd^Q*-aPc
zF!r)|HoxUtCZ-zPPE=sqX1(4fKkGzSBioL<Hjht+I+j(>JnX}JQ|oP;+3^;sO{q8U
zM)3VJVd;|peB{u1|C)w=zX}DD*yEEke(fyWDl~D{B5RiXgq!Rg%Xa5%a|`*hMR}v(
zp5q0(?9&rMo-<uuuPxBN=|ZCzhjQ#k{+R-9lg@vsmTyt#IWKW9Ny5|U^7$YEH>){6
zpJy&!ZTZ)Lw{VHhAwwQ-PkT8hnU^)o;x!d}W|>@MWZ+QV>r>OpcyNUych9flN5yYA
z-2U!75N*)(qe#Y~Fv{fB&Q=E3$5Z{RBQE}!!`#9iz_yxifraj;xHaYtN?XsqdBtOM
zF;Xvt?UM9v*#@W63!2jf+%A25|5<F_N#W=j^{?6ujP&h-7!uuuu6&U+t8IJaThl3Y
zu&*LRhVMyKPH)X4xdkUOUc3-+T3Aq0#Czz(LEo2qq>fBfdGnRAYm513%W9UzcJp5v
z7_|gyr|;`%OGsI5Joj*eL6Jz!Y_SEZ9I+SOcNy(<e{im;M1`@zW46s{W)VxRd5;x)
zs`~Sr8AQGwKl0puZQ_!}^99<Ee%LC(V!d*rpij+d&Vwg7)U2*|&gx_nj9+f%+G8Om
z_3?oG#@P}Z+hsP|%igS4mMCTB?AX;<AQIH`GDYM_;QyYIA8dyLJgm%^6IU?UX*DOT
zxbvqgg;_cJSt472$sc!{`@I%w@|9|?206-oEp9UAy%wjW3VqBTr5-qOx?#(&=!e_w
z``%BN&i9k?_n-b}^5(QmNj~FCNq5fAxc2_QvN^5n4>!!TP?9bDWA$id)sxD*hxSxu
zy6mjI|I}+qea*Yydf{r!SL^RT{k8A(R;`2beD}+DDqY$>@BQu=J@KvQ-!FT=JI3yL
z_Osu5)9?G=FW(8O$iBy}d%ruTlV{8C+UeaV-|vo@$O<wp#eix5`yx|;6&FvX`is=u
zf4XXd=%e3y(;IS**WQ1sHDT)O-+I%J9w>bKes_!z^Q|BIPJgYP?(J~DeCL6Uuix*E
zSv2**x5M9K*KKpXU%r!r`BUSA2fy{i6Bpz%Pk8@Z@A~&xz25r!PnCE|lsX>le_tfX
z_T)F%h;GH*DnIs}cAapw@$U4-%P+sju44n`gB$O6$B5obmXLk)XW!{om7n`gx1K*#
zcmHXSM$pUev3h|9Qf0#XRvy@YKKjRRz3FZH-xo<rXny^z7w$N5@vnWSSrbh@?0;Wm
zY4K|Q``s}NZMjD#fB7D(*K;`SQOB42<<qry-rfFue_hUt%XKgB$NPUdy3XYyyZ%dq
z>+hF_E|>qj=|GHhjKimE@%htlpYQdbI{%BVs7M6g=ZykSZEjBb<nqo+;qaWNOQe)e
zOPae(yIk0zBi82es#IV5{*n(Tp3UP`>fS2+)9dpy`~7ki7lU{AKluDrU&=sCM!-U;
z!0AEH0U_Qk2{V60Z}9n}%hAfeW%jyVJ9Xrn_-<&XJfCp4Eywa6=gry^a!zFz-HdHa
zr6r?_bp<9KJdo*UqHH8#arUX5i(9PpwpM+M3YGh=A5JQ$pRHxAJh3~^Wm09)j0Fo1
zI;A#ma7txg@02=!y;Jn9o%MBfHE}Ho&wqR_`+5BOOBdZEEb=KXEb_H3Ec1^lI4#hB
zZxhWpM_H}m^Z5)0{pl7<W-p)Od}M03VuSYcn~cwW+>Y4(xN>m$H@na<t(~WDY@8<H
zvmo`Y;sv2P?kzbr99z~LVA=K5fAO}oV3Q5URF1RM?}>|FUwMSH>r~{;l*Xpmw5d}=
zmd5=J>df-;)$8tk{I-9;ynUWOXg`3>q{f<kl0Vs-d)Q|37)c+=N=u)x*O8B{GD9$L
z%ZZ~MCyt(Qww@z7@t%_7p=cG4if$Ip{D}$=b%jJM9z82EQfjtTuYF*h&>T5se~A3X
zgF3U;b+Y6b2=l$YTjkK+c+g>E$q~0xk9gN;g~_oAh%iR5xiU<16l_QhU`dcf<LXG4
zI#oP;!lgAQ#<6v>_KJccR#Cro3z9U1y|h9*3Z#2DwjYF1YqgDB_I;GukbJ_ex&6H@
zdyYxPk9Wlf+{Dg(pU-S2RguYl?ChOAY>XQMzZ?ypy{G8%ZMT?d>nm7uE;R1Z72fD5
z{*f)<2wSYlCL<=Z7c5zU7alaezsi<#VM*irYhbF(M9J*MgU0vwt9a!u@y3`Nzm;&!
zx$vL)t*>j&6_qH%A3FIdEIC&^_e>Ss5UBn!&Fz4j?xOkMmCar)*&_NV?b+T5M^0H(
z$9f*gdFgawnqEsz<WH|}zl?P1?QDvCo<zM{n_53_T3GLOasAg*HdS?Z3GItMb<<ZF
zgtI=ayt!OV+Do|bvPIv6mkKv79gwpx|FQCLzii!$4_6aJ{(q~htKC`g=JM;u^QRX_
z#%q16vHt(IXuU||?wFl>cy{dK+ZN-`zngFW`w#7W`+Ht)x%lFP$*RYoof$Vk6EA1>
zvfPeqiq${3Z~B4IlrqjZ#+mkiXIyGbo{_Nf$=lqGKK5t7sxFV*Yv3`Ntxa3~;&O}K
z*6+f0O}=aTeCoTD^1a8r$~QsAls-=DvfCfFJa@&bExe&~w=G<C*({{=R@<##Aqq8j
z9~y<+6*s=({9ub2<Mm?p%L}J3cs}XbJnvc6MrUlNn|zPCbf?&}_`K>_DChE%JC~nq
zTYfUvfAW{zigLm7=jZ>g`MUSftod=g0_rbHudY*nwC?tab+f9v?tR;pb^G<3-19Np
zY@2U?GqL>o#o!xOq?7d~^2&|NPcB@3awYf*0%bqDa{0*<|AO%PRl9x#U%fhi)w+Kn
zrC*n?$~Fj%ZLatyb>#DPg?anU_Gd?);}>1msMZ`)7g1DM__Sh;dpu9M&HVh-{|uW_
z-!pD1J~7Rf|HQRao21ub3`vU@ly}b7_m9hac6ZiH**%i)CO#^=#a{61!7KL4>jgje
zT#?k<FTQX3ecmPa@2%JGe|~!L^(Wi!Z~vUX-FN$Uet+qek9>W0@ABCq?_*$lbc^&~
z^;^CFg!e+{T@JqP3)Nlscio=dTG5r|H@CjJYT7>a{nPhX{DqT_Tn-WbH1|)kd;Q|w
z7V$p|rl&motNHT#(#fUK-xx*ze>*qN?93hMV{^-X&lb|YpJ|@k{UGwu+qr2IOn$2?
z{Qb87%rEarUqc+fDOxzL+m*UrpL^%OH`BkeRet>Z-B>pL?vv~~)<@2(*={rCu-!;8
zu&c>5h?cv5%}_$i|KFee2{jMmofW29czy7A{`zTW152)`$p^*8xwgxSch9k_=Zn{W
zZ)e^1Mr4z8QmFI`p1F-Zb?J8Exdw~x&-9O$J~Pez_&=Sr)5#xs(oQe_{x9mxHOKd#
zw$51F-2X<4=f*jnoE)}o7R<Bf{w!(^vE6$s!m(zWqf^bdD5siQCw|vp8~NnvK_8ZL
zgc$8pU#d9al!)2KUWsN&QQsQzV+n4q!rqGvtjrb}UYmWe$J6HvS4Qu~Cf>Bqo34o-
z%L<o>_X?G`Z*p-lkAvGu8$S~jKJN|PHzk(16s*2I?fBkv@0JAiE&4m-(rvB+Cc9^E
z&T4CR8n2%coOy1~q#ri-O`m*x{pVxduO*ZF^}@p@PWpX(mVRe;J;$Hs>?3a^HXT0I
z6eZ8xDfE0x%hJ1B(i@7_%G-Y{wO3raKen!JZhZazHT_Et#5G;+*Nkmw;M~EyThlLu
zv(uM9ZP7y8_Bf_{cRPhXY;&Ko;D-EakN+>^H|{kSNX_KExoJyVPGpqxHl0Mq+twe&
zJdeI#$bZ8_tL}U4fn!F~6IpMr5P!tnzjtzIV0V@Ju0>*B{U*8UzLI^_5p~7dM`&xu
zJASvED~o<G_`J{Xy%N{;QQ0g@|L9*q>8;cIgc_Nrd|07sGljE1|4{S14;~seHe9Y+
zr(c%-s($J!8JinD>0+qi%TwI`_YBlMj5|CQOsj2+R)24@Us~)$$(s`{H5$RaT5Eii
z78n>kjX3l+w{C6C0XMN**1u#TCEFtycYpK`G>i9eUScbo-M{tr;`fgNY?Z&UthG(e
ze!XdV>D$gY!8s|(ZP$+-VK}L<=bjpy2b=kis@Mhlo)t$=dvixYC)%Y$M!Bft!~vln
zIg9+?DH~;QRmaWeF*#CqT|JUhX6+=&00%W^yZJoDn||2@t;s#XcHpi+b^Vchh8w>J
zyonVxl#$q29(SZ5!G)(iXIFv6f#1_Uq%E7#Y$S1J#$E2-M)@~!OL|`OroEcDKUsJ~
zBmdhNnYXfM`=XBA=i$8JvFe$(>a<+D!;L2#g71F*=a_xjmU(@YUF6%zj@cE4k0<U~
z_Tl7#UX%GkPAM0rJp1h0!4p&Rd84Wen^%UNV)F@yTj%E9ak%wN+qt8sjrD$v-@N4B
zMHTDk-P`<O>S1HS`)f^pZamYi&{Mgn{m*T0?=_R_>y|bxTcdG2LS&J0V4u#ZV_lbW
zv@-)g^-U6Zx+UbG@wr#gp%=6=^s`qAru%N?atv<y+nSd>Z9{G9^#ke~OZmAEsrsBM
zxEJ5_L5;hn>hFWMtDm2*zjUO!_UD3=zM&h^8Vf#7Udn4J;SwGyJbPuzy+t3tcCFUF
z|LnNRshXvG7cNa)KVM&dOJJ$Z|I(US?>$ZKPR`h)e(~Epm)+Guyx&!B-JiUoWvW)7
z8N>W5wO&tt9<g`Xx6W|h*;!`|PDzQ!>{+N~ar((*zwA@X)+>mY2fV&w$twBm$|bI#
z*BTSQ`kEh#)_J1z=9ePt(uGrh|1iCyw?9wSrXh!uUm?25{=~EZ&P|)6K7@b!y8iUr
z=a*l<uaSr=JMj4R$8U9-nTxcxJoE^Y?o07jVZXWC?WbE_dHU%;m9;A$-B#1nED`RN
z)yu5m)?2deu#XeBm`YK*&h)G}d%+@GHNm2GHNl{ro-Hw3JX>T|LvYP9&z2fB)vjMJ
z<mPvt$=>Lg828%xU22y|^^CjQrdr0&>#M)4E36#u=diHz=4s`kpWlCeI@f-r=T3j-
zX8oO7AtfI}+hS(*$lmn2R@589ecP+GOV8Jc>tsq;$Hk|!7(BLaS?<Ti*j=?_(=B$-
zZ8EQ-Zkot{I9zqs*J}EcgI^@f78+<yk-B=`=FY^lJ<4ZG+-LplGl{ll-?nVA`g!+x
zXX^D_=UnyBdNS$#*XM74e0rUvuC29e?i!yacU!TqHJheAI1|FVdacW*TV5*Mi`Ww9
z*sV<GaBT8$jZjnYUXoNbNoL->s9l*2UuT*e_~j#&P^-qf!EW+0ol~w-_YVo(+-Q*L
zkg#IeQ3ZqFn>wy&r#`y3+WShX-Lj<{zUp!x<p0UFR<Hcw?V_z7F6k2;=B|`&lx;TH
zn&>#=)&>Qi+#8#?Id86gyYp4Wytw|FD{^PGuasV&?w)OFR9$o^<I$qOuWmn8*LKsW
zemY<O{LE|9Hiv91x$^kq%dc*4cR05(mT9D(dwz6l;d+y&+qq&+XvOU>dvNI5qv?7P
zOe^X*YxIu1(y+a&eT=70BZjNcnSEAN#DBSy^9_ZR7b?Dd#bB`ZS<l{MCuhdQpZZ$5
za*a;+;f)$f5o={Unc99on)WZ|%!{}E``h{YpHG~6{PPK~tlR!6w{tIi6E`oijn7#U
zd3#G8BYRKJ<>!;$zx`CSZ{MFLw~J~KQti9H7yWaslXuB**{75IKI(oJ<4wldZ%(PL
zJ;qtG-DIA+`;irOvzA_7+T6fu=x(g?cu$pu_qIP#jFE49Ri*PZCoE;$wo%l;Y}0Hv
z%i^88Y$wf4*m=V)<(y<+PnM0{%IdfYCrg~_8umT-G%1by!BnAAoBzk3|90QmnlCbQ
zEg$bqD~*dYCihj$ELnJck4>S&6!Fx){J+1SPPCDFc4;k-$)d@fnOnVocYDYRaThg3
z<tlmT_juggx|AcxeO{A}y^7+dKP;^t0)?jAq|<6SHox2O*W{t!gh=ykshUC;Q{L|G
zm0iB}fL=Mrj@konnfUZWz2kSwd@x=6cjp~}0_oS>=Sr72Xz?1{^>&#$K{x4e#@sW?
z2?u#DY^eG+Va>cs74AJXhnsdTP274t<K|JV>&EZ3J)Mv8)a{;_vFFjlc`g~=msFf)
z&sGz>rDocBp>4Hi%eFjUr7fH}LJQxfOwJH~HCt%nX47I3^@Y9Vaa$Lzz3TEjmPe(7
zPd3p|iNTb)XU|Qm0}4~ymdc&&&-~o#zWFqxet?B-wJLYq=SJSSUTv0+Q`7Y;{9<^o
zpXsR-tJX8gC{YOv4pDx$jI+Fa6=(V7mfgNr8+K=YIkwO9@s=3r`H_ECm~6YhYH5Sq
zdX*O+y<SOpPMBEQWVxdtflp6nMl(12vj>M7q1=G8uU@ar&&k<5sZyS`r0d3N$K*wk
z7qaGW*rfJg?UcJME9S%|sIR-5!?5i{x8~jh3O2V41#IRS{FQC?YFc_WGVhB5ui5O>
z1v_)!aGHc(>(I!K{k9-*^)=rG-><ofXHD6E>AFo=daTYbm8g^UySgu&N&DZcb}?;l
z@25vE-6m+nS!C;XK4P8B;p(g=*wyBvwD+9=)8eO*uhVOUbk9efe>Pb|$|R`0>1F6F
zg@@k)Qn${Zw1#h~YIXScMIPr>x>B!nGoF0a`*$nTPU{Yr3zygMnk}7UaN~(e-G;~)
zZ=#y4?y$B5id%IChzd=tx)<ju(JR*19I<<%^PHO}47nDClwNCYU2|D((ylYrawqLM
zzL=Ud#<R#>x2@W2{`<!59d$YNqK%8D&OG+!^|~e1ml}Vhi8&wYe5vC1fM-qF_ltX-
zP76*taX02u?32r>-eK$~CjGUV7x#bHi}~$=v-~WVpT7M1y`SZrW!JB5JpBFB>$~Ds
zb9ROJr3bsumtqLDKEAkV*PGp}7pjF1Wb3JYU=prd-R#*GcQ&E>@p;Ktk8+GY6!miM
ziAjEBzHUZIb@RvPW~E!zUVF^X-8^Gu*^~E$Qh{&0bq-kjs4cNoIDKS3o7s#|(Rz^^
zSF+<=nkRiMKgP>ubwcg&biWx<x@`AuOp4pMaJ50#{2#$v)K-Uz)FpNcR<e~DHk+57
z`IDQ}eADXR)ag4uuq%lk6Q97FmddDaGwWdY#I%1BD-Qj5YRI;^^uzW>-n82X<quAa
z<*b@s?du%P{Z=Ps)&#qW+LI5OFPNdVw_GgqM2IEx;duwW3;(@cZ=ICB(!k(DTHcz2
zYjpmfT0Ln(+=1OiX$DU3Yiot}yErBvc=cl{huG%IgDZCYE=^1@`E=B|d*-)K-A+1b
zsf=<*Dz8ecVm_I4>aq7MD}9NuKZ^vqXYNs+amC%>XMaMPoaHow87s?E&g-|Uc1Two
z$vDANXdv43&}P9*d7itCd;1UOO#hg^U({{8p>Eo&^Y0Bd&R9F${!*?%@cRxQ{u1SS
zKEIV*Us%ewwe@(nrOuq^z{be-iDTJ{iTjK53@)z{wCEPJm^yK}Q7a?w^85wbJ^TOW
z8E6}Cm?9V{QZVDsKH2V>RzL1q?c#fIfxRa(w(%3&lP?pBSw8iiSgG)wIq5Frks~i(
z-{fLGSI98=C4<^sMxS%cpIB=2qjffC?k&yT-v8$J)opAyC0+I`e=za>?-j>+tA%)9
zPP*|~GiCL*Srwi&|BnBjq~z=#y=j_MMclkyrY7IAt!FMe+FliG8SBdb>KmK-0(p@>
z>FJU^kKFa&o-A9Esmou^D=B~CK5Mzw#ocB{_GlGfS~|0i;i92#n6)bZ+ic}|`~KN~
zyO+1OP$pb_-_(69uaEl`y*RNhtA4VVk>9G_+4Haby?<1_L5Pz{-(l^`tHyiNUmrN1
z{Aj6$5R2mkrN;N~-`_Fy_mACc?tWYCrF?A)he7Fr9SM7Q#Tze2Y2`1`5k0qC!fskN
zm%+UwmGza4)h79;LuWJO+n%58z&LOFkr{ihp8dVdc;Sh?%*O*uFW%^lI?Wn=?{Ry^
zJ*Jk7do$ZJuH2ipdsodCjzxm&k4*?)8?imG`oB}V|4(i~rq>(&i`tol!dG>BnkjuU
za}TW3j+)52IPSOh-21M75AjWFxwQIXu*~}pB3!{TR-f!&-q&+dE;C6gDQY;+(0k)!
z_^z`(GeoVgsxTWlDW~-wS@Y-Y`{U<dzWw_7-?v52KkW&RFWMkmAZYzZ>eKvLf0nEL
z*ZgVf==?7@{r^hioXXVIor^E|_3fMKER$4Tbl_I}S8);E)YLsU`0wAZKWF@7`|py!
zma)4zu9T^rJo?BiQZhc}ozBJ0&tgvU7ku5>Z{#DhN$>Hcb4rfCD>c8*nmk47%;%mN
z0Rc}$L}nVSKhZKva&eTYL8{4=g8{2dy=NWbdCG9Or+fm}Ts}KRrJni!8amWnY?K~#
zbTBJ@>xj7dRQuzGx|03Z|L(ds`Tx!e$Ea&@YT|_jXD6j>J7qMrX~})R$?aX9txFcp
zFurif;b*efU!`InZ@$Q;)jA7LttfJs`cS_xY`$mT;u8nnJYt>0&sH4CweXGYsfW{R
z4dmZCB-k~!9H?>OX#6=tjA`>e=Y#}4mD?w)r}(PN^GN+FFU@(`$oOCC-{+>XPeLcy
ze>%L`BXsukg{rBqlWH42p1O5#l`f~0Ll*BOk89%TTiFs%-M?U+KGQd>Ht@XROfK8X
zu;Mdbf3ojXGbNcGUvng@@8s6+?nR%LM#=?dh_B;HvxpG=vgM-q!bY{+T`zgUSZiPS
zeO_PkQL^XuhOKv#j~usu&tT%ftoNCHB?s@0Gx95yOdb>+U-!j!;*#|TVtLqwZhusH
zv(VXME$_uG$L^Wd8qAn;qjMcU+vLmhzhu8ET*$xkf_uyhWj&KU^Vnh*<U27+6g>3*
z>CLO6S{clHA;IU<@?9Lf$5iV7_k%c=Z5(Hli#9%7Zqul6eh#PZJj>O^oxxE@70Z`#
z3N1ag)cES_xlTfRYkvRO6Tg3N{l69Q(MCE8s&xI|EO=0QGDx?2i}-AA>vNJ>)0a(u
zw8CH9LwnAK1D)J&LUko0W+do8S2=M>U$pk`zYl)VoHcBsm#o|GS%1;7)7Mct9j;`=
zee!sgn0$xSzP0~8D(t+R@?t}o>g_wL-`k$Oc|`5m8?Ca`ofXe)XO{nq3AR09Z5Ccu
zTlz`yZ=(O3&npg2)O(@RpZYYk@#x$10DnUj##z6a7ya1kJ$KVNmj(CORy!1$hv%Hx
zd(FD|tWK<T_m3@aQXhWpJG-e)tjsX(eL{~JN32h_ht#^88ySlj)i3;ryf~HN>|vor
zzoz;0KD)`Xs8X#-W5+Z7fVWByddudw%yC)q%&j*o@5+k>BDptxSr5E=<HP-Bir%p$
zUB470xL8@5S2QI2@IBNzZ*>aO)hj<I%u8l5`ElWev-{TiIVB9SdlKzlubi;K|D|`}
z>9dm#N~$wmofNn@$|y^uN4T-6P$|H`kA>@4r^7)D!57Il|LRMnCK|u|k-Rgb<-;C{
zLj`d>i97lqDz+V$$h-1K<S@VCABn^MyzAtoDy|>+&1Prv;o^Mv7sYeCS&M~hWl9Wd
zW3^5$*pWEnkC4gd98L8vlRuPyJ~cBwFv4u{skqE%f;oksIkxSne9+$aUGps0Y4KBG
zd=b;j<3#7i@7r5jQ}^@VrzSW5Q=8Y!3F}?@@JHgSsqz8;Ki6K^f90~~4u|udrG4!0
z@4VoU<XC-@x#j8;#zR(r&(9H&-o4x?gem0wY|~ZG&q`fA8z1oYXk7WPC4ZG)^UZiQ
zV=r67T)!Lc?@FQ#uL+bM-ICyQ>ay;NaG{=4zfQJlPrZ3gB)(MNYx7*qeUb4h8|QLb
z)*H>7@R&vUN(ZZ&a8l#RmWTu+MX?z^Q0|sa))U;VC!fTe`+k@uC1AagSixN(PKWm=
zU(9`77q+%nY=hi|v=6@Z-ybmN%JTLoOlFsGRO^rX8|bQ+)R}V2;qt|Ls|$^9KX=dD
z**I<e^fHH;&)pVh<#UxJ9iJW;mLV|9Cqp1et=u8y!s-Rq9L5?xWk-cSB?x*<dd%`v
zZF>K1b+^Vz>c`ZqOWmh2{R_WSy;b|cmVfy#nAY4C+jwT``Fa0k^A7dwtU0;3w)FJk
zpL;a4(^EJ^dbApw3Y7y4@>sZz^*S8fA(*ja!?&%i8Vfhq+NzeDuSnTxRIA?J9A&if
z$^LWGm&T?DnSB3S`f2-Kp*s~)dPnQ`-?zKWC6#wbZQ7c%SF;j+h5tFXyZ1e_Pt8?>
z88<?WW_)X(y5U&E&X9FKz6Q+u7p!+&{HIXX&D?L3Ys)2#O;Rn+nY|9&diLy!z;M@D
zjf=Du0!mnqY?>hJ`IEovZ1m3ucMFxae%MkJ$~kZP<+f>JRrlr}6BDVNHc#t#<gO?$
z;oKKody^YK<peHE_%&;au)%@<HqNXH8b3ejw-{gS*%LC);H&hh*Ohy=-4}S(llnnI
zap#qPW~HK@JkxG+yr{4G@!u_f&d$)j{$G#wcyG7hzY*MEdqXO8E@xIq9M_FG+{fDb
zF8q9OGAHy^xSo$knMsAgZc$eLU!_aiw#c*8h8;Mv(Cg0i{+VaW*(*2b@A>oo$C+=A
zXBtZNK5snEP|abWP%3#izEYUYr?-dmn#gCb7pmVK4X%rxW4XcD_x0+(Ez9oxnIF2w
z*3pT>CT`j0KYsIXugZ%N`#ZDLuA<99w?y>CoA{KED~=VhPAgt7@YX3rKQcQ+KPoHa
ze30p@oI_c`H}iZ}`eemACs!<LPJeLb`0w}MPrqOP+Om#u!@lB>#`Shq;xA)U3MO83
zJ+kT2sU-bh3=0<VGe}L>5!=lmdOD+_>n7_0r#M|Jj!IUIJq)`RJQL_W@$r*WeC>r3
z%UAA>`g?Qx`MHIWTqlxLFZRDyk1Ag#E2Z^CR8v_tZ>hq{$CnlR8AOxC7bLx55;^7T
zc68FQf|=_ye=nH9pv&>RU6kj(=9N{ftx;PS1gsVl$-3IKaE8}bu7De+lC80m18<m0
z^0u4EN^xB%@F;&c;oTv{yRCa(ImdlfT>q?)`JJr(yjf?bypUSSw556((~^%7y7nyF
zSS*|SrS@Mpm@a;x$56fDri$6wnt<8UL|61);=1xIhxOIkUM`nqeryw0PIgRrl_D_H
zO44PSUm}xHDOZ=z+@`GQq1;jLCp*q|eSbLbgh;)ZzO?9DpO5Ju_atsmoSZ!I>dZ&y
zA9<^NaXpt(#q*Q*yTmk|q={WDtj86i4(c8>wECdAb-{a!hV-e9`d-s{isFSsZQnN>
zXg_+7E2(??#BB#OTfe1g99Hd-4hlFjtI2B3N+X49F|TecYcu8Ui*!ynGOJrYu(DD6
zn#96AOY)O8g#Am|+)%M8(!t`U&J6RL0(a)+XiPW1Y4FU)Onl>pZ;mE6Qv`g?BwZ%^
zvR!N{JoQUb*Nj`rUYk$F+l1@N#Kax1cU;+hZ?2h@|I>>0*EhM}+IEZIob^m5CRk<u
zTF+JI9{B{R3C~*Mqh{hc(<ft+(aZ}fsYVMuPo+3bo^rB9O>;7*Z;<L_YtPtK>(f0R
zomC0dxv#SMr9w@EQ?%XU3sL7aVpLPUaIQ@?_HqkjDL1@xe8Z2j)(3`dm2;}!Nkp2u
z8eG5A{`Fw<`;w<q{#^_^E3|LPwQWZ2_l{19*q!oMaqFp#Z8n)tGECMNO*5Rh{PL-V
zY{6TiBa4z&?0L-hrqi<XkJsazSt);3IO$(J)~{9K)cfamSwmV4hxNbOiSh|g^d<Ig
z|7NiD%Fzv(PkP$YxJni=&)~AU$mY{L_XTTPr1H-#0Vdy?7gYFPf5?0Ci@@&X*HtcW
zH7IHp6fO!{pnc5l&eRksF~$Ek`s8yDo0a8eWxkMIw);lq%5{cy>!-?=X>xRIQ++b)
z!leMa&(B1rAAPb}*X`ev!V}E5C-H?;Ip{Mwrdx@qw%tt4P>W}0VcV%w9y2>SkYS_P
zgx@6+TRA#-y7pfAxFLwg=kR$UU+;t%8JX^5SJaMfTj?&7P$J~*Y#ds6=(&WO^}`CE
zYRwNG?H$|9R$OzinbY7n&2YhNw<8&HH&->ik>C}`4Zd-Im7WlbTS3zI8?PD;a2Oop
z=Z|#W{6@*&a@VCdG3)}p@6?W8X=5pxS=k`D*3%*2?3u&oKALjwxUjv~-Eqo^>vO6^
zSQ<PGXO@`Vj+L3<mcH4xL)lSso#ns#yqsGOe0Y5F(__xBhFfw@oS(m}P=9s%<X4+q
zqLnVRnFQ!O4qTxm@b(aAZ3;VAz<<9Js+VP-tUWcsK~MRWOr^_YpX)^#ZL?HGQjV7h
z3i3|Z+#;_cb&dZ?#=T2C({JX^KHHwr_Sqmxp=;&wch^?`-Ca2`n0@MwEk-^ILX_R#
zyz(-fBNHPzNzx)`_Z1bhC8j4in0UfcA`h%)n|roMq~#^U>t06tENkW~M>=1mw7KzH
zeX1AmJjEptv46pbW?O+fax+*A8u&S`U-|5^=vdgS?;&pU6nM{ybtnr)sx@%l>1;@m
zn5?7~`dX=P(;^LbXGz|r=2N^@RUUOc6VPoSe5tiLFzZr=MYsEcz#bXf;K@1lOs41L
zE`N!>ER$|@Yu{}NNfFn8A|>UUTujx%ZUIbzOXg$*KilgkV;DS7c>0+Ss;vU1KhHez
z?UUnOJa@*DXGb+ew77P)9a^zSAy0!Po83x+waZ&EHuUi%&iqa{5sNQPOK0BVabU4F
zbLBnzj5(XVuRk$!TC~Gg3qc9rShjikp?2FkdCp$zU#pZlS0(te(Amq(Kl4Q-uP<<$
zzrUybjdfe&sf&t+TJonG3+$>GF7A2AcX7{Deh7}_zxd}N_oAPRC0#zp**N&0>Ek$e
zTvI{jWAooi_7|EJ9}V9vvlpMBvg%q}foj~+leGm0e43ck%<gKkfBm&uR&BShRYCXZ
zD{F4J&iQt5#sB$EVUw&nk38OgdGV$VyKd}%buvIpxL<Q>=kX~A19DDIwLCe6xo7W1
z=PdS>TchGvY(2X{;Q#y1%MZU_j~Cy$LR48-D%9C#)uoKG(|wCCZdJPJs{B~L`<&Qo
zb=g1DT=&Oi8LW&uX1p?r+3@AbfP^bsjf^(c|H~3d%`)vW+A8I$4Z<JuB|8}e_~SaJ
zIhNecx^t27fA;zNTl3fd{qs8~{dLf`OQIULr>CD&Wz~EhwQs?7rxh2YI80A{-p8}i
zG5$$#OYi*k@-dsbi%#lEO|Mx{ZT!ICz=I3b?e{FdWPSCUyZo5HQs`s#iK{*yUXu04
z;OTpD!y{K!a?VtFY24g&Z}Hm<gSefw5%2C_4&875eFF0@hyMTDe?2b0Iq{d-1&yr+
zX(iJxSI)R5wDdqtihN_~dF>7FDp{}Du0IgvCpzQ&Or;MS<gWTY@cHw}rg-IY<Ms2F
z{C#b>=TWKaE$a_l|IWMo;9`rB_}4A|{OZXQA{HOgWw$;|-MOgP|N9M(=k@jHSJX^+
zRwSaSw9s!!$CLH(dxM>pUU@2^zO}{f#|h3ker)I7N`3acrV(r>ES(}XZ(B;$ok^PW
z?R$-$PF@qZtVYf3)D-{gZZkhw-qi@U`zW1y>(IwU?&H68W!`Tu*mM8T$2u&WVh*+$
zFQdC6FX}ztvh>z+-K%!FE5BuSFOb>JajFoLD)_Ub_3?3C8T-74KX$Y}ek|74A8lcW
z!uj^PRb|S{PiE^yl4>#@to`&kSBw38`&Ywx{lAiT{EAC@*e<coY>rM$?u=*)`LD+d
z_GvUcJk_$TVBeC4hXKBRGQm%7oHN?Cndhy}&$w0dmu9D*yq7yyC&xNp;78u+8Sl6C
z{KP_K+|28q_V!R*q>*jziO)L}&mV5HS<YLz$$gK)`5lV>Wmm<XoLqOS$>!$V$O-E4
zeU6o3`5zkP_6FxPGt?^!erZ>@+O*ExY;(&ig;dU~j;DlHHBV8UBlhA_)PeO!d;KOn
zF4`CKZe!Jd+xsh83`7gKBJHN`-Y1~{{gXlsw`IZ3qryjY->`-)f10O%s%YoY&)0K%
zZWvE&UR<Mk=B!|!+_{g1XBBM&=AG7kcO=^8@O`;Ma(m7;s~t;z{PLQ?ygXhwB_{2^
z{rHOJh(pd>k60e^dnQwB>>hmaT-EZGF3)Ywp3IuI<5`O7^Gv_6;(2h!o8v-H6W^IE
zU$t|JZlzhl%xfRd+DK3N-nR4Em2;mioST$y|2xvGq~i9e#QQpLZKQ9wv&9&nZ+o}o
z=boAA%?y(!-afNA(P6^PyQeOHX21OXSNHu7pT9Cs;Gc0PvFQAhO+U{bfAgcE^kTh_
z^iS>hxrTR5S7g4h(yiwSW_^@A`N6E5U4<DL{S9sNY@eRKxo7R!+h?A5O)8%iuG_zX
zyY+5F*;ej9ZsmU}%dO*2xhd42KgZ`Az^4^=)$DG1V9oQxRaVLm4C|L)`1yg=;_$MW
zX*W3aZx(*PBHD8O8{5B4>GRk5{|s>Z_bk;|>gVk`S0N*w_=#J37>ZcepZ~a3)meL<
z<N@_5r?o2%Z76p5J7ZSlzE?gqD*NrW9J<MKo9*9@)K53-9&9e(Rp+BEf8^)ZtL}e7
z1Y;#?Png`Dbndg(O;2%7U$_6K6{YVlnDS=cL7Rj7<YUgPSgN>E)!XEb<PWZvg_1LG
z)y$dAcP(nxMB|e0TC$a1vxQu5cUU}=D`~hO_2^jhnZSILu1F3CK})v^RkxCtN6zM?
zsH~iR$@6>V(*M8jtUkZL=lGcppC>=_-hI(;=4bVP8Wy2pA$#dZi*((ewfnE-|9{}q
z{vme5$HqT`@+X_rPd#Wf`Tj;~!J|F4OZX;OIceD(2=hGU)xv(`QM2sTNf#F^X=-YJ
z9IW`U`|)LsgD2abY0mh;;;WEZB6zrd%FKuMQZn%wb4<A0cw-H@4lK}3`Ljd8Qj6oj
z15dl0hs_5k^9vSVPI+!WYi4xz>aR~!ET%kv;_t5E`>$s9!gK4-dpnuPZ*I(pDVixa
zNp-Fh_dl2A9z|CUiu&fR2zZ|3#TE2wO4NMc$SDW6=U+BYeqCF?y=V2A4K7kTnOjt<
zcWm5rYDN;j`7P!N0hUfmHZnZ@f`?xoocHR?-0*VUkMB;l%hhVkPu_IlWP6{T$*&2?
zrUF;iFI(E0!G8JZqUW1-a&2hn@0;p0!TLzM$&t|XQ19N7Pj~Mbu5_88`K_;WZ+p_|
zjo%|zecpF&<}ZiYm$_6KnkCmicHgTxPtS`bYiDJm;*3YL#Z{X$_Z2!euN4#L^_MEQ
z(ch)v-=nwrjO$I!m24hs)*SR%qjTKnXr=aP!(bSG$hxSibceu0R;7xo9Md@0>mTg)
z``|TYZ(&mY(gwzuxi|e)Cak`vnGj^^sv1;U<^0w9{=aXnZFyT<GfY~d%wH~2yt3<{
zIB)Z{vj;PN*t(utQ5bAgk$ryFDc4F}*#%eG1G<m#v&UZ9Q!G^y_<3FS1=rr_Ef1rX
zR_f|!1n!cQS>V`RvE9n4`Q3BbLV4Be%!0Gt=5)9*Zz<hry~<cW%Vy(Z>0g0MqqpB(
z?3Z<SQ@_>9(%trX1y?-xgbFW^jotTXE9=WUUtfvFOE-VHx3K!D%!@A8i}&B?8uEOR
zyHLrn>;2X(KbIQ0etye0xBhnShNJpZG$u>?%s+Q;(*1`P&i7AU*lHwm&EGbzjcs14
z<Ky@8)3;xj+3vCU^~YsL1bde)_RS1TFtCz)<ygs@x^R!m<_pf<p2^yWH%?u6^XgeE
zn{7rmrd6v{wMF>V&s`8w>*^9t&)_V%|EOfX|MM3-uM@s-MSPTbESEMT{?os@vyHbM
z=iPoiD}AQ0!#oqcJ5@q+oOzP($d+;6sj!)ESoGl7W9L6VE>zgezA16;_;v%Co`=o+
zPxrDj$TI0v>Af#y(0M<RA%|zfo{7mD|0o`Js#HGiRQdb3lkQrRZEcTlNcAOu7S%m!
zzD?x*ji8!j?~hwwRpe;yOZNVFar$lx=kCkL#g_M<4clT-n^XAf#-lGAkG`C2zUjJm
z%*V%TAIVEstZrN$ravd@Z$*~pp_QuM&aXwCUyC+dZ(SoP#d;<~+1Xp*m)P>((+v35
zdhxAgG~B{=<iZ-~)uPVb{LbD<(Y+GUkBqi0X?#~@`D=b3Q}u*=-pfBT_&+UAvzq+Y
z@gI-t^Ru=m=GXk}*w+2ij7fI>cZL6&R-4}M$<cB=&9m)}D9438+uAeki8o~23vS4`
z$I+N^&!ut2>X=C{z1=^5yxg-pKW)qBW$l@JXFo8Q#eZ~ngo@_AnQDBWvU}RZ=Kt$0
zynZ6C;Cffwhikv@E<M*YU+RZ5Gyn2nySuMT!VgKGUH&ws!~BMK_ep{L4b!JhQoNU-
z@0+N2FCqVag8tb<g4OL3c{YC~4)Ytnxn0P!n|lY#?_dAEXP*(?RC_6%wRivW3k?qs
zzcYIOw|R<1-M7Dwzgfkuo4kC-wCYs9Zi`7#N4k$GEV!vvW%F72s_OjDb<g;tvTq9P
zxuE#ridyG+wZn57x5<YeW?KAZhux*lM~@mZbpQNxxa{}k>%|K>l`=~YUGV#*u;Kas
zdsmL^o7%kVO8+5+cm?tNGNb)!8zavwJoV#UQ}-uPHu0ykdGu!Kc~4p8Q}SfWzF*h2
zHZ!jCvA4Lm9YpNOsXWlVQ+UgvY3;Ar=S;R{%zb0spj=eZ&*0(OWss7w%|_w2rjXy+
zDuLiOX7;NpWm{t>zet`em{aXEGq&dX%^Qces5QncGf-dC`mkMC?eGWXpS!|L1m0&Q
zs7cOxSuZjzhoSN4i#59!GUh*H{v2_!LHtAGzQ);#tR8JA4SHfqmt_>`tAsHBbzqkD
zXM7nj-<b7@aQ!`z34KEA%wHPpy2Em4vy0uzjO;nv>!+umNu88BOU!lN>B@TX)0eAz
z*Q)=E<+0x`cmBI!*teFcGiR0<%j*A~YT19$&ZWJj>#<>W-}!jCQ`6j5ak8G=v*+)>
zT`DW%qb29PZkVmbTpnY5+<0$`gcIkgGE>=$+uXI8-xs<{R)i~`nlRH}$0+`_@|IF7
zMg3EXv&5eYob4{^cy?6ZA^Q2%MNt>Gh%F3UoAIjW!j5y5s~Hvr>K{2S8tp!Bk=WM0
zC|BJ_hdCLgwyr3>qm&i+dWQQIrLt~`1%cg%9{)INY#3O5(x?BzioIJzIxei(Tf)XM
zN4I6Uz{5({icNy^8e5jDKHTXQv2@QT;mOa|+}FE)-SYPI+tas4O--M(<>4&Fv%aBi
zrPpF3QZ}Ss^838Y(Q)p%UMoSlYbzs|w)ss}OnkLPLa{FY^4udFy((KujVvb_868pj
z@?d`5lgF3rGWuuTR(|wNVyA6ZUjO3T%CEo0etS`!uEDNy=EEizQ-?66J)6RKCrqt4
zaQz$8x4=0n;yHJ0&!m|!{pL7RZNR~!qPR!n>W(B)lUCF9{A@BwdtW!7VcFK~$#X(s
zwXdB#&-|2cl?hv!lq7Fd<oN}!dU!yjx^smTpU=kW6IVSvFeS@k%_8o0<~^w_@0CM~
zFL?2!oq3je!hzpRKk?Xm-n3Uwglrs`WoNxxvMb~DnUX6?eGDQC0@wcdvD4h?N5>9+
zwmDgHNBE;=>oHWUf2w@ZPGU=&xUt-cY%Y18D;(ad_}P3DEx#UgaNWG*XTkxNE*^;n
z$6RUgs^(jl=EX3$9d63ERX@8iB5=>`|G#5z<WH^LeqhP*usueHB-D3A+ZT8w%>46G
z!mKGL^ju*mN8hTVXRBm#LXYH4TX$*~cUb7t$~xBb7TtNFZrQBW{m;xFxnGW6-KEC;
zTYXo<?6cokV|nJcOtaPTIG1+G>s+6zz|Rd~KYqTQynWWb+W*aJ_in#d_sx67y4s%G
z<y@7~_C!;*i*FydtP2o&zv|=DYn!6?zqwmk`Jwk)+q1U4we^3O^sf5+bo*!TvKdmT
zr}%;w``k>-nxbi4*lUzpz9Degn<~>&Q}&*Bo4F}+rO{fZ2hF?uIrrFn-hOQR(PNwY
zpT%BzaB;_jHN2q*v**@a$n?GUW$l4T*(v3()U^$2g0634GVK@g$a??hPf_TtB(~?L
z>U^GGH@Es7QJ8ViZhzVL*Vn7f_!VZIW$s9O%)jVnNYjfIVt-g`f4{Bz|LN1W-&I2U
z-Zi{@Tl0U%Gn<RrTOM3lAvQ<q>@*n{^WINy&IYB-s(y3&?beX{W`*Y#&dzvJaPF~p
z0ozuYbqZ4+aJjsi@OtU2>*rcR`cJl8S$MJ~BF{)sG}lP+Xch#|%`{S+>p63g-K|B&
z6D!UdMRbPeAIXj?-*+bOc2mqc{pa!}M~_^|KI^ayw1}(VU;WOy4+lkp-)rW6Qw?qH
zI=AaoL-FK|O3?>`)~&qSb1G`#qT<Os&S3?q9S@&QV!V=-=RcE&N#tvR<5s>^7Z1+b
zS=?%|F{W_!RPRd(RXozcGbFrvv+U=@PGPIu)O|_8zbsJd$w@u0W*c{FcCU*UCqF+j
z`RDYV9o<r%l5^%)|1PrMU$^(x$*!(xlc%0Ec)4-K^T|tD(w7OXm^Ml3YEaPP16eCt
zF0+5-32ZzNv{FU5;bxGbttXeizwTKZhhIK&3bktd6YM6ld(1yMS^R0JT>K%S8xuA}
zH5>@=?RHf7Rw=kL{8US=$kY|5=UfW9@oJjngCkY7VbiT2Z;FXkZMv@2SiY=`rHJQD
zNF$SR=z;@2q8ayETQbUK#D<o?{55^MvHT_X&s?Q<-<EEg6l_0liovWM8T)_L{XNvP
za>m{V_t)JIi|{`8r)%fpWuH&qo_lNKLO$6y0aX>Yi&wQ)H@>VqeLzy@YW9BF6&sfR
z*vTEY$@;|t7CZ5z$|*t7s|}m@TZ<Lfy>tlX{(PT#{rd9{RzGFBw3JyP>QT)4Ws{9|
z?tQlUwdv+-yDTKaml}m}yO}Z`t_}14KjY|&zt`nsnU8-y(e$`7g>mZ}^?)+zDSsn-
z@92eRXHGM#t==8;(`2IK{Qc+8?~RYw-+q04fJRE&&cYql>!+_@^1ZRu?ZIoCZ+TB@
z^&PBrHgV6|A(ejNu9C_5EWyR!FYC-bSI@4o!Scw2p7~b~?{xcoovR}&H)ip}X-u9{
z4y|h%Hf~&%`Q=H}x;+10z6~)t@?R$_%SgIb)C7F5VO6`x`H}Bc)9dV6x(#7o7k+;F
zU;F>ZneY$1%Hb=WowxCLnLNvKt%+%#uv%%IevZJ}ZX@^Y|0?F5YSrsqdRivKMP2Z6
z&ibeNOj|u;8kwK0+Mr--tYo`Rbt%)<r;&|6orRb_t81_@b$g%4`;qZ2f?3`+@u%Q3
zzYiPo*0`J$x|r~CceCvBO^5WpNhI(ymT?*E2{~K)Hp$_-$Is%8dI$Kz#A8l*GzLf|
z?3&JTN-esj?V44mdqb0?!-A@BD*|mlU(vYmiHWti+hOaq2`_Y}o{s#^x@2Jz+y1*A
zCQ*j$f;~nHbv-9@=H|`v$(Vg5#YuXdn((b%rZX?7Z8+JoZL;q&nKhTrh9oU~sk7zd
zxz%P{w$6G`D$X40GP`fXj8mXhU0ZG%9Z;A8TGbVNtLEXwH%SiMDL&`pJe#IJKhWmK
zcv$_Qw*I`2b2cQcn<@D@=<Uu63ocG`IT_fpW2X9@yP8UO&IrFV6J^YMc2WAbTL0xD
z-I}zIi$C3{)1JgswWndhaqbnlOSpQL2%kA9$y{7;?10Q1Lj(479w?U~TP)VUHaT}T
zYs~jRhvgyFrWrmRtZT1va%b_}W4h_v(R7ow?9h`m<_WHGJ}+6>E-sdB<~lCCY=3fq
z!-g$8uSnZ=G$(E5F$upluOYayw?j_%w46!S)m@xl?rnPE)cYy?m(=k$I^C}FF4{j&
z#<r|`v1<QC6|HNxUqss64N=%6t{3I4RDCeT>Dq*oEo&4fd#<-$#kKh9%qzxr9n;c-
zHvd%E#OAy9aM#PwDAq%77dB<thAzG}W!**JyWKjrlQq{Zj^nwq;@*w;xPbS3i?m*3
zUXz+#YP)db$;g^y<HM`7IPX2wQ{dTs!L#Mi1dc18zcD#wn<VEPxz#Ik=!{!mqQFzF
z&2l;^Grt9_{k-RS;~&QbXE!p|2+o)WTGwU0)oh*m&rp^y-SZ}C@#TznC-b#*NAiTO
zo8|Y2=iGrwyZhgXMs1t0YWY#s`#bA)y^*@gR@D2?#(w|bva9pk1LwI}p1(eQ`+e!B
z1@pq9f3w}IihIBB!ow>+pO%<y{l1XTVQI0AM#c264h?&>8P1C{e+WCYPu|Du$$^7n
z#}68n7Me+a*lx&BFU`C=-0-K5#KDIrclk2B)2iZPPtSa|)%a`}|IS?udA=Gu)ECU$
z#Umng=913p7yBBPZH(u?8#BB2onnO43x(OevB5L8M@bbtnj};BB3$Cw;tdlo#It{U
zn6!!~c@NWmIpx^x+f9D=*+0I{+8X(k`$SvXYo;3M-UHh&avaK3t2b&(yYIMNO84xx
z<|M`y>sa=l-n-1x-P<a1QsyCtaMMt;7wSj%Tq;gp;`wZ<vcMa`9p`?=e{W!6vhPoL
z(0eDsd2{Jc<9m|@;v3xO3ie!BD>wB<XVWsqmC4gNrlrkiU3};xPg=#)JB(LM_EltL
zF5CM?<H)6)*ao)3KV1vU7wnqx>BppHbz(f)Wg<zL&u+^3yt19~r`o{ydycontjhfJ
zv-ZnPJCOH4aIt4vNApSnp67gL|0KL9TT{z(w(REQT)X?nwwPS5`IeZJ`EBo$^+zt9
zsjgP3S|Dv1H9JZ41?RgQUn4EaX{l9AoGcP2Y-cR0`jnfLxh&K+K+HBk%ho@&i_PLr
z^Sl{v9i!6~7;Ps^k@FM@nDHk6_@y(}H@5fHu?M`6T^1SF_{nO?mkC8IUtdoQay-R;
zW0&lP&guncsusvt8_X<Axb*hGlRJvO2flAwoocprdtTA+6IF@UQm0M^XxXz0?@qb$
zxXrXv?d7D1&zh$Kb4@=^`tj*}zvXc+tJ{-G*ET+Tx-xq5uWz^K`CjbbHb-r-b!m08
z@dftQ&j($t4*$4!`ge}?r7hFicdJRtp7?ld?(`S2(oXlLSiHRCW6$t<hS=)fN!BmT
z9{v0L`?q!Z*~`J<@6TS`=K0HAUXsa2RzpuB|FG+WEz?d(YkIeS)@$p3I*X~tyzpLp
z+yl9@w}k@L4^&Q8KM?)&Ij?b2e(mRdFS&HzZ*?}w-^OUd*Ce$&usc>}Ys~(qb1OTJ
zsXl+~C~(%jTS01TMoxk9s$%V)+$-+&ryd6Xb80zI^=9&&g&&qqeU&t+ciOJAfm7~x
zg`L^I;`%?Y=jDO>k1Q1TFMM${Si`6A%<=zA>UVSW-Z(h1`)~W>3$xd5m>c;2zvcFs
zf}4|;&q{G{%Q&)T;>@k@j{N^&xp!~fz58*ObnJglxO40Jg#TA=*1yv6lj8L7`}63|
zrpb%*p9!d+nk%#6Tlil~XXi*`y~#hnf1m%k<kwrf*m}R~dQ4j{y3gHW!5KD9;HI26
z%Sy}eqV>_W)r)zA+54kE{+cbsIK9U8=knLDCw!V9Raz?>cgpvvN;%u-8d)`wE7`XK
z^iK(2%jSAD)nI)br>U^#))@(>GBlbOhIr33*(`aAk<IYEg6J=$8YdTt!at0H{v8!A
zM+61gUET<8G`b$16n4S$p1Ab9mgzPRQbIWbEl#W!WeO1q?JJWE2wWk`cY$rqX}y3z
zl@s+@t1Pxwc@^DpW;-UPf4jzD#=#(-^!I^$?QfcYI<PZ+-l@#;tl5#{O#5bm8KT-u
z%*qOpKKB;q82n7QaAE&yYj1v@AJ;$365lde+|KUA@r37J)8lHcW&4Z2X70IunJ+BY
z<pM*HcE8IeIg8a(*@OSJ*;$@W+4!ovitA*^i~XBtIfXrne|$w)V6|q7YFMzxy1n+3
zCbOUY)Zw(dK-b{#!Kekx-|pq$HOahenZ7~ng|qss`lZR|6YjLFeJiJI_pfsMd`78)
znfA`E83$7TnTRfAoNisV;C-h`?eDiq787ptsVDNw9?Hz^SZ4Zd<;&)SPmZiB2Cc>V
zx5PZSpS$)%tKB0f`ND+{Uz<E&s^#Q5(7;`P$~#Lz^JIHg16%SW`wOla25LW7*SD}G
zPx9AyS+QYPWvqMj@7G<2<77Pr3K$OCrC0qvkXq@mU-{xs*<EY6r<<-wUuIIP%)gRz
zktP350pE;5#Z_KQvn-abd%cd;^t<^K@2FyZ4QroYowc|8dYL%Zb4`$3VSHcH=F;iy
z{pWvwz5V-n|J2{Fk9U^ey7hp0-2}TU`=a%aE|fj<?xJ-}kD_z`7VVqQ+}@>zZ@ML=
zwI<?5z}Na+I?l@<++X0mhAC}o(*eh~7M-=Ks=+-=+U06qJaKlHm#Wps_73^&eDk{?
z_lxVL)fbN*@r~(RS=$^Y_HpWs)02FeXFfgirgnYJ2S$@Rv(@$;ZP%T;jW>sVb!EMt
z^kSaVt{A^}_gAf}Tx`3yq`v>CdPT?;xdo9QolX3u1kMWcxqMDx4J`N{zu;E+flGIk
zx)`;72`!i<ZECe*=aM&X4lN9nc|U7;n0V%|m71~3J=cD4ynA1iV~Y>tL4|oOk8R68
z$k%xIe_(p`u+`%wi=Thw^jr2z=kA^_{=9g#o1lYOx$SwuZ<?}(SvRAMCgfzkTp6fq
zYr1Oba<_vKLK+g@OsvVC3zi=`zQ}%>p2FACoCnFw@=_J|5A0@}C!Qvef1vViTcTn!
zhg?!c&%<P%`AI(%+vJmfoSYx_^6>O-*2{*qQ6(E6Sl+TPH>^Fcbu!PEIq#&fmH&_1
zJ74j%t)90p>&wEB^&5)xc5Y+4RmtLg*QRM{p4^X{&uYDdkA0fDbt?0<r*m~*eLlT?
zd;fX;`|)uTwk)^lzPi`Q_wdKVR~Fkpm~%kf=l_(~A<7=XPq(mhuRY1!a_tGDQ}!o@
zg>(PSVSlVy67a_@K4a-Yp#@cs)&$xXUR&|(d{dVEk6p~mucohgcYyW5UdG&c0^c6a
zRu6vr=-RbzQ_szDH(F+1I{J9$j1P|rBTcp#A1!~<-LuVjq4rNVrog#AY9Z>AIj^2f
zxfQbf#{UpGD`_J|eE~J$PwI(Ame=3Zw*QyBGVMRpx@vjG7q*gni|_KT3_Z?tZPvk_
zmY474+!m}2`1&?~io5o<R2l2loU0DmZ29;2BS%jAte*mBSidT9h4SXjzp5Z)$`q@3
zR^gJKoBzUxc}A$%Z>Cb+gCBC{nOgtMe>u8LR+`Cp?W%9(hx(%{!wfEM-Y<D$-TuXo
zbz@V~9xXP_d@=L${ezm}yV*C~;cVBG(w!yoQ(81*$9<2-KG)}_NuJ#L;H9#N-OeMM
ze8VO0^)5a!#eKu^k3YhVKP0qH(fT#NP;KQ*qs;x&%Us>hpVQgz_itW6(_PzzEQd?q
zzyE$aJ!;)Ly{RVlp}Z@@|A@8yo3mHWSV{h4InRdVhNG9+Bs7?68=N2Be`Y4LMbp~l
z(ynwbXM?kR3^6Ss9+Rqy=2{)_j(xf}!6xHRIoHQ2x;w?+`~2Jd@0*>qpEK)eP3P}#
zLn`Mm$%?FN4!^o~zV@v4v{IFqZsvch|87u{n>Lec#j;Zo9P`+WGZ-t`a>MhxO_^q8
zeqtz_X_LereZ{?F(aYOGM=oto69{1Z&(}WV*WOAQo11<Qj<-x>dYo{z@PLV!hCwf<
zZd$^Rw7m*zPP4J~IXsSfJW0QAweL@zm+ohuF7-T7Hn*kRKVaEo@hwR#5{|4a!E%jn
z!yhda|MV}2wQD8Y>0gqQpL??(%$~HUyH+4$0aMbqDL=V3sGr{3ZOeAUxnV97PlTi-
z^EJ<7Z49rOd3t{Ka5ie2&7E;;OUrrwypDu~vqyf~uXe3YYM$_FOUTvE)4S}953nES
zxH9{XC}=^}8qpUyfhikTvH7u1Yu+eu)oZoe)<dfU*JfphtbQqFtCgH)x!SQd%P)D)
z|GNEu{_UD~LEK@9D4*V?ogVL%K76^Z#mlE;R<T9kU)@XAY(}jJ?tp_)4aeS#uI6s&
zYBh5>dW(616OXPHharo`9*b=Yo_**Bt;U*Pbs=MUW$-$&gSVfr+oi*rvT*W?<6ni>
z248;E#MR2>!5*&ZA=F)xq~vgP0*l6!**#oGQ-63YXQ&DA$r2N}ypZ*9Iag|?<3b%>
zjVVi6TQ_Z45HL-QXK9F*cf+B1CpF&8>}gYu((-O-Q{KhxULxN2LQm#m?1|NOPp;Z5
zI8ggAb!XPHO-JW!_0DFz^>q(J=7CUe$wpnn(+?IO>oq;|^r&+3nND}JPF)N6E6Y+?
zvy`_mP3)ZHn6fBEV5Wwoi<%c3g4@b@!bMZVD3EKFZGi5ITPKX=8(-<{nY>*w_)o6Q
z`?#DFBK6Yx(kyR%UL?;v*<v?sCYbR>;#2kAB8TLQ(XaIk_%ydPMJL6UJeZvAc)!r*
zoK|z(B0b3`V(P1^?)f(Icjr1E5!2`Tz_fhI_diRc6lU*=-Q(e_{bKXh1s!WyOK(J_
zI^^uVlIRzBwRW43#Ky0W*u&!<bggw;pntmEDnz?}QIvyCpsvE46=G**ui(h@51Dd$
z_6mt&wN=~^3!?>mLnK{JAMwnqV%LaL6AQ_&*UJ#8R6hJ#PVG|WFKa=aW&hYRiekQm
zJAGD~%Dn%D)9urX_H8?8$!;#enVr3D^=6CBb5FLUSx@FXTc##F>#dKP$?cgw8QY9!
zUdTx|T6ptZiqq!UlUvS}UE}e4H2d5Hb`6ue#$0Fr^&C=lc3YS@|DyVqb!S)9Kd?U-
z%k$mv&Ab=>A0!U15wLOZS<|UBBm3Q9_N7+)FYk5wRsMW|y52JTxn4T#TA5EcPDeB^
zGbu8em0mN|N&J_>^g;_R*|+wY?7M0<$6a*$v@9W0c7f#aL;uCz|9*1U=~rCTt8{JN
zJ&OO2-}%D)%vx@aZ>&|o^e3uOhKyg;deoWkL>xY$cVKNX`=XW-4GYenzd}UcSd~3a
z>RfhB_WQ<#H_e%6{*<@uzqZxwB16NQ#WyTuEAs=K^H#quGhSqC|8`c2h53fxUzf0V
znoV5%<P^6+@RsnbZ*uE3mbEflzqsyoG(@pd@%l|3e)k79bu8x|&$ZBS+oiG2>nUG`
z>a0@}PRBVj?er1<u;Zf2;;O_5QRQ=Q7GApKV|wCs*Qswu`mf!b_kwp;N)@-&YO}4a
z|5p2+Ubs0opf*_leBmy&nN=IF*ZUfMee{BRR>~DVO>gZ<2hQ&k_Bq<67qCU+z^P{&
zJRBN>{;ugzUm55>xjMw#`De~+habWc8JsRH9tV3vSp6N|3SYc7A?8Zttf#y`=WI4P
zoh^`YjPrSlc7@B<H1$2dGK=<RXKuS@!7){^xKC)AXIjkxiNs}cZ%jNc23c4-8^<(W
zyy9?1s7x~L^UQk<58nke7#7W1C*faeHpzcuj*qzDMU$Q7T_!aJP7h~nvrw$y*}tvr
zL1W?VhDO^B7lX>JH%w(qc+Yb{&*|XDe_;pX57_vB-7L$K^y7=dHGlrJM`tG{H<-O|
zy!QU!n+Gn5j_<dgZ+c;(@k_C162~<qzm8Q04Ww>L%2)<I_4aamZoJumz3-w*@MOLY
z(cKfbn;hQDcSclphBn&)(QkM1GTmNIh%P$Z^khz~J@2ztzBAl!67F8oNk0&C*6)(;
z*=tskoYOM0dEGeJYd4r24(HQJ%-p7$&{C4n62NMz>$)z4*YnsM)mzsZODniCR+g@s
z*}U)>D}ULvlvRcewmh?#eD(`o+`z!<_4>dA`4f}polW1x-0JLl@bb1ckEb&Prlq+u
zpJ8G)ND8tE`Kl8kzVW}8w{dA%)3%#d%?bq?aoWFsZTWd-v#fsGlqq&hn!bi<SBv&^
zojf8^wc*r}Ny{|$E_|^l@|x(=z}m^b|E)`Md&%9XcGz`W32*wBpQkHM{JzI><s4tK
z`GULmO^<l~t6{si=O*99A~ntfYZcT2n{VoF|NTgKzeUsMnS2cYcSa}&uKmBsL&bOg
ziG$Vuv(_JvGoNt%G20ybFAP5p_>0e7c*FJYgXW1JY>o!~j(=r(GxfOgMrVzHZ{b!F
zUb$KVt#X%_+^R|lT6$UdWI)#8M6bBO*C*2y{~dSET(Vecl1B~06~3TE@9f9<iqDLV
zT|#QNDe6tUegAmGpJ>KQizb>Las6L<@yWlEH>TS?H;O&#kdHh0XT`KH>drUCEPaEu
zX18np^iT`jbkynFh2P#gXZ0UAT;-tIX#DZ?=Q|z$zG=lDx;Jt4E90m4W$G4gY0})v
z=e5L(T{UQFv(U+aRTED>U$BKQs`LNk2%gO)CpaX&Y_wrL@Z;yNgF!DB*-VO=@>W{h
z_QF%~4|D4ZUhH*#FZ1i+bjHrhb3WfHK6X3X{=ePro4cm0{la?c+tZoFofE@~bVFCU
z?Qqmyn{we)m`R4+*09CG{BuunTuiWg)pMZ6L#pv%#5wlOf)X054KDd+TwkVnxZ0#g
z=kxcHH}6fi@T+h5ANKt3y(jMHek;$|$+{&jHD&FQQzxQ2r%v1|q+Oh)dd)3tS(sbc
zGN;fu$w=*wAM$%1A8p(A`$fH4U${ZQp&QFHpT4&}<^B8Bn`AD7ADj7_KWw`5Z0B<8
zT$7D8;I&t~xLV^pRtQ%qx;6y7pAw*1YPrHw_u^;%xjb`jn?6&XAGoZh!sOJH{)KKc
zKQ)4uVM$4+o@&oo>~mAwbxNkQ<KfHGeEOq}o<80jxJ-AiQO?V$iNUY8eL1yZY4{7f
zEbGhD;%){lt@B?0CAung9?!JTrwlG{7g@I`_Vse!N;&f<H<eVD>*`qLzTA3wTAX$6
z%dNUa)psssAJ^SmcIVKt$IGm8CB2X9CcoUVG`ugm>M4Xu^?rOzcdt?2%c&n7Z-rT1
ze)`t1P1-;Fw~5%f?{Nlm_)jKV$ZtGeu>anQLm&3o^ncvg$j`gy>%W@VGY3C^Wnu4s
z=)6Qpolm?dCtdP(WLw#^n#!x^FKyl2lAIp3t=r6_X20vvFVSv^U@F81O381^JiBG#
zg1qjom)lm%$m@Ree%lwX?MuUV>F&Lh`*LcY@O%h=)8VZO{`+K`KdpI~#k93(xdzL`
zdl|P}YC`wURlj2IJ+Ew90Q;r8R~w|e?dK{Se_FHi&STGK-`~jc9SS+Hapq%@vXde2
zzB%oQF=_vx`^4n+(dZMcoqq(MR!8Rby;*zZ?8m~hj0OSoPV3J5m}m01wc_>9ier0C
z)80s*{So-}bEy;0@oATIw@u00xU{5OcWLzEXB(H6WPe<%`*2~@BbkK_g?$&iJYGFm
zIaf74_3P#%tKH8PWu<ejT|Py%df%7LJklkaYY#-PKh<aPS7*z=yl0tu<<D&_%>pJo
zzjDrbbGGsMwz7*g_s>lJyNj)I+m%Y^+b7ksp50V9DShLz*|%pl(keY?&Zo_L<0bX<
zq2Qi{n@^<VJ+r)2_oMo6WZ{pWzZg%j`@A#QnO=0$Z&`8Ei?Ejm)LD7YJ}LH7pPl~m
zz_g_^ohK;Q?wz>Y;Kkm|<94;PmweONef){yB=ZU3cl$PQv%ZKhTh0B)?fUP^%TfD#
z&ZSP#zV(9hpUK49sS9NO_<hkVHB_!SV=sTetS3#(!scxZld)`*UK{TpOGn|KuO~kX
zvJ%bheD>tcwcj^hUR9ef*!FbZtW}RW=DCR%Uy2m?DyGur5aX_J?d7Fut9*XRrOyhA
zNp9rV6TiGOT3_q4q)%*X$!-VRp3JW=4Xb9%TH?9v=^x#w@4f})*TObfO)-ARee9c~
zooPm&!R%E$ow}uSC#y)$s^qK<G+pDR6n2$g_T+K%i$3cuxBvG4c{}o&wyM~+ch&`}
zM|bW@s#?ETd(wkYOOZbIQjSxB>uosfx4QjXx4Nz3yz;9br=LFl`~0;2-46weJ{!!@
zx^MlGMRopm!;*jBxL(%qv0tceKli+VL3aQ2b-hVeb^5Wghf4m$?FwsoQPU)O>0|ZJ
zIZ8_&e|o9Kx9st!mq|R!9)J3&z`Epd(Ftt^5c>kxlE+0a{&6q*+?nffyyijhtqFYh
zZ(DzTKlj)7ntN=Q9#(svwk-J*w|wu*9IGXdzgN9gE$w<yvq}VHw2J1E$KO94`JD2i
z<|yk#cAs~KI}fMa2-z3b`f|g{n!Q|?6NA&O>h$Ha+e`k$*`4BhSzK(rZ2ofde~)5S
z<zGK>o@;aUWb#~@*G~e}e_O3wRk&hOZ0zcF>q5h?uUegb_3GAFucAsz*Pck4aB^2z
zingj;(WjMOwqYyVwMth79oI4qTe;BdYv`)gK@oa3mZfbeR*!gJM#RlgylLq?Y5i$s
zBbiAxnQ{{!PKep_K%mm<{(JjnpV>dAzTKFf7Ae*{<;<dqlOj!mjJn;t(k^<O)REGh
z>8h-{IitX+n{D}~i~=nz)RODrD{U(7{j{8VM%4f0oi$U=^!lAVGik~h9`BQ9&P_RU
z#_yz!SFllkqF-O>+GD%Il4DnITz56%de)k3(`#F$<TJiHp3J`=Z)cwuZ`r0fL4BWM
z_lW=vPeW#-cy-qljSn@Rq7xHu*6bAnk>zp|H?J|M-gKvE)v--IOZnCWMVeVoeL5{T
za+&4Sr*nfVbqZEZ>s$v$MhwB5rga)sfXNTRo2Fecn!h9^cD0fCQV`h>CfS#P*!5uY
z`?4p(ul&B<Y(5bXa$`NCk~8b+d4f7K^P828bdGHHy(!6hitqMe@x{6~jd%QfW47dQ
zWbe7`#g8)YvY*^wv}F}b%JQ5trj8RIrt)pSu~<^+aQLSE%r0%~Q~vW#JL9J&Ah~Z+
zZ1@+ScatRMhgfAlKYafE`su1JMRT79E`8o;aKdC|@6-uAODC(zm?mCnaTKodePQx2
z;MLI<^{HZCpM7ce;tnhLwc^rZFMciEh}l|8F15!8wV%nE_58@E|B159#gG2{tlYcb
zE`Hto>ze1gX6~D${jEDq{oCp-?+OER{kqc%t-ha4JUQQTzmU=MaHn@4S{-(6RI|xC
z)U1{w8OgazZprR!r)d+XF39#OcJ{qs`nJS3^W93$UCUf&g*UCsocL_&g6vzInSLya
zXZ`pLm99jGHA#f7TBhx|y|riKtOe5U$6|ah^eQKBP<xT5kh}>@ZUK|q)LzV*WEdk{
z;(KyNoNKM&!_N$}m#+R}-}OIf$)pQm=erkf))QZ~<$}iRpv7L?y<7Bxul@5n&9Tr^
zc%kstN|FC(Cp*;$Id=FfaeVY-QMBt+IHE3C@|S<V%{o(ysr&h#zEA%7J0$Bir>{n|
ziFn+<?tL#^?w2gex4LLveD_rS!I^%0wu`@itEzsq+H++{uE?t?mzCZt+%xX@-V=1W
z`+Lu$n}J*MMc!&Z&Yc_Ta$U_yPD)#mzjx|_=eHMmhd0g3@79autlFo$t3-81X595H
zFD^}0aCsc@ukY#mfPdef=7;~2dm$Y3>;JdgS@UdkrewM9v<u30oAS?UP20Iik9*UD
zd|&CT+^%wQdh?6-j;FfLDF3P!_+-OTDHm30$Fb9vqjK5*)qgJ5Pqgpn{<VLa|NraX
zFa6(Y_oaTV`rr81{{OFktX=SM_WyOwzXIBRE!1dmet8RqqN?0~&ffhkVb`~S(%e-K
z@-Kh+oci<s*RM|U+rmzVe&-H#`H&)Fb27RA-|0V1>Xkf~>o1B|o&k}Y-WSckRR8gw
z4)d4zJ?5u*{))esJyKo$@tzI$g?dfnM|&&n7XN>=cW*`hwZ<>;XRdomu3<5JuHCvx
z=t$0*DVMwijH{G7EbRqbLMNy%n5DoKI{Ai|fM~GrLob2eX!S_f6Kda_PH1<l&VBw-
zb?)<%s&n5qsLp-cqB{3&hw9wt3smR&{@CiIHCHrT@b8+XT$-;pISKJ5_sNHC-I;Q-
zdPk_<$6r_cwRBgV&%9{k*Zr(GYD4?wrrJ4TZ=P-u=nj!j^iyjRd*H{tVM>$B1tuL;
zO~EY;-kClux(A9%53=n1=fRTu{q##a$+bzdd|Cc_L@rp%vNuFy+6S)--mOW#>sb;*
zG`ee4U(6C)U8nkD*0iYyy)KyQuI`??AUi5dL;K-EpA$)^m9jTyz1*{Ai?QYUEx{Ap
zLzYaL?;^n5%IU;h5Y?fvyp^Lks>5RWQ2~$MfK0;{3*EMeRTI3juX$hA7A(p4{HzNi
zg$+QYu@Q(2HWAzsbCNSh$IZr-Q}=)OM;Aed)Blrf0!z++ik}wTQ_^*L=Kt=a3`Za6
z{6AX#nZ@(JYjnO$qmO}sAPcjwBZNwfv7agWcxs>Kvf8vq0<w(f&+pm0`ny_FMZQu8
zgqq>h|GK>4=BmAR<)NzzQg->3KIJRjw0iQTkbR$8oO->RPA@tCJL_QJr=XnQFK7J-
zo*up{c~_nA|NE!^Pp^Nn_0UosfBjU}Le1A*-jTU6r=*?)g{e*7v}*5^rKgv!$<lXP
zaAegqrU%c`ijJ0A^*>kD4VydX+^+=B?zyafU_8}w`D)u+mb%}ZBA4+kZGJC5|M{lN
z9xJC$zZ1T?!02c7E5VNsil@%%3JC173O_VmiMQNpNv_xLu%-7xr;2iC>aD!g)oP*}
zl6ll<R>Z0;l0wU)e)N?FE#xo#w9!xN+v&Ageyg{YuF9R8B`v8P8nw06XR6lP3dhaP
zQ^US4-nr0g^{<O(0+xpU^#~8k47Hynx@yZR`L!&owycW(+2WTb@>+7{nJ5j_)2CkQ
zg!!c#ew=d@!rEA5)jjoc<eE9>lAe~F6XyIY{$6zTx6Kh-=O115S10Uk`o=8#?$F<s
z{w-hP_iPsiNft+Loqu}O-<X@%C*AgrdOWpOue#VX{M+6sZK|<r`SYA+P1|CyZpZJI
z!lRj?`<2dmM_pat)26!iRk+*bNnu~L7Z-YJXI8J8T)AR-N*Igg%&RV5X{wVZCC}B_
z8|@?UD_&*U3<LJWLl^!lF&=GM_<xblPLFW4GhPC1>x~k_nj$PTg_q@Ax^QM=T9Dak
zF9CfdQrl$d#*<oN$2`5bwjS_W>c>~QDJN#`>2ue1zR8*E+O}zPsh)N8a}f16<c-*`
z{kL@46SvEB-F3Pf`)}H!&3B75%1$r5q1&DW5`=QTa4EVv^@}ji`R9F7=hq5}2VeHT
zSnv6!$~WnHw$Sdl$v3{vI<oG%O4(kujjv5P@7kiFPC>)YiAJ4*CY=*aI|a=<Ct7q0
zTAkd+J<q2peDZ^bDizkArNJ|gOgUpFoZKB6_8@cX3=PBEFO9CAbf5b1*b?ultK{aj
zn!n$pm;ZOizAqgd#a$2Q`JU7g&(%3O?a5U6zv5>^qPI@Iv1;y-u(vAPveY+*T6eC!
z<(YHUFKKm|R{E0+?X{N#r|78Kbib<;yZcvX*YC)Z@0(w|OTT#cd{T#P_sU;8eXgEQ
zontrs;_sMaUy3DPo=^Q_FTVCeOv%yWiwfu4Hyzw};&6h--i0M?N~bhmZ^?3-?!0VE
zp;qo3FX`o%j;%yzw&))GtC_><#~s~x`B2z{EgM8FbfMg&4@FUDET@QVV^VEh>)@$c
zlk{uRk`1C7nmMdqT|c`frS`@xnecDA>?EbFU*gw<zbM?;_dM;&Nt@7RDRre@&$^Z_
ziBJ#i_4520x6yCWk`14CN+ro2%G}8Ja7#pcLDU*&3*BpqbHrW?_;r_ZEI+MOuQi)J
za?dWM64}nAx@oC#S6VMiiI+ayvVwcLYpjLtHJ3SJ*^5$pp57I*)iYM@zw2}Iv32k%
zwG+je#bMI1foidVhOvP*v4QTffkCl>v9W;}vMYBgHK!h4lHvMGKQ%JvXNUjlqX`TC
z8~IQCn^bY(ztZm|pHKhaWF7IW!|#mjm-s#5HHY+z1^$Y^KU*yJSN#1_+0L)tTj#J$
zUs<F$ZKaX$rIxJD%2!j5*z}j5w$TeRRr{9Q)i~kk?l1XaM`Hso#0K7u4SXiM^2eRH
zi~CcaO!?o`zNx<To|c=)UvZl=n+^Vo*Q%G5b$@jGf3*7OxjaLrm-{Q$Tl@;{@%*KK
zPn++a$l@)@$)%DDPj@+;;hiH^%)b2SokN+1-wUG7_|6e47GHk!&as!Tlw0n6iJoS%
zsxH+%*K_r+sj^!^IkoJ<v@NUTPyWsdI^}z8iqY&I?#P=fizZJDuAFSR^oW|WaLd6Q
zGs&4>)}EOi;%Rs?C}^$1Q5IiM!`k4WkK50Lc}IQR9{gwOHrC~$^-FUPWgd;syj>9W
zb^jgrg<I~VKislv{+;Du@;R7Pe*_Xqf3#&)eB7JTB;me)(>I2jy?s${w(e4oMvjCR
zmvr0n(^i@}GqRM`R9ktSJrfTH1l=&0B4Wm)+RA(Qs(=~K@>br%nW^R;(ruSd<xMc-
zSw8h{z>*|S?cI*=z6M3Tdt0<ig7LYtY0*9IfHlo~9$%bVJ7L|MzLM1QOSG*uW#2kJ
z+n@T)LOj>&_Kc_X7ayg1Px~i+Hg;Re%leC7K%CP?Pj|P3bV@GEIeDr6W1L~|RNcs5
z^M6meEA~OOM{90s<K-I1ZC=m!#a}9|tP$Vlb^YnbxBIkWryu|Rb5%*~y~b1Ci+nQo
zJyD!od1gt9T%hL6<I!GWq23#VSC@ot6?q;S()zw6<mE2S8u4Xb*L@$(HdQ(+Ua+bp
zcHRz&jZ0pC`Z253<8y8g$iQ;zRVA@<_eBIV_XPwkef{Z2mDkjDrx(vQH#%DzzV!8{
z9pAmIj-Fb3NoxJQ>#Kh)f9)K)OV9tR{oM~MFO^oV`d4GR-|za<l?#uBe@KnuHQiSf
zzx?&5D*=yJe>Ga$7S&}LyA;Ir-q^RgB-ZYJ^qNbhKWl<Fg8Vbpb>g$#U7@@5`t#Mp
zUhcBl=c9TltL7VSw5;NSt88J}%rzo&Pn}72RMU1nuI#9`TD-sO;^L$)D<pi+)rRcS
z^Ea#t>5&s%?0)n`AS!cB@?O2kqOT)XpH4YyHSOo5l!?<%uF?>zn7O7asZihZ9m~u$
zU61r8oLr^xu3=Kjztbt9BE|7)s~64qu6l9}Na@*%rG{>%mYWYvJ-NytY`an9Rd0W>
ztRlH9Pi$VCjMQB3h(P*9{>v|wR%ZF|g3&~Av#INjH_Y1OZu+w~>yvVSrdI6q<!bu_
zed`v_`r~H$vv-v}h|KyVef5d?s+0L4lkcxUmWE3_IjOHJvu{qkr07bi*Q-Lr3Zt$U
zZ%sAouJB3|^gkJM>2rU%p68M*o$C$3JIm%sxpv*w4ca79x7Xuj(2hqtLv?bMRCgYn
zq!u(uS|`==sF7OGCXs3S6DKX*kn>c;dz#0|pomp2UUurYj%&^g{?Hoy$?{qHOO?rM
zs-{@GscpXGbuz|a_nOH(>7iN%Hv`w$xOmwe?{Z%9>UqlZ&n61@)Prr*J3{`>sbN0P
zZ>bt_W~J2R^PPQ<rqt|yk>GbSs3oDmYnl>EmLF&0<0(3>9Gc5hG@W+L@6_KUVkYb2
z)qCA*`G)gbt!!76tiLq1FDX9TXl;qj@37UEODnyOZ_YQJ8D_OF*lJ$w>Z>nz*(`m0
zvps6=y3^PMWJOPIiG3imgj2Dk*z4KEIg@s-4>sz5GG$Ht(lh+uu7)muy-NFYb8XSd
zEk^b?*uz1lJY(POI3+W+Zo}=vR<YBUpW!dd*SLIYNqTu(=`KD0nP1*)3)m3+;^dT&
z47oj<?ZVnN1{~0g4Dab)b*a?#iqiDC;U~+luG}RTX<DGQy4O-QL>J_@xkX;nltkXo
z5z?9!yh-Gms*<X=qU|I*g*){(WmD6v>fXQ8C|>&bldSvX-HXro9DiSWYq#|&pC@vY
zt$o^j@5-j0O8IwZ`lQM}pOZH3%Tmm8Ke77FJTp0eAA{E9(zT})*GyTH?JEB(Wz#y*
zTD2)BcZJ2quGTx>GpA-%P=Baa{pROaR(i><4w)BkIrVwC-_xI_d-r)I?)>oNisYo-
zi+yHd3{cEDv*_ST9jQ5|uuw0*hHCN8D`5{)Ej}7-WUj4Ryg1lsvx=(m;UJ^U#;V4f
zgN^zxO*xZ1V{%ECo7(HBi;_|^*JQh@XMkKccmBka?O!!cztfm}GBRw2ZDgKhXmaGS
zAoj48I<x1TDmuMV=klCWpU$nc5wi$A?Gg?~k`0=Xr(GoXfXN@4k=|J|{ew2G3!T{w
zCXa(j=HN~1uD;W_e5tUy%zfsEsk;~Z$gOX8@@Tu{Dcm>9AnVWq&b?|SvkEl6COMTV
ztyyQje6?_@skTMzT#stub2`($EV$IPb)}P5tY7BaKBIE?ncRtQO>p$e!)30nVY$2G
z(VH~Y7kL_d#bHhF+U^EE+vOAaTU%GTMa{RR=v8s~l%3Y6VmK-@*09*#e+M@5%l<o$
z|LPY`sf_<OdGVRuUzs&-Y2?Mf?=!mo|Iyw<sR!O^1PgEK+$-?uRFdcO${Nq-l|`QE
z)(M{J)`_0!)=8evEi*jRh3nQ%@Jc@#>SCY0(&^N$H4|J8&v@K^H!w{tgK^rXX&g}v
zPj4v-miRvXr7XDR?tbf2AuO?%H#tRe@~1l8lTFq0nYb)m>BYTC&!R!3S^|hnOHq1p
z@0M(87|X!}cLSfj)7ZS^=&H$=Bjt56H*P)v!A8$Zwz_M-KcjC{sv)cMe#84dBg?(k
zr$RDA?O%$tU0zjpNt0)J=-)E;nXl_V#%;{HFPj=hYBPPe^{G3ush95G4NUvD-}+R{
z+D%OF`;1=hPgr(i)m_=tW#v=$vp@b+WH9AkfR(f0(>Hxap#&O!`>aptAh+c9TAzyf
z|E|yIbp6M;kDT@8Q)YsAFaN*O2wp1R<*8{dS?)g5JL+TjEx9>if2MBZULN}Ybghuz
z>R%^o#lWN#n3VHd{p)R?k)>vi#JaW(i59v!5`Nmd9d8-T2`g*fl4!B^O`DRachpVZ
zqV>}+73ELAynFGPPw^fLXG|<}pE>7@vX<)S3h$GvvUV@_3BC7DW3yg<`IN}%`>ju{
zIel9;^;t>my>}YMs;d{3xz8-!TjoAfRJ+`LW@+W_#b+i-xn`A5*}1IDeP*a8h`;Km
zlJ`y7)UcPk_S_9j^Ly84^!gJ>(renM_k~k#^6szsJLl?^_0O+gf6e{*v&Fwl-(B{8
zo9f*A#QBmV<d_=4{cQYtpI#{W@BebgtGgtw`9|&X<_g{<@r8VkOkX^Fe$mxW@6!vD
z7YFkNep{CctY7(N$9cy+%Qh7|uz&pZ?&90siVl0?WNXwm2nVu%oN<89Q}#$QleKNB
z!212}+xNRqFI;?j-o@1OFE+Q=?y%}OF87W7wSrvrjpu8cE8bY{f+3-5flK9aylF>P
z9_+C&oguiK?PUI{W{W+3`yyqJJS_e6|CK_VclGwAwHM^vAFQyA3%=Ptt+~P{p?yE!
zBh!opY`xr@{7UE7pLwyTWsz0J8$E*?8u2H;teGiu<l((FjC0c`35T&4E>W~>C>3b0
zG=B8uXOG1tTjhH$Uyi(aDd19i_`nNBcYce?1I_F<RSn1M_s>6>^hLnG;^x+s%@(%C
z%6@kz<)^S0dU@Ba7UZrGeZOk1$<zghDt;YoxXf&GGV#F6)OlWp4?HH>C+_}b{PTaA
zfcssQyA4_gRxvW}lRU9Y!q%vQC;6}-^EqLgLxvB&T$_Afz&-34>*VW4nwM8G{hq_l
zx{6^vv%v$CPftF+e!@9pzg?pEi64Uf`ZgU4g}#=azc|&vEXUx%ht_aij)dJ@jC*D|
zGThFP`0#7qS%p6x!Mf!RA1aO<cu{b}+&9REf&HV1>h2#U0{;7VJo9-z%UE`{G2f#v
zHxDvb+;eB>_u{drd8Wz3m~&X6!L~uUAy=Abhrh+vB44@tZVy?_*ygOX_-W+c|6!Lj
z^E`>2&zSRr9G^Vcb~JPf`}&DGu@WCxHho{x{Zx+mUO?e=30ZwZfk|zKRlN31jLW~?
znetUN)v~~{{*t5*yQItZ73nU?B9HdF_vC$@7ir)p@bm4TqTMo1TbxhNIsNwW->Uuc
zOgdHT_&4l)K40hCr^k=${_d!YyBD{9|Cu{0jcfjW{P$L;Ao|DGr&f3M^sg_v$o=j0
z*P5L{(bxLc?o>$G!q&3qdP9Jwse;JdSBm@szt*s|SjI7LFkiksfB*ddg?nS{>tFVB
z{I#ez$gnhTzJIRgU{e12?f(3=KaYPYn;&Pt@JFf$*TpZdKi_`+G?&9b!-bozHUHor
zNA)jLoQ}jS5HNJqcFE9c<*;2Vusv_?Y8R{U(2{klUc`i6+-dV7My^DU-)cJh+|$e}
zCuh67cp_EdBK5GhJZayY`R})P>M>^i5$!y2y#Ko9N`cjCc6R6I-?x7z_Hxs^`aS=x
zvQMpF|8er6xa$`fMXj8_pWm)8f4%<D$It&>Z{J?|X79vDTo-=av~y_}kbUeWUpI5P
zIq&}ezdjaKpBK%4`eK&lue82}Gn2O%pG(g^wsW=Qajj6sTY2^S?d#7J%L*O*{qyrI
zxy^_E{rmj4X5-YKe;&X7T2o&)`+nuVKMwD`gJq^1U~$~&ClJk8wx!M|{gVmTm!nM#
zwHp;2;&nJKh;uW2IofpK;OAf8Dy#q6*zb>#E)YLFL7%nl^SA6*s<tI*%1^`R>B=@%
z{+_|@yP!_hR?DQPHuaVAf~WV^ZqdnqG_h*$AEO(W#QyyIHb<p!Ly*>{HLMH8J!N}l
zo%!+mOC!tVl8<u^+HHSTR_Ay9Us3)1<^Po{4NVTz%E`D%#?;4nPRNj(>Hg&Q-LgB^
z{dca<5B%xsaJ_Wh<G+u$dkY22zfs!Ie?XDxIERhf15GA*r4NQo^L75S2s4zka-|tH
zHqG=|kf0{~LSm7-_MG?o|9J?TXS}x2{UJNg@xqMr_Vf1FpIqkDAeYl>_xGaF{>>U*
z@-9L%91q+%ZB)5$BL|yC!a9e3#VW3g?E<wt7u8p%uzF3BIGAv0g24<E6`LakXEyB6
zZg{})g<-4G`VNJ?#V__c%gg+Fabe@MeS7B0{AzZtFL{x0aKbItX%d_-Y!-ZHNMKY+
z*m5jZYUw;j%m2IY+g0qeHTkfwv7A??!~F63&5WLDZKfT<HNO*Ps8#>5(cO@K`~Is*
z{<pM$7|phq-yikX)V_M>{yo0`9dxw6ZK!?vx&M52Kx&igRL7h5<1?>wzA;K!!@oiA
zz=4=DeJz=uq(-LLKbqHt4=!HV=RUcLZKCA_#}tngferanx7E8yB;+1r$Tlw9;N5tB
z&&mb!e~Cob@8aBZ>bD*LwfpgRl@4~LI3Cz?oVD(p*vGG5Z`S5*ky2LtxB5Yk{r*?i
zw@>d5monh_Idl8{chg_5{yrsor~2|8TRgtaW3pbzDRBFRDMMMbFvGSrV(%JMUo_2`
zVB@o{CG}0h*YrafDd)4-9;{ZrwLN}9yW7mV_szL`SPSpF-ENJNpSE3fx?5psl+KGi
z;otMzzvj7rO<14cc<11~-=-gSv->=ruX5BxVL^rc{|fv1ngh!_&dM>czuCmeuU-E8
z)6>69uMLz-Bw6^Mv$kxST=sSGajWIiws;HLmOD7ByK?UM-?*x1XWah1|G6d>|FU_i
z@WD5DUet%+F9*-oa(OY_lsKUF=ZpEBd+)Em{QdXw+q&w%yl0su*{|(!cqlU|FhP|=
z<i;<i);WuF-M`g8O%Bl2leog!Qd_y(Km6YLEnd(6zI`0L!ArW(=&;0LXP)ndca9o7
zyMFt$j>-H4@2{Wx-^+i0*?Qz8S7Or#XXEJ^-PgX|Io{v@bKw<cMy}VMr@6j8V$pKG
z!Mn=b>72ekOVr8#KmLCECAzPB+iw}^dbRrf`~1QaGQ<QVX0fugr7<q#i#ce=w9s{L
z(}bl<goM0x^EryYdbr!|G5O#pfAg((#&pe@{@ae<ukbhQy~fabX;R>dE7SgFYR|oY
z?)&;DtfyKZv`k#UEERYE-1h4xd;AP*dJ<PiaPf#bH!e)lFbLscZ43VS_UrZA#=eup
zPTdc-;V?3s@5tb^sV2jz`S;&%AI)y_rUlQQ@cn!KXQwBBAAhTV7I^iPp-FLrey$e#
zkErEE555)lz2;8hPEL`yDVLNHd&a_Fh$ZgF>t7NH8ug1B-~XO^txVoz!-WaS6))8T
zq@6Z4Zm+pn7&X!1#EsLtHu(PgJ>e4L<e!^z_V1Nr=6MsF%CqK$F&j^Jk83Go(Z+;5
z6R!D0Z`i4RpeMk=Kv_iK3<v9xuM5{!_nUJb-s36p%=eH%zEIn%PoFN`T^}DIw6#jT
zhw;M&#fldP8WKH!@f_0o$|P{+7OP9`6vr#>k|65HbWs-Wh92+&)yBOoJ+f;P_sE%7
z?fl2qyG?XI<IIy16K3`>&O90M!S0fA)XtCpv|q2=Y5CzI>$blKXDn}(^r;SMu$}&U
z`tPsvy?1__d;b1@l`}8){g!`z`e*Gn$M}rZYng+mpJH65H<j5ZR_pk|b-Ax(5{@rD
z_u<B=4;M}qWTjecGwNB(<E#xiD}tf<)xYD9i_0t8j9iQyn9|hmy2jtn+<nzGv#4g<
zr>V>33OXk5yMM>yVPe9UhJ(xpq7)hDvderBUweD9bNRl8yL0r@%%5}LKKoOtTw3-Q
z<WQ!PJ8|<SZRs_;e17_A*_>KEjvIQh0vn8XDkP*AIl756{`Ti)GGi56QarKw>e|=S
zqtlwN-DIiTSzB2=jqS6siD~0^-4l!o@%yZ9S@@Tn_z`JnFfo<KCnZ&l-(Z1u+`W7E
z=ARdiESF;mi_m7_TEcA8_s~zhUWToH>CQ<_zbiNd=CCq0ZB}q75MwEM(j>En&y(ZF
zuel5#-l{S_xb4l<Fv*7@U=k;Tml30bry7IC$)&Aw3~S~{E_nCo>Jl@{Y0Yu-zu&&T
z{`&5@24Z&%OT<LhZ+&L?$69T%Xol6}PV?#S>TCAg;kfYL|M|mdZ>@B>I8~19Tm6Rj
z6`OEanJBYJ&+_V<r?yP}_{~0?rR3|T4~H1^nFJ4TF)=%22|d^|=c_ndgO2)xjM*AK
zd}l92u1V`#`}~;6E;++L5lJ4IUu0*7zOR|3{9gXXId#`lpK?+@pR1lUfoFI1-A;xk
z$u|iCJKogXU^}fV9Po9IH}i%)s%#tfq^}osuqw0Nt0v1h|LlFs7@jj>lFW;y9<!Qo
ziG@MamqFvz<RsGz#}jxM*3M%{Fh0ld-ox2y!^IhVIabS<r6;^R5SCz7`g-%*x#zdA
zdC14`^X|!hP|Ga8W`&*w=bYM-xSp>5`HFn2)e1H=tlED@K1y;|Slhk6OZ~UQ?UeaS
zb8cN_ahk=TBe14g@$Tt<)(%nqCC#qvmk%nnzDx)_T(W^9$tuFcP*%ckW$X;+%*9;H
z?+n-``!XF!naq%orOJ@wbMfb&(CVzjHD=4Kc5m46|Kq2BZ?EgmmSaDhbM*Pwuj-MJ
z+kUjv@0nBIoW{3*u6ggC*_>NCH-w!(r}etl)hU;8`e|N!Gyj|S_wTE(|JlIKd$g3D
zMgMyK3%`k>I;St2u-~fNRkQQo$L8oo?Ik-m@9L>Mz3M>?S96F?P1e)6KYke^r<n7m
z3EsI>*;HE?zqjr~$-LO?w1<&;ahpPJEt2$0TFh?R9C|j#{*2|cuE=>ctJmlx%swb|
z|K7amLb}5D(~LhJjf@bQ_01<&d8goKqrU=;vNel;D9J1cIJ4uy?WYW8pSU@m&0%Ug
z`m5J7r{I{dSo2iQy4ds$%Ngo9RhG|T{%Nv8^G#o9;FsVx7ec2VW@KM&vv{G**<gOP
z^9P?;23}a#b?K$glMKb5Ph&KmTy+&JaXz_y#xk3g;Tw~cLi^Sk{pJc2IV<<gJVtzR
zrDNP|lc$dMDPEE$j@Mglr)hbG+q=B8E7gDDHc8&#>jcFWw<b+jaJ`V5W`3+xOxNTM
zXJlZG;jGdplbwP~@+U9n{#vB_^Ub!M=N9caS=XxN<^M0y#P#Yjb@RZ`gKwrr2%Xp;
z`j_Wt#6^)<J^Rq<tF0Pqy0n^fx2<kxJi0jWaD%MRb(W)hL`0V5O?q`o<hWbll?yX9
zX9+Iw-NnBnFjQF1SNMc!ua+AxPZ?{h{i4dF_m;m-zcOLV+#_;pSD)G_9`$ufmhx}!
zbzO#9eRDNd>+IU+R{QlylUK0G@yL%FUF-T}Io9;N;?iQ<+;Jj3NWjQ7Fh5B?-RgW`
zp-Jj0){yHrUhJJWb=IO450kzG=6{(J7_j$Zji%G2MH&Ya*M<p~+~0Wkb=0qr$&+q`
zui2=j-Kwxs<BarAiB`TK)xZ?NSRw6D*FYOtUu(C;2iy-I7Ww897}CG&f_m4YD?wcK
zL8i@nk6oR4V)xQzFT5rj`}$s-YUXU@>K`Z)tC;<u=h!}}-lt|#*;y&KJp)TbZ?3I&
zHJlv7rMy&Q)_1q-JutLHAfzkl{?gZn-Fg>gY}@qttd(%(-7CD8*QXo%1ePp~WM5&k
z>(a439ur$cjy}71*264wYA0{F>qXHd;mE*}V9T7=B})=~yOKU@=%&2#F`T~ToLTY9
zgtSu!B^)(xOkSk1_vD=QoLT#pR!rDsm1ZPyCdJ@G$^wm3_l(S}cjjL`|02$O*3_IY
z&ar%(9+#d>tKdzmSQ;?BYmxO8K7C`(<r;oni&Cd6yM(CDPTTn88p~R@9j9OGWF?ub
zwx9N9)`FBn5#MAcE>(LGc+4#Fs!Z}L{wE=U!6t_-n>rU|tZrXCL!(P<lF9b)nP>jk
zOMI?N*&Y7$;@O17(M5;Pn91ZirUpJ;rogA2dbhixzS1+@F!XNl0ufin-KRf)-eVa5
zF^SWs@$)M2n>S5cwN`4lEnNOVRjdEiO_ABIfg$$Ge&~eWeVg!nW{v8S4`EH)y?<zb
z^x>Mh<n{4G0qGY-*M&7}c2By$PN;Q?>*i%qpO@$?yW#E|@GZ6L$im<Ey`~5p4&nP+
zy(~lW@)>jEyskGPfjPg=)J#)KyE5~P%$bE2Ca%5;a}Bj`hOS+<;-~gc%WE@Dj?TEL
zsWoNMi|LYwXWQ0hcRiC_?<(rL|Lz$-nca()Ni<JQPRi(d=bZebEG+gT$Eq0_XC3<(
zgVLE!9Xj(ubJny|i*G09921%B{q&-UTwjp!hp-@D0cCB$knkmEr9B^B5RSc98~^C(
zN|{v?_X?`>RV~zX4_%if(0+GC@e6f7t-lK{ttyo<Sh7N_?|u0SxrcAFZ>|(s7gc;j
zho$QB*}ah*UzW|TzI;~m+J;3LdHN5ZxkyhbJ*4LBdts^6-@^xuO^$l>uUofqX;1HF
zxA*6^K9!qbIjL$=>xNzHIlXcs1;biTo$S7}%_sHAM}sLWV%e6jH*C4uzasLAmV%sr
zso2`wRgN<^W%L;=e!c7E#=J95ee;7qA9*Gb%BL&#?D1KtJux=LA&(Dh+48MisM)_t
zLRY;?XZB9Lqn_(`F3RrKSiN-K^WS;>2ajElYwi_Xzp|Rg%*{sajo}T6k2f|SPq>}D
z!SD&2)z9t65?;^gQg>Y>AI-muMf6L`>Fa6LJlky9W?we0<}q77{kuet#Es+)mJOFr
z*s@8peUr$Md!*@Qzu}5pGcQx#lTEg4vzslPb{<bSy#8kL2GK3f*)wg~ZddjnJa$8_
z`K)uxvY?I&m$?dGZv)%;vRqFu>OsT(<PC2PZyae}_x-#rTQraJzBh(9Ql|Z%p0t56
zS1V~l>9%#<<||c}*-6;lbblbwn9L%l{6VCVU+{-SqyMz}Ce8#kVT}iS9S-`a1sF``
zEaADhSoEAN+iae<tTVI&4#YIdIe!sZ#IN{EVv&E?$x{Jo&>kF*8ZRfmp^UN4J1zwa
zCR>NKJB}x4J3MFH%g(^9z_{4--8YFGu;-&e9VNDi*&CZ<xpWjK9tf`HG5dN(uKDcL
z4Yq92EB(4z=YD^6@9m0vZ&%2@5!LCizEQoOt?qk6=AX--!;UsQf1H)Lnf(Cc?&Ar}
zCo207K3jCaOF(18S5_{|?Z*>}6VI%-Wt+|VO(JLB<U6)(vm3uj<ghjQ+yMv5gW}9$
zX2Y`ngWHZLY<^YSf3WOk@&?xThxz)xOXRGTVc)&&X7YxGJh9r$1}nZv<ZxL$(2uI-
zF<bWD@P^Qi;|YfaA`;&k-r!=`w^xOsrrHy=iN(U8x^v3~=7y=COZyMDO|WH)W}Gwq
zz2OZb$+GWq&1e7GO)^vfndW>ydBaP`?Z*?EOE$bnu!>k>Aghx#gHO!ShrL^E8S`Py
zt=z>Lxgm)MWRE3m@?@BiBgEjdmZhOr(`x>H&5o#aaj|M1vw-JXCuX%C&E;4fAy(0U
z@EHGl!y7wRR`YD*`PF+fdBap2^{3VMc5&QI-jLd~j=Ssbf<?SHJ_sL+ekIqOdv?)|
zOlGOKhBt}~wYod!Z#te3tx?Uht#!lkgvm!|6qVk8Yk0%Nb^GBJOKsU^FU;6C%QLV4
z;4;l!m()_u%Qdgvv^ck6t}WZ_6N^k=%QgE>0qc+Q_$HB~`dY5}?3<Hs3~zjs$eES&
z8Bwy_lxy~Ve(|k=*<-n8-KQ7)=fDz!v|&~M!EJ_Y(ff}lBxm#=Jl1jjiY=S8vYhZY
ziJYE_H#foYCQ-yZ_s?s?8`n7V=3dwoAKG6kQ^XW@CtOAI+^o8*RV->1QxEU8Ws_!n
zbR~(mBe>gCVcnD~4Hge#m>)G1^H%)%o_c_{V-q-&Jmh*~c*Ee)ig^d@%AL928s4xu
z@bI);GjG@#Q{Rl*he~nk?+tG#JaE&yoxH)aLwQOykJ+DDwrtUk)?1QpCU2PgT_UFk
zqzf^21y0nn>z+Sa#LD->mhHA&v#-sIs{ePAHyky1a$2t0H|=M=CY$ty=}K(T`LB0X
zO!i88)f~&xc9c)h!tH?}lfU2(fyREpA1Oc8XD~pASr(+TfJR*U6sxuz+Ic)-^1{6g
z#jIR2v@axg%yasp=pyg@<)l`m<S8B>DIvDWZ7m0vC_YqdOKv+F%gExsfPIDNcZnQU
zq(PFqa?QEmRDT+2ph8tes3NQX;Ier)lQ--X+IBoa`)cOK1)t;Y?v}l}TlQ-6-X_*U
z_UiZ754@K%=&i51w@TteO^j~NHwKG@?-Dr-mhTO3#E3+2GO=#k=b-rfn?#OY&(E#L
z6E+`^Yd%{%Y4`C2;|p@lXB{SVf<s8UMlUx{;n+KH;t1RO-tdNAHP5yOwKooalxto)
z^>M;=vuYl*DGRex8Q8AMHTyEM|8dRkKX~kmEn74bsGwxhUIWTg2ewaVX~@?{>f5j|
zsLo_qpfX*oieW?1zITQ<1U4N{&~Etr$(Aiz?eM#M$s2awcQ;~l$?iXx_M)1{%=X1M
ziJS?1VzNH$-6G4HU3o7bRO)z{aPde<g2mFZM82iHT0A=rOZb>^GEDYmYFIgyVS}OT
z^Uvn2fv?{jO6otz_G-`Z1Y!T@%z1pu-z0MG%&}#QW;>kp_t+c58(#A!f3nSwZFy&S
z!^rVE>(RV`i)=YRI3Mel_8;7q7I<e1WA8VKoShO=j~?;AnY=-FiY?o1ryI!|PA2*6
z-1Q!mZ5G{5ymCpd`D}nm{8^Rl#}h7##AXU_O7A}ycJrdyfpc=rz9|=HmG&Q0^8)D?
z(N(GD**2-P|6tm;6mX_8^W9ka<#f-(v-9?ux_-{-Ke+7ki!zDXh5ZMo6}?dR1INW}
zx#nJpy~h)7OEl-+NZw$$<#<B!k?dEX%B-IgT$z1?WMZD3jeb#vH?C#o%-y!>dq_WT
z-k%P8!RE7$2ku_@TF?_t`%I@tS*GhyV!NGy$aLQXCH58f97txFr}ROQNnZKG$xr?U
zjAv4qSdC|bYrG5jOtQ{jGFprK52`s=Gd|-IF$iC9T)?*Vg>#2p+l$Gen~bJN&M;8{
z)ppIE&WD_Nj`MWyX5#2`;1AG#XLy6_LZ!od#sf?q2QqqhgA0S){)5vD58Ucuyq5MR
zVLFqyhsuX5a?QTBn~x_HtLF3{3|n<M$?^H#UFFuR%B@$iSF>_I;`?@QedB%G1gZaD
z_JkTf__-tM#v8_h1MduPFcg7Ov&IG?7OtGyMyGRc4R6Fqd<G@#Mq9So&sEC%52h^u
z)p`oT-$B)_*^ii8cN}`|f=kggRrixO#D0^=Irw`+^J81KXl>BQ$v24{4d?5p7<g9M
zvdw1V`_X+(uDSPRHP1GdcZN3%Si&NzdA2cuGu-_+A%-a_sACIyx?dO)p4Qw=-k_M$
ze=v;U`O|8iZ9Z*x_a09u-rt|X({W9%`Rs-75;;{D-WuLekkzr8!6&Ba!`>~mtl3rI
z^1(%&FAo%Wy*(u3B^@eJ&~6B-?bK$oFa&EeCM0*Ad!Em==-SQJqjJr>S1S7ts?9sc
zen-abjp2<QmY}*0)ZrX*wcWF036J^b+P{4L<o0X*!yDD5AGo>u+SM&vbN76H{L9n#
zq%GNkZ#X7pvuYo|S@)r_UYBjQg?6;!|DOvo|KAooz32Odren@~r2b?FRzArToW}nu
zcanXj(o&`;om03bs0V2ssBO2=i(&lqA&T|H;cQU_{`IN}TQdz~*VV6TvN`5%c-`jM
zZ@IUNH}KSD#$Ep%c;BMn(XD{a4L%76v`_Q<98{b#Z_BM2Gd<NE?DFF4>tgm-{juAT
zS|#&{L9mj^v-NED40F5L4@93Iv-esk8uHXSxbl6iY}2L=OZ_juCMp|O9JM^dpKNEj
zNW`tVXqP?D%x6~*h&~fZ<5kM5=n_fcJ*jhi*MWwBWK)GJ5xf?Uo2HsFMT93GsI<&}
zRXQzhw`KL8Da!?f-OT4_g>Ri?XZ7Xa!tZ={vrf6#cO1Uo^snV)|BOEkC)IuIk~Ymv
zcp+5$iG9X-Ezt+3Kig!*-Ltd1IOEm5iXToHd;iYiFG$VUDe+cx)_dRE>eHR>s$YL~
zgH3J^o16=CZ04ljwo<Q|dU9RZyO)5E5^(f<kgfbpaF_MOxUEu;UMt^Uaz0#sN?tlo
zMbCi`b3f_KWPG~QoViR;<L-TRgOitdbZ6eOeCB$<^Za>pt3@j^&nrBZ&Sdl5eW&iV
z?>#<|TMM1612VRYWwAEK9CO+<dH3Nb83rd_|C5?*$7||ly~-}bI;<f~g(aiavmuO!
zm81QpQQCtGu?7}T#CeMH4sJO6$#J^i(KqWCxc^s7^tlr7UhFz|vXiKK{?BVCPOf*l
zpptl1^TkQ$s_!%7W>|4;y!@rsYX6nvD~12gpT1Oo8uvT7Ju&8&d874r^xK*I2zc+@
z(5YSWK_O3m+Ljci-7{h@=sF)-rz(D6ot^lBb>ZR+5(=CfvLCT-Sp9-kz$}@U;o6!-
z?9**IH<|8!()~nYgK0Fw?P;t9_Y;3^WteuDo8heErh0~%dVigb!akYXsNVU&QY39C
z8NI+fW9fwNEFQ<U6?{6oVEKvC&NVO3b6R92oO*pZJ}q{Yqtx@XQ^(#fu$15DtoPuo
zHQ!5FuX5XZ-|Ie0w3mtgoW>(4^&oa1_m-yjB^Uj9-#%Zz<iiW4_PI4&X`xOB-?W4o
zSPP2fm|QD6_)7cO&T#SbJlEdEPh)xM<jPWR$fJI=d7tuR!8p;_b?=1Q6Lu|L^knja
zQ{7RD$KPrg<hVB`T3gj#G*kX^;rCBH*|4-8)A`n0!{!NOv0Q5uynaA|^|eApY~1d&
zi6wi^r9Ic5(0Bdgn>e;h{b@C^H}*0t_*W-d{#@wm>*rtpeB7Cn@b}M;KmTOXUIvNj
zCdJ$De`agIzv-{DpX9W5nI+6qfBwC-CjaE7YuCFp^f&(%JZvCm*j{-gL_YS#Ip4oa
z+w8wyWBIDv4yHmc&r$aHE-vC)e{qxg!?PzB>K;GA{+U%zR(ZX~vbL9}x)!~dbT%-x
zApNLJ1gm=Bg-p@ES1;5Rm_7gSz})1l^I_h5=4&<Er1w5_`*mQw#;%mZyuXz4HFl+Z
zxfwG(XXn9kJ{49u&hYn5?x(NrJ|I|nnXBSZ`1G78#&hD*nT_rXN>4splWvu#+rD5Y
zPnuqCgLPWgg4xM7-xxG)QdY5d>bB=U(dg2Xoa=r~b@t<)2V0hBnOPXFnw85RGTV@)
z@AmBX9~b{zWj|~EkDAR6t3Sw8pIzr=oT9$^>oM)z8`TqH8J{!hPMN>y>WK+<6NF9D
zHawS9xqOzh?-hrm9ed<+1D1=|U+!o-d2!`u3#+@UOJZ}^g<R#iyXIGAtx9wBN#S4T
zZ@*UmyY9RG^UQ6M{5dDXT|O$dZHr*q!hO-X<x*HcL(vgK@uzdM`E6Yi#2feAe1X8x
z?;7`<f7h63Ew60wEboqS;`&LvatoY0+BUhZZeg%>&Cr*beyuX&OQ6`xX;)4bYVdIv
z@I9O+k}i<vS;z)sv?XgFzH!Q6i_w?0Jh9r%KW;P`mmKO{GkNjd#fOA<PkwykOkviu
zii~F!InOGxp1znZ^LqBD+vb(G<Wy@XFGoYplb55R<jKj&lV2zQ);&9`@9ecc-@abo
zb^UMWWq+>=ZfaBWZ=bn*wIFK|_|VPSpKNl#R|5oF^M717bNTHF%kNJ}zcC^G&V=VX
z6wkBSUDn8as#tsP%;tx&CfiLu=VVx)Ek0`_{j2HC?6i5#H-CKoWV3a%&9==pTQ<+R
z71{SzC)xV+g>#p-Z|=wq)j!=f(S6pwnd!|}F08qHX0u~f23yXvn-}zE_0Fy|%dptQ
zGpo|9$8S^6tV**FGj*1w&Eu_6d%bpMI`jOKlX9Lt+`4)C^5>$nx<9=-=USQiV$q&e
zUoLF9SAKEBxh?nj1L7oiX{^_Z4XHWKl5t}77NN3>6T&~aOmRILsm1+i(|zWn6YaRW
zlxxLA+<)n4oC}H!m~&{8LjKAMh5Ta`2^zl18iH8RjeCkRw$2t+Z4<(sPpnpaa#i3{
z7DuIN%N{AGI9|o|zb1s&f=>DfmH)JgztZ%)S&74vMfH}KJB@sGcSn`X+u!&dH2B{x
zp}to3Q9tjWg^ly2er#+s|KToWb42=&vaZ~tnoEa|&rkYc*e0L!L*(#r3AyBoj)!(_
zyCv*yZ2a)&@n4pe{L`%Zr3091pKVxQyUdxhZng@~EtSLzYMhUyN=u}i0$(x<s_EYs
z_<y2K{e{uTmxgn{{&*Y}KT*+KUT@Q!ON=Lqyx0}o#VvQ9C@PUqs9QV#(s8SXHSSY+
zT<rX8jwCznE8-UDxBYoa*TLr7gmiKKk2jpxEwDL~?ElGwSzc*-yWFFV>i5~%I*!k)
zk(W@At3I)|zrDgo!CrR;=bJ06_D9U`C^+q7v`Mj?m?vPh;i00O@C$}^kP3YXxkp!L
z?aBS%=-?C<y3qb&^YWN$6W5FLf1EKZ--PK%a_qi~90L8NPxc*iwz)QOF&F<wm2TS)
z587Wy^tD&`o-JR<_{enXo_dFtzc~&|l;xG=9vL>)OiobSpe+0&z<=L$n<I(-JIlER
z+6&*Luqgj@({qrS_U(z$A!nImU!Qb<C_#RkZx?Rz^z1m~A>PSf*3tb~e}{vW*dxWb
z*)gIgmVdT6l09j)+0QHU+AH=xU*%gS_e3$yJ2Ka)?DwjTF?ZNHu7|{*O?@uGQ0S$7
z&XD1eLEqgCl^uuWzP)3+>1@3E@Vve`99134wny#R9c0wLywjPGw?}!&k1q@z|9d{m
zeLMMBQO^71RsJ;1-xnTv3$ZEnB`x^eaF3adU&8jm3r2PRiVqF$`~@O@MSI)j6yulj
zJ^0YV*JIUiSU65H@xVjQ_1y*!KD30pN)%K~y5{miFir?0s^0+;o$f4AP;sbRk!6G5
zk%nLq{z@K;H>sBynfa<O+jPq*iudw8*f6~>&AQ=m+%`eO2OnB=U3e^P614@G`TQsG
zcF3LVv)6fWWxC8ktA^nCdGie(TrofE_d;;q9D@g662G}8F)rudJXwL;!sekS8#AAO
zMbp8C!@~7d4{bSlEc74Ba(<cgNGV)-k9;Fz`^P=fU{V}R3O6#gtM73Jk(u>OwufG;
zv!tB25i6*8bi3;vlj={|qx>6>K2(^;`&=*UM)}J2if<CLW%(X`k<@=<@SsFZ|Fyw`
zlC%TH<)(9FLL6IsRXcXPe)6R9w4+VluGJ6E#qyTFo3*|8=Sgl|&{C(`W7-Zp7R__6
z&y*-&<6ZwRfcx?ze%nL0lOzh-bo6H$J`g$jsEKj8qK=S6!HlC!jSO*jk`g?`9XK^^
z^WSedEW6qI<H>_%`uXd<HN09cetpW)#J+!j(4NqM7k~Mg)|KzFe3um4@RiYw<%UGU
zaRzI}eSfQL<JXn*NlDxMn$UMuJBRo4jEe2Az8%_Kzwf@?y7li@+%aWX`v1o2)@!%E
z*{P_QiU0WcbK2cI3({M5iS%06&+B*U)pS{5*UC}4Qea_?=%Z^1B3+J(PMTUSD~eh<
zL{|$eoF@9{Qv=@|i;mOGRvC{J^!Q&ibT->WT|A{M*0ZOlapH7CgHJ|mZgIyBoH%_$
z^MKqMFR!)H$5iruolN})M;BB7T@*dASi8YJoT2}CiPO@wi@(=zk6f+(H7WGE&;+}K
zzY?3KTRQsW@65QyX<PN?@#mWVHNQU<{$2ik#*D{V4rdBY6`t*o5^!_p<uG2#){+>^
z?7z)WW<pKg`RCvJn_XGkcL}uSoO&U!==4_J-k_GJcdXaSoL<_aaJ*=v@`;Txe4Ir+
zVMja|T03t3eEj#{AH(x~+q~0WJpWzUnH9}^@XfY!(`#hILXQ+he#uKOJ)!P;;-3-!
z{Qh{|ivFB=yA7t5xGtG{^=N_oVP0jvFKsRThCI4+uWvtp`}OmmKU<aJ+zoOf8WRt5
z@koay+}OZ$xJ`7&MT78cnKggEt$b^)&Hn!K($6mTt3~;n*utBtv?sn6Z2bQ6@V1Bl
zjvI+j;+OeyW%}pOf6W#ae$G;#^GNuj;{lV%8l6{N>*eisKH62Mz2nMxZLu>?xtY?Y
z?A$*&>!SYen8Vlar?39jepURtS3Jv$gWHM<uT0N+(!4<N^6%5$MUAUpdwUeUo;>Hl
zucJ)c#06gLV`BPQ^Z%=R*0QGu@3cP=?&4y7*5PnmfYo@B!@(S_fD6V<uC|jeF6UsG
z_UUi`&-;JBIVv*7@I;)FWELws#<y*Mc+Z}MIr36JRyN8@|G06Wo$a3ahjopIHQWB3
zZJw;dwYnd))ab{qio(s3^X|v#=giL9Iq%_V+g_93Pe4nHKBP4roxPQX>+S{h?Wsbl
z`&07|{903^;GXe(%Fh=U<Yj)HTqrMVC2@4?@#hSz$$P#T%Pw%(e&ya;<}2C14=$|U
zBP(MSv^BD`VQChlzhFg=gUQmAf{p_lwq+!pP?&IH{rpdJ7P&qZRJUyj<1hDizj^f7
z*W>@s-`kpMKI!p|wNHdZdM4!5-<tI1issEA&my~hd;Z7zFgy_6kzlcURdrR>r^Cm&
zVvIbR6gZlAlKg{(bW5MJ9-hOralXFK_0M}tlp`Fu7<5<|4`_upJYZ~QXDB;!>)DUv
z-%4)o6P(XjRrPeK^<D0jzD$uH*{_QW#H5EX@JZ;s{<<+-XoBLo(%L;mW)Xki_t(Fv
z)@MD<uqk6z^xwHX4QHFy*f2;e5oFja@BNV>VbRg@oiWSx6Ahg1zj%;zr;E{1jA4fp
z3q!XQV+^}UtyIhA9rxChT(oewll0=XY0s7FVy>5xz7e}vncp4lVf>zS?2i>2|HAk0
zF8n_6WkDy~bEVd1!Cyh=9p{J@d-5%pC_WQ(>`&E?j`Q5*Z!S)+%ad(j49N7E-u|U_
zLGz9v2?zfB5{~<BcQkD0Y*H~-Y7iD=yd%u=bE@*AeY`){D>MDdcl-AH`seW5;w^W+
z-LAZ~?N<FUzVqilZ4G8;5p!W|d>iNxb6Il9>zR(*9{caCl1^LfcTB(L$Zuo8kDqtw
zxcxR3EZi;h_WY5k-KEaKH7*BIgayMdWXhj#{9{vR`R?|G4?X$Cx1F`lIo|o__)0@5
z@Baj=LmWY81Pfoc^)NbKc;<KJagj*wZ<izb$Jft}X5OML{dq@i*SG9sx$WCez9|&g
zUf&eWcT2pl147;o+M+KbxV=6j{>G}+_UGG|AAUS_(bNp%*VD}Gwv?x^>HU8D`)SoK
znS1+gzT9}|;FHLO$>O}RB08a3B0eu#>|&ojsupK%^EwtbL)icN^P569KHr-d=Y8(S
zo|+XAOQ%bgHm&6Eua#Jnm{sxO@QnE7k8{fJ)hTsW?T_2`vaYQ6%o+#3*SGs$pMP!E
zs`g?*f~wKt`~3O(E2ix^c{<NT`>yfN+W*^@?iG`7d92hJ%+Jz&k;!SXQsV^~PlX)&
z+$XGO@?Uj6cq(a=(E2MOjQ#%i{`xuh&tH45xV$oN`sLfFE6acJZL`g{kCWHemkBw+
zb^G<>hrTVjm)oz`O7Kl)I-D4kVBy2X)9%?AzT#~A_Vt_j_9~vReLQ8s!S)9ebkf(Z
z`Eh32^XfMew>KJl2&PzMaGlY*-Oi`+F)Sc9{ln{*JDi=&oTnT&YkH*ZnHaYIj8K`<
zVW}s-W@RxJeS9c%rTqE&E1$G2e+xuQ^iAE^n=CT>=SLI9G%uEm0#@&X#DfG3IFl9z
zf5_#V#>3ilXF*WyZHteEr+ThCE;Kr)5#m;O`_i_UWA-8yjSY{w=iRZCjyNc~VYaZw
zZ6+qu*aIhvOppCfvVE3xAn1g|1_L9rG6RE~Q_7W|r3e_RH+NV{x|}(wur8qU^Rwn1
zPJ%~7yB=h;KCiHvJ6~?QW*cu)gOabntKKVrSF{VaRatcVvOC+(F;L0nWX?O-!)P9N
z?46aw4efI?_Mf!bE?b(%7Wh0(pfrzd;+9ka&uBKr@=4wA7^<|A7c4hotI|rIy!D%K
z*`504)s1ZOVjmtn{v5F5t$vxA_m8hXe(=go_SvnTVOsuk<84`v4L8evFaJLM^Y4#b
z@w=+;+3(9qowVIl%;fBuX|s*@)yi^Qjy-+jV`N;;>{AI<`fcaehh8ydzAty)F>al=
z-Yzy?chP@B2@$y}JRHf#8Vu4vl-g}W!S01FvL?qAug;oy(`^syh5p~m6Th}te}4Jb
zvgi)ip|bLs2km8}y9)0}^ZaBoY0lGE&j}MW(C!y|zSZbd__bMgo_5USWBL;#I5UQ&
zVzZJ^OiF>E0skpZog3y)3#QFzij`ThmaSjVA#`bwg_-L=zlre&Zck{O&o@1=e4*5f
z1qG)2?%%bU|9j3nHGu>FJ6NJ!Z)q;Su^_6|Xz#zS)vRp%9C8L#2cCqSvUDqE_`T}W
zqOFq?A79w}C9c)2{as;c!3%AV=jX2d-8R{fp=Pll<CzLY(TPfbqZmpiFf3?hnPJ25
zrjwiD+Y#1+)!cUc0t^;C$;^#b-5ddGf{a`mN(mQSSTuHZta<xlj-ch@Joay=-&*OL
z?0=RpIaX%IkCJo83ssLi<h>;;cwlv8f6mVvb0c>M7gbf;?b#DAm)|a2;FL2zeu>)R
zYdOXDUO#;MbceXC>16%{zj?uX=a=`JKe*M&-ghH0A?+836;I!bw?!3|ij#w;&q{8%
zwMKvY_RC9en~U=Oes<oty?1M=n1*PM@iQY^jvEmyXLV*Q*T}!YAX~iq-i;Z}B@0&1
z;46{7+^Cmgbz!a#`>ts(9n6ho=ZL3R%@CQvmu8SDKI0$@16L5k0tHsAR7HbKBEQs<
z$MfuzZ#TT$vHZM=_KQFzd9mF4E>>%AaOu^Vi8DW%XL!N%&sV`XX1C+^Y!2VK8BXtz
z<M)t{omD%Bk1OQZ(j2+WuU-Q83cWa1q{KW;R5;Fmogtfrhk@^Dt1R0AhLhe_cPE{z
z%9}QKrs2zE_P@uZe{XkO%gSK(H#d&KHtxmjtNH!z3fp-1#T_u`wkQbT)RPzAAtCU)
zr*Ue@u>)RK$-(T*ddqqk*<+5q%L)IaUcE_uq3X<quODAM>5?Am=>AE}?p31Y{RfN=
zdaMjZlKq?&3_*8z6po&SQU{nH7-@zT@!KeBCa1UF2tDAESzy7XC9|eSx+dnpLyc7h
z7F}90eT%r-gQm?jHG6&ecX6rFx^CxdAr%hl(%T9fCLfE^Rh5_~q$6gir#fSr(3<H&
zIn#vhDB3M$*}SN^W?jLF=UnYd5f2;KZ(hAwI`tf<+sA#CKaWqjuU3(|z3AS%Eu4MN
zuRs1Q@18$-M)!|n?mb^lsXhBzbHMnA>F<Wbd+QC?hpF>?yJ7hCrlIb}V%?u#ZO(q^
zpTGOx?dx^3pRs&yy8e*8`R<<k=i=`DaMY|-?bix>@6~+pmKsCRJgIqE>=KtP8xO1~
zXKFn7<bHF4))g;Do92X@2lsUS?0Nm^(CiNm6D}XKTj6FYz!1;=M&_4>^;Sty(erW<
ze%IQ?93tNqur6KJEb>hHHTSOB3bop;=LJJF46f^4jqLaHJ2B_-Rr%(Rw{=e5YG%LU
zaY9ruzCHP!qs6LaJr=WHmsCw!=Dnp?axsT(N$@hIJDG>vgs;W7eW~m7ak<{MN@cQ_
z)Awb(o<1`VZJ)5M^Tn|sU(U8gT0Y;;tvZw}^UF!%)^wke$qTDGbtAWSuG@IklkKY7
zz8%|qUCgg#b*(y=;k`UMyHqOH=I)Wzn!D0p)ZJeuTGGB&>BYK5r<+2Bq9?4KmwUyl
zu>E~RuJFa$HM17ovb@MUZI#_G(>tqXF<BitrNZ5{=tbGaH&<FWD{N;8wcT~yUob@d
zf^8jdHdB1;ttm1uW(E5OuHh`HT(xY)KCTkg)yp*eSa!V#4Hi*f(bcx7%HqS?ExAg&
zZiNMxEPZ(>#`ogXW!V*`uHm!Z@m&>(eOaw+Q&PETSw`Zr**sJI0{1ev%+_PRE^_tD
z#C5-}h}d?#<O=k?ILSMFa#mL!OKjz>K97B`H~YGLZ_4V*W4qh8vSe`{>)m}TCcSud
zVQQaNXP)I<;gy<E2X=72eQ_x^nN1<KaP?%3K0h6?QnhI(r!8=^^Dgtg@N~w%c{}#M
z_OV$L)pW@*t-x>DX7$TAC%=7jvgBKeRrRBDVIp_g-sQRm8d(>XYj@?f2@0*+vhYRN
zhny>$Vm+q?$_d}yyiSw9EAg7s%oq2{d;>S7F7r2Lmo_z>8F}o*+LIBcZWDcv8O(l@
zlJ!;WZq+JH$HGHe=1F}EGdd@4ys6^U9DIG^x=U9@?nc|3yf)=x&(@1RCW~igxEWvU
zaSL-ZzUXsJ!noFImY&Sc<F^Xel<G<^>sr*cY1yHax9+>t_q^p!5WJ}S_-*%%O<AH#
z=ViPwD)tTh>nFBOulrqac>cAu3C5fKoThJntrAsLvBgw%=FbK_t9%ZdOVLf6UTWNE
zQd+TVqf!QE)|AgXvZ|v6dlFB#MS4eQU%PcrKC<gp%(K0#EK8#I)OE^99?O&Iy=x)0
zyU-+9`09-SAAZfur3aIbbqTTYX)e=TRlt|DOw%h$l`U%a2^|;99kZ5cyghXAp-E~$
zYvj}ujc-j{#nVER+V5T#xoX?IF=u6k^Tj_8I!tnvl23jT{W@!rc1ljrzLoL8UuO62
zJt)3oyNKMSuB6K^er=ec*)=JiPhh3ytW>v$o>NYl3AWC;AQJ1kIp=A>oLP%jXVfr<
z>{<BY*M`|HfhDy)jOQi>o4Q^<ZozEfmVH_w+o8b5&iCS`1LrhcSC&ZUt6kj{%`tV8
zaMQ`4mwAdIvu2j9*tzZIPPZ2JuQluEy}$C=&366O&r9aHf0emXoGO3m-mY~D{A-@=
zT&rNbv@%cogihwX+gwKNvz~8j%2{T9{=pX4>K*Hvvog(2bN4YX)QsXfdnwVtoBd(3
z?O6lm=%!7)XC7^zsIRDT`@otK%QKB>4%PeCHaETfTC+`e>pHeeC(Y(+PH%1Os@|i0
z`-7K;==zog$CUcJr!6>^wE35)ldag&JKL0Umvg$VoRpB$oN%Z9;Ew-I8|5KLB`0<E
zi5vVf4}ycI<UKB^pS5WA!L((IUZhD_x2($0*d=+*=;4itvlh)x)DiBBU#YoE@>tkI
ziCG|lHPaK;Oh2%(<CaTWpy-)JS`yt#62BE^RNCLDw4b5sWpH{1$hw?n2zg+eW3b}x
zQ`~8V5@%kSN<3CTLo;d_rBZfXI%dHEK{+xeB8xvp>#B(?{V1)g#sVWNoANocxE^Tk
z+c@#fr9S7(tgdYn&&V;ff4(AO+c;~hOuOP$5!<D+w%$qj#-NgyHM?Wcjm)lfTMEw>
zEC~0#IMr;OB%j%8&0Uv{l^)x8U7G8-^EHv#&-^+!eci}bc4Spfluz&PX^+*jyX2zN
z;v1ZuUYkry^1jY|az?n!uBhM=-rj>p8E2S2b=<f{YT``>#q9Fn+Mr<f%tu#S+e7Ym
zXrvTPe8p_l8X|vJbIGm`9*T8q<xlP8x;t;`<j%u$tvZgg@KwB0kgK+Mva-42&BF!D
z`5tX-KdcMdq{z90!?^H>EBnVAhYiJLk0kTE$Ce26+sc$*bhJ?`XmCBj(z$`dxKPEl
zW5>hu?DkR{rBBVd>n-=l@E~vMIX+$fk6CZoH$Q%`V?trwgwKu4cP%@P2bmlEdcfu;
z|L4GqI+1+yPv*O2ZI2|&xHGlAnHX@uK)C%6Xmh2^?dy(vmKBxUH*sIWsc?V#+v^9D
znUDV~73k*``YWEA#C^$m&Z&=AUMTXtu4wEKG=HY*|6T6U3I5wUa*wVbNR;__Ah};!
zXKMKIWye3uzPRe?K6Q2Ql;fe{teX^@1o_fmD#T5W*?Lktmj7eb`Ow*WZhaG2zjTe6
zqId1n6Lu{8A7{PNw&HvBTH)O78#lfSv={DK^Fg59c8^8ln}sv4Ci3f7+Z>rF7w1>m
zahRoyvl0}7=iVyR$t{<Oi>vB59@KY%H}X~ci)R&QBv$;Hs~b@3cZF#&yN?{0arL<i
zL<Gcmpdk~-a8T!oRv|;X`jb2mxyR@bXb@985j2R|W$@sG%QY7bZv9Tsz@@kgXyEd+
zB9Dd5!=nOB5$Z_?Dn*foFH`kgBTwvo%fMHCGV>h+U-eC|BMpazw}Hnn*E;Z6*a%7s
zq&}R<!R%Lia^_P8zuL;WLk+?4Gv@|~fd?-Y{e2}0eoau91X+MGc9}Szg>8=04dq5I
z!FHBYhyHQ!SoEalv#`k=+pN#T%=h>vc<A!Y!UGM5g+KZ)(AiVx#PD0!;K7F@+ePoP
za^*6upW~BLXwi{=cxU&lgR#v#Ii(gI>HRy)*pY`W+tc4=%_zTZcxaiB%bn6s57tet
ze16{<JbHOB_A{TJedWSdM)UT|>3f)tG#H<#oZHEme&L6oaruc&$vn*GCOlO&W}6ef
zaYw>|Opb0AHkq`I4hjw8+a)Bd<v+}|*fF~-=ET>hLTAi!b3!C)i`o}IcxLhT!P4pS
zEN}Fu%bAsIxNz_opIPaKQuf;l`%a#HXn1Jd?5}*aX0z-M91U!G`l-AtgZEx^p6d5a
z2hSh6d;6krh-Xfn;&BJLKQ>c$t&wv79v+hAqd4_du*Xt8(ICzE)*Yq}6YZ3OG+kRm
zR>dez?F#i+3L2thaFyulIL$1QsG+3CAJDR}<4*KNtV5K`n(szVRax7eEMzY0dbq3O
zbd5-<wvyiGK#`3dJD56}SX_^YI&iTr>A$?x``6xtFCTwbHkPI}J)RTboB#9b8eyhW
z#|}FBotKegNnibJhu8`j?&%X-ab}9x3ZB_w6&rdVN=Fuj<oAZjNbS-{33fZKXLYk%
zkaMZEYSDY0r4nMnEk{KjCv~0dir_olpuU4Ue#NoCnv&@;K?*9fmmBY1`twqZLxJ;l
zoshlO5weHOoo&w_e*Wuf)5kL3oX;m%^X@IsF1vQ2-B~`);E_ZAl@Gi4oxPvGEwSEn
zS8je^iKHig8?QF%kfgrJnX6LT+51BkV{9xf^2?jme?_jX5HQ(MBvGh4G1K_xp1rrs
zV(O0U42V~pTx4r+7t0rIl6UV-i*xxiPH!0&pUHxZqW;y3VhUsTvmE<Xxt_JS(&a!;
zk>|pYlEm#LiRV+dWz|R31|BVJ|Fv(Pc8T`mryqip<ym+=#S3r$n&y2-HDS~Lr~}`a
znC^x<D9nGp-v08^j#=!6B`kYIW+;nVl?ECphiT04)fV~U)4FKh$rsN2EYpncX1tHB
zn_-xsna&e&Lo)j2KR=0hvy$x%`C=cwGTG@qc+4ax_92n6J(%b3EcWGh*q46Yc%XfC
z%wZP&CyU}`&Nk-+>s}Y{%2iN4`>f_y<?V7WwH;cK-U&;koAw@`mX~bL__{d!S#m;c
z*oy~yKHpn--||bZbG&tlLD%Z2#=@wD2|eK%|Ia#X4|%byyDB%{vZR0eVrTbNX4--q
zLUbQ2V_#RTFe}K&;@g3|+>1vhI4VpmG5(`DKYvq3?JrOMg(ase-e~N;(XoBi<)HF7
zgEN`}zkf<4+urv!ZxUU?aKK4`VNDP#hnztLL&CMCM~vCe>{_xrr~JjdT9k3b?qrFb
zI~#Zk*S&r`b@Rbjm)GvvJH08DA=2c$|IG!3MoebhOn*d}7@AF(7JN_Elw<JO@<VU>
zYmF_%I!D)TiZ)a@!Nd^W!H^KB-0-?#(d|2hV%KN49`bTOqRZAQ-d}m(k&=?_VovYv
z2?zGhFnkbqW=8z+2Z|yxvU~e|_-ADl=ml9wI6l0n{AkMz?;?w%Gm@tnJ-dF`y(Vr)
za=+8M-K7j{4oeMV<=DdQnzdUQzZC4Llis7N^dR?RR6)S@4hBCLmID2IpWp7|{kcf_
z(SF`9_j}%aU;ljlHhqqrZ?}KmlDqYP&(!L5wE__WtSsBy84G>W1=`!z=B;MExnlB1
zZuyV@d|dw6=yhNG=i_o`oy%|Ijo0$-UJ#KNG`Q(<M6~1<dy#;Be|+C>GZUM~x9@zL
z&{Zt3y?%mKSI2GpC4B}>BE>Fub}1%12yEFY{CS6r>uo#1kEcJres+!F?WtondGi<j
zy*1<b+gzh+nImuKKbY41tyTFEgxn_bmfQWvTlrh!+g`mo|M}s|4=1i~&PEI%R&#dN
zRs2p{ew>Saa(ZiDtVv1WhLDv31`CB}B|Y0AVGv@p?a-WxU3TZE9hmpM?@LtCvFA^n
zk815bvqIRXw&Km<1m57o&hp3p6zT8VxAS8KN2g;=&i5-9>#Z~*I?ufLe)u-`D%Jc?
zo21WrefO!mzdtu~{ZaOZJ3Jm-VLq6&rQyN~4+Rr<A&%Sf%7%({)*t&-&Ocz(zQVrQ
z>c_tNKac;^ZL8zAJX^eb&b_#EyDyh-csujZp7j$p?6s4-=W@fWw&HI4Ljz3%88z0n
z!%Gen%n+$)y;W23+v@cN>83lzew<P@9DFB>uAS;SpHsQ-c;80Z+ui#QtPrnnbkyI$
z-fY3f?)<{(Z?vfBfmc%_5BX_0yjjbl=dklf0p~00zG(`L8w8?XC2(ykwB%||_2T@&
z@VT>Ej%VTLb$m+`7rO>@F-~6SFhN?xJ;FmSKxXGcC*lSMr#_kNc;I6Xqqk!6fkP*5
z+&Fk3CHP+FjLD8i=I}tr2X{C<`Frn^Z<<|)wuocQLXN($ioWHSf4(g2PHIx)(7431
z@Sexr{wj;a744gElo(t&%;|h-n!y9HGZF=lQ{Sv>ZruB*=jZG*LaJBTF^2~G9(nDS
zc(Jmlao(vLDQo|>$bP^7AZx+Fc2=7oKWs$uMAn6$PvhJ#C%^w-@}$h~ENh?X*_T=K
zac6CQ`_2Eo{`2pTMfa6_-&0?6^OQ<{wob;ZnY!lbHNUL{mhGNqX#Y#RxsFGn>HPY_
zSIq{ku9K&~p47e0@o=Dn*%TR`)x9l@iDx7Pj`c7mp1F}?t(N3><U&W>iAS3uBZC2)
zS}U>-yR$1DU#pN)K3($9<&8@vj_*A<LEpjn#kZnEX`+mqKV=lgX)mrxU3+rwk%{be
zQ#u&z!(G(G8Sky>U=f#$VL8)W#Kis0*K&^PvIKGVtknm@ubIu&-pXEhKJC-@y?3RT
zZ&zftm(^SR&dF3ECoK2E%MIYc!LUPnng6+~+|at@_mlCBHJL+$69hgiXl7xMRbhCc
zz^KO0Q2mIh!M2d`&a0;L%q$Fik8e0EIH$xk#nYvMsf$CxM3AvdPbu5lD&6JWirbBU
zi?a1szI$}w$ns*lK5a$5{0r|o7~;-WrJO$g?#!XN6E5HW{P5}DPZid48ibR6|4}lP
z+?qD~a~yxZ{_(vpR?MtnDE>6()!#kUdl;|#*%Tb%V>Z6*ej%~IC4c(i)7&$s?7A}j
zw)d`eQR%fix0<Jv7v3=cIV~qPy)o#ZS+d^c=7wI^3ul@tyFRt?UNGGLy0_QTgMC-m
zONZ@-vM-#LG2cB|vLJgVUy1SMgO!fUnmrp|Ca_H|Yn1fCIUvZu71Y3fLh#!HN2_-)
zjW_Ym=&Szav$;u5^;6=-Z@x<|cddO8ClXR0u9dtX`^RhLJ#0OF^*jyl#2Ka)+R9C^
z+hy|GLRMr&kM~WRORuIX?0GyzAmHSVQ->MWe-Jnz#mL;?T$$L;XyEW^lHc|vo9E3=
zO;7Xrb;EK0$7BC;1F{(#eDAY}u_xVr@S3$|a|1K`)CZpm8@m}do|lO5Oy^m%#MrFV
z;6c(UiGl;EZ_bse)^Ad;7K)lAxyvqYu1`srXo*Vx!^LvbKJ&^kJPBe@nEr7_13O|I
z5HuWU!=N`gN%i{y;YmhiVI8roK2zA*7cV$;@YIfj$63K+fQwfg0u2djq~457-fXwm
zK3x6rE{hc3R`!xjiPG#9Je>Fa4Rll^bdqAc40Kf^^i^-@sP1sC@#0K#W&auTaKd>}
zKGzM082LA?-uzl?u5izz+RvZ*HTU~|IF<Kw&)rO6ne*$9Kez9@r)DVrvA191<y7A@
zuYWeAf5`sEcz92Ia@<-!i8mXQUu{l~N_rmk>2>9-hw}F2f48syYj&3N8SDDP{A{}`
z_s`wC=VNowFE6>!HTR~nHE;E0cw%E}bCu6vSus;%;CmLP=9Bx`4~DFm+El@Ia8q-o
z=x536Pg~6&HYzOdtqtfcQefD}cf;~aU|E*2mexGm4RhA;>o#n<^^nWUmtFIW`8Dwp
zbH`s{T=SJz1SG7BUA0+m?wkph%U9d8J>DKUX)8Pbiis1nmG<!+ztdb0;wxEbcKziS
z72kZOiv<aDr!6>i*TnF9%Yvq^9vRWqzKfJPdStA$IyHlH9;~^@a!$(EVD&|p?58hW
zb02Em=;M5BT6kdkH`Q$^SJi~gJEIodN?|tWRTEP_dOTDldRONsi(8L;CoH?jk}2i;
zC6iC*s!&PzOo3}^HdiwqSp}Zvbv?=^mAhp5MVBfm-zCdWvYc|&3FT#%O-#Mi(je{2
zVX>+>+Jd{tJK*Z$igaDaQYLrn)sezEUzR5<vS$_kt2yI^|3Q~}F12651{dcyb^a6a
z`J%pR(ZUsSd}hCb4H|bggN0C4FXEEy65|oh%rlwz%&pJl`YVyE)($&-|17?EBudKH
zX4%CfIUu)ObZM6IJ+k7W%Ty^#u~1{B+ykpF9@#17tFz`J%fT(HPPiQ0!gc7#(Jik|
zADJTM>tW6rbE8l1u4x*>ERKfBoDLQnBd*MhP>XJo`l)kM*KvCCuWF-<k9`_)<SKO?
zOF?6OMssa%o}1^B?!Roay70tGBf&Fj!j(BjhDX$dok5g_&tA`D&0V54(pz)FC#6m~
zp0X&{FQ>+YXS3L;6sICTwNJZe+MSijxpE~XO>*&ewdAW3u4a;lb2d$0c{N(C>BSMZ
z@WY&|?{rwUEmA$lCOY@RgDa&bj;`v$AtgqRuI|RyK!bj1izLsw7)wQh$jIa~Uo=@a
znu{23*t*ViB8U6pRclrnME;)GJlDLIQAbJme@)WqRiRU=78x>LbQhMGsuL3{#_pDT
ze@*nkw6wWLTKwkvR9roIL}sev?P*K481;Xdwjjr<@7!&nkh>9rTUbm_RmN=HyO!hF
zhSbArRM&`R=f3aPan05H9CuYl_->g=+2f2i$1dLJUA$4+-Pkm+m-pzG36sL4Y|_?f
zBtBS>G;0ypbAz;5i<X2gTClbAjMJ3Et24X!lCs2B%XqERJ+sP%FK4;tE`!BFmT%@Q
zTk%e^C)N9?bl0=?mM1wvlHSwSuedG}tC&3blkeYIi|*&V;oWy&@6s6+XJrZkgG*{7
z&WO04)MxLwB68Mf@dp*J)Y&IoDxXc52^#VXdn~gpIJiW#*FDj|JEbpbmF6zHV+_wv
ztemyzb@CiWnZ;XECzvW&$jx7t5ozE!&oVCI8n@8jy_fDft(Y=bz-O9QIrqX#XSO(>
z-Fo(%sgli#=ha_pmd`VPRk?Pa`d6D(&lk+o{ypK_wNlo~1k>}mtTQ#w7Dqd#d49eV
zHo@|W&34fg<Gqz^%XM!ZE|m@O-W(ZMAj9E%F(_jiUvs1thy3fzHp!bpQ*sl;_V?Cs
zI^JYUTY5T?&xHBb=UiElob}H)=dLU@o#40arO!?so{&3_V{f*FJFToxWib_-edDE5
zK=Q`Ozg!FDx`XnfCvLmkvM9vUV4I`CyZxZyzZ?7_bM6I9`855)lw74``+^34-@skH
zhyP}F<+074*|lhP;v0cgix$2}i#Xkq?4H$?*S68eqHgK37ikjFt;rc+fw-oPaZJaR
zvV>>4h8lFQO3>*_c+;Nn>~F&JzX{Vc4(cQ~ZRCX`rrT`3t#7pSXB<s5SbaUArx_i6
zW6hZG(n}gPD7c~ULO{>4{H-$rrX0)PGD84HKIh!0x<a#I`Hy36o4l?0maf#i<z`gP
zAagD__zO$cwIZ3ekl-&<vaS_1-(;S!V`Z*VSJHCOP@n1H#yC^g@LN05EN-k>_QFg0
zy5#Y=d<_}?$l#n=)~ctj9hbTxxO!8jq3OHmzWHl3t8!-6GV*b*y&Tb{8_Tbf99Q@v
z%lD$G37a^3!nG;vM>l1;q%o(|ecrLsZsp3wCLdoNa$c41B4V`D<16D_r&ay$M1o=~
zmI&;>#{V>?HSheXlSdx<fzBvnw<!e;>3zE5X!EV$LNI8o@1dCNk>q}sn3kCqj~0Q(
z1ZQ-E#snAZS#=zj>)ZW8K~C7>fKp16OGL{|i%Ci@cRoCCmhZj6n|kh@D*wkB588~<
z+o!cxZ2i`Jv#=oMgoXWyp9dP>$s9>uDJ@Z3z`U5hE}_J}YrFK%*?0To9vSv2Gdh3s
z&`6lk>HN>C<9bNn+t&(l!Ir!9o&J1y{zm+hKtHF<FL5Ksr2mV2a_p-*4$IAxusxC}
zqt9>n$ZgM)llPouj%_^pNU@Gr<``(vV!?_lCZEIGFFcu7-0!$<!6_x_^rGbTn|?l2
zoTv3LVH)3~(A%N2_MH1Fu>O0@(v#V_{2!xEc?oa265jj9@q@tnmHTErp0JPa(Vkx4
zTK+<b<K0~Rg*Og+3!jji6)yYj!=mHPGA|z<ozmV@w&F<xqn}<GuVc&fGPy_33sd^0
zPnUaSI90jCdD$<;U6yjjY+Ci{+${VOwh5maUKKAATVbc3)d*uSx<CEE#F3^L-pOoX
zqgdX}Y+>_osvw)pybrrLK+6V0TNvBbH>o*AR(OI~dlbL}gM6TYLH#y?15tv^=T_f%
zb+F-ZoSB@#gAL(n!BblA+y<>(obwDst_81Jlon*>^S{9d8VKCT6eu>Y+u*^K>2o6a
z9$cB8uEAsRb?Q&f)Q2xQnf>HHJ!xTF&R;nRv|4dq5@@_pU4qBL=HqhlZ=MMUG+S(i
zRtOY&EYNw=f1u%T#W%Nv1Bo`jl?)yj%(E2)jZ4aLfkqhrvz$7lt--S4J`*#ae@*tq
z*hLrAKAbvvM6A8JB24DC4Bw;BzO{VpAKw^WfA?UiEZdxOPg+6bn-6DV`9J4byWI$B
z>ewA5cW0{6`#;qk$9>CfZFa1_ckrpY{#Jtr&kBC^TyKylV6$9rV)%eZ>VD=kzR0qk
z!~>F>_GBDu_^c%+&SSxwIPpNkVI46JmJgrAn3JC~?-OP(->kh==!ymIk-q~)#>v6#
z(d8R>AI-iaBd7dkSLFK(>5G3d$H=Hg=+!Upxb|T0)373a=|4Jm_R88iPCfEWyol$?
z!Slf@Pp>L0sdrs^OeAQfU2DjzAjPSlLOY_FMP4c^O$`e1Sb9n{Xk|oeNLHjGWVmmK
zje}sD(xXqHaljLMMc3LS9XxSbLZVWVSy?|h;Ylh_&z_!Ks~dAeJumIDP%FF~XtJhb
z#~Js)?Mjb~<Xr{3JG2`#JOW%|7?%V%{IZDMwd6jxoBaOy;t}a;7Uwvxewh}3)=F_f
zj*QHcFJ~S)7HrGv%Wj0>Q!g?aPx)jvp4yPv$bMU5-$YgSuh%k;E?n5{WR_a;X3^xm
z5)%V<1uK0&7u1z#=o;Z;sJCp!nvSO%cq*E9e`w8;IqF`1QkB(dQ>E4B=vn$_Sy{|W
zPCH%Q-F#KC#`wx-o0@g;x)S-<kC^qxJb1>tD=D3~*5b=UR%N~~ZoKP%8*C}L=ePav
z?YAFadQ6}A1-bxH!feff4T-F6yxJd}4#%wBxanK8=%J&Nn?IMCS1g);wTs=cS-V~E
zy3ovTLFaC-&OQ9peGd0mQ6tUkpC4a-y217Fv$K8{CF%1v9C18gA}JW~aZCIu(d%Em
z6V}ZC9%V4yo#l+ibP+FC@iK4mZ!u}Bzb(Hi9x7A5;{EHLPTP&*`1DObIbN7hBDj5R
z{!Wz`Cbi$|S#$IiF4VHJe5(BS%Q&`cMWukV`vvbt%b<n9;;hC=txY$#I60mV(Rekp
z$L@<mg68GkZ}*<IOEOO@I@ZuScjMHz?^`~mI+t_*NMnrGe{h+}PVYe?qr6yw#nB7v
zD+^B521Lno*X%fD>hr-#@7K|bHYd!k7S%4i!De(R=iKu7+iRDnG_9Vrl_hMK;*IK@
zmuBCDH`tY@+Ob_rZ{<5@{a*IhT<7~1U$#24FW#|ARARX(*RhJ$Z})jhyj$~jt+@Ve
zs`K{>YpaqaS(}9zy{|ImRXy0nzDQHGIhp^>H8EcvNfseb^TYE$HS%>|Q(yc=z-xQY
z@xD#ny%npi;A;uj8(#ao7jrFPTCA5%u|aC=rPyuOCFl38`O*BEn`51^76Uua^rMD7
zcVZmcEUth3c<N@t*Oy^;?|C0IYS5YWe0k0V3rWV=tc-PBj17)bj2C`y6yax3i>jG^
zx>V$r<+Mj(H`huCq%byUyD)56A$XwF;bQJPi|*HFof4NSKN4#`)P3A0p-^z*yo)VM
zqYWO!oslTePdl?d`GWvgU*A3VW$eBt7UG&RJqtd(5G;&3qiQ)P>5QSb<mcB9mF@KJ
zY;;$=e%FeDdBLR_di>4W^AAov#871sx1aZ(nBa$P6}lEHqFor&m6&#jzyDcwzpdt?
z;K%!H*wzr9vb}D{q0_;{bbE2bj%CIj&WFRcUv0{{a`H!Oe?`5TQk~rNqZ##TN_pXn
z{?3fZ-kz7y)z2{_N9hrl)mvuEj`_#+kJm~|&HI>}SM71svg5YBhpg+7xAH;m5{_M#
zN_lrD7%Fhwy3<)1)3+#he#eieKT3<U8_H6X<+l4@tjj&~_?y{GTfRr%{0mMy{yrpF
z2qB}pK;wYl_}_9zmwtWz^Fv9+lh-%5&MftomcM0f#5{d(i;Ml9+Rc}fTboaAb~>)d
z6_zK;HBC#DORdBy_>2q*D+k{ly>4zU$FFGE(f@Xy)h~Z`R_%$Bf9oo$9k)L5jVoIm
z`EuUL_rJI6zTNsmK}MW&PL)DLkC=dzC}+zv52nQ5$tr3`^40%Md_rKYVDOCZqC(rQ
zA3r>s<MuNC^}mru{v`M&Gp!Gp&7U8iE?eb1q57DnV>ACj#mI9}ksoL3p8tNsa9eWq
zM{$X-kMs>*uzpVvIQ}4kBX&jo>P1WudyTwraI$vnoqB*(LtI|ZvG({yAr%3!ByU-t
zgJyc}i5q-08RZk~3ePtymfX9#&?ILIhnHc3kqAdo^CJ%KlgwSp<{=s($0S=%Y2-!U
zns)j}JCnXZK+VO;*6GaJJDAFfnNp)27A)hoh+H?R#)!jWo?(J!3QvTDq_nlfjGR-}
zg2hH1hylRxjy?CI_nkb$Uf8PCp)GRoBG>tkC06#fd4WC`SQuSrDO{0W`8$wbo#$(T
z*lfPGDvLyqTS9Dink1R?_VnB>HP{e7$FP2K<f4{e3a{?)EIM~qVbV4prOeX`5B!QQ
z2F7HHGuuuzybvrod(GDQ8y^3Yj^Q==v7v!oet!F*-a}ts-PCz_{qbY-3Lm4l^A=pa
z^YnP$@kGbmZFm2@{QLAz-JV0!-_85puOB`0Np3Hzukq%m&wBFX*$<UmH+ts&Kj}h0
zqsxb%rhc^o%Qj?uDvB+-XqsWcb)s!jbK=&C1`0ir%!g(eD)dOs&N=RKrc*-dB6nm>
zn6c6Aj_nLuj;y7*KVCRI*&*8U_MK64z1236$2-g=KCx!7-Hr3Ru|_E&OiuS)cIuS%
zYfN`ew)k|QUr6x5^R5$5ln%@mo%lehxx1k<z%Sw8KF#*cM?~H{a>zCPaq8Bx;-%jd
z-re;1w{Ls(<1c0@50)QJy%M{Et>x@0UMtyeyJtTyzVEf89JVshzM<BA+4cCstp^@3
z9%OdopE1YF@^}VcLVRf4mNKIpnO)QGt-kQ$g6%p!zFO9ocW&;wUuMMCkZ+>YASuKo
z2U!!yAgglV31fnP48sO>y@u%650woJ2NGqZIj%&yG#GVq1ULvXHmfN)Ty#yzb${M-
zLbB9)Lww#_)vGjI68NjA+Vgto>oxk@zqP&5<NK|4f7065IssZY($1t-32fNNF)Px*
zKk(iLMyqFKdp8=gzjO#Ulzm~gj5+p1$pY({d?mV<8}rhvEc8#57-$;Eo=Lc*XVA>a
zAhLwP!I29q^?||ikerFfaobwAZH$)-{pV+fU0Cd5r*msxXGzpX(L3Euuiks5@|5t_
z*S1`5xcIT3@xouG14iqPGhcF_o+iJ%nQ3aF=4|(<y+SSJbA*_*B*QBu9*D{@MJOjQ
z6lmzbF<@Zxh`aQ1TFJHI&2P^1c*<M9Fu!+WKW~;U!-ki$4?J?nk*?X>kS|v7V1ZDK
zonC>V!Z%4~?Uy~E#emEB*kXJnnfZ3~+`YN(iT}40e<yDv=j+E;PwqUos;TdZZq1d$
zMf(miH^gu;JTa0J{=l$ghonQ-Y(@yx$bKL-Xw4J33a6lB=eRbmZs@%9pg<(VB0|#q
z$Bu@>fgukIL_#fOTt)eo>R4yzTwDJA`Ky!|@%A+<KQ#E6<veESSA6)Jvu!C$w`-3#
z=dz_Nua~mSUdmG5;jejc%?pM3+A^PZ9$Lr|X7RwWth6k4X>n8Fp8U9fhEKP1#@$>y
zXS;Q7)8n6CfBZRq@wUn{rF|a-mG^GythAN?z*BeDE@8&^>@%ycayHwR`P7#Ac)yA9
zuCw(w{`2_xy8qv{-d|>UaL<F%9|sf6-*4YM-MlWqEB@r-uB*E*C2ZKn*)V5$+wxnC
z$4dMZ3|`H3NHF;MU10{-)*ylN3K3-n>yzvr@7nW0%|_tKmW}+Y0{K`DTxYayw>#yR
z>*jiNGyAm3Ul+0lgjvTpu6(J``t#VYrgv%{_q`q#vxst?+3H<-ZSiDbqvs`Gk0<;w
z^WOCB0i&r<k?WD`4`);h^sUlVKKIOO?z|;0eHEnm)?5|}*)dz~**t-efQb_qCvEi%
zVVOA5*<IBzwTpL->8t~hi#gLwXJxFF*}FnFhV`~#;vO}=AD8wWnYQLu$|JQv?vU9z
z49hMaNm}w_M^}=!d7zwo^d8PjCbJZL7kAp7ZCo1F^iE6o(5;r`Qob?jSvwc5xZ$w4
z_lwCa5C6rTUrc5??Ce;&>fr+AH9oTh3KnxRx|im0r#t3xuBwuGzeaV1--C>F)9j{R
z&?q13kRD<H&?VGF<m%yrZuMMh2IcTEK_t~7iq03C5|z&Os$IHsV&b!?xr?gUIktD!
zo6L&vUfg-yWY!E{kXvSD1T5~9FrBp`Ncs4pEVm`R5vH>&!WVb8n$A*~5hglQV@8<c
zj2$z=YG=*}TFm)Ut&#io#ihHC@i+)G78o&dsEc)537<~!4p5%2x?A-{kx#w9TGbrR
z31^kptFC|z`rW=c`Q;nXx<4f&f3>EYDN6mmYHc@Ck~)0V+9X<6nrG^`Zf{CoJngO5
zrk+nTe72nJ?Coy@E&TKGICs*e&iwN7na#bkW}VSV{&Fp4jnv{3YRNNv%Z#>GopuqJ
zd*elxMdDOx!{Y&4j@(?ZNLXslu0FLDFH)Mi{M1&w$T)T@Lv0px?Vqyt;+Yw0**!Du
zmMVN}OJdu0ZL6Bl!;FxrrlM-u_C*^$FVk;uO?fomKPPf)SCGGnn!>LPrp1$5SD$`#
zV8z?nrP?>nteHIH!pg~<>#b(aSZtJWd(xD!XA|!UP4PW`u`;@8m9%!#v;}UK{j0Za
z7d<GkEoa8omaR!^-~OGrbj8`!d#h(9FS^}#48Gv6>~Y32wN)Dz&X5bb<kft<M^u$Z
zCd4N=<e|(lpWrE5yhOH`O^oa)sxbAv=;(7cX{z(dt+*HcO_(spR|(gmKjHN=nf0=|
z*70}GT%_^yDZ|8Biz3rR=DDoQ5uT}WUd9MC=yx`2&f&7Gu62t(YVf3Wo(c2@E%%dn
zAY&OCTvFR(+>pFw8_y;-7WXHYMRd8<P8c8OK2znmXn**iU-*|KY#qyyrDL`_UhztD
z;Hg~gOJ%bHrf6{<Gvhe+SG?!9zQpgwrpwY@LH~s2Z1PQc!_N0{rKZ>R!Xv@H7tbab
z2M3lI_sBYhnuy%x$(~v8#l!dFS%Ybu?i;R%+~rALT_EBM61e7VaLxNcgiEf{<`rCN
zfucRGf<1o)&g_wYvq%1nkm`(7AJAaqHb)4VaC^bZ39(OEH(T(eeU<7d6hK2~_A&4p
z#bzeUut1O*pH$byA8W;gyDt6c6%%fPkv0dnvzW4e5V?QDqb&3ILK9Qh+a78B4TmeU
zy5>2Yjp}2bnAJ5e=xkJ;K{bPr<yK#pz&9qY;6cADtHFbQJngfuio|9<wt9TyHE*l3
zQg)Yb@p6}&zap5elS0jOmmRA;EjY#0wO@C${sG5@rBdD-m%eUHIit-Nw^nmk+p!0a
z1kTL*v>+m^_e4&^g@~IW^RHY9oK;c!Q1Pm{64%U_CBGW{6tB9MbFI{~3F?S{-CnfL
zX?yz9lt%^2`5qZIGS34I>G4&9*Z;i$um3CP=6kf!ok{PIk4)i3N1Ja2XTa<K0>SJ5
zj)T_!ampkJ8aXQI9P*Lz6jaJ%_;Rq{?G2l8`u9og6+Q*cGdDY*cHR^9``{Z33%!&%
z^3Q4>IF$1}+IWSx*KWtci|qC$yX23~KFna<aomEB<&DC;7*A|te-*YYg5S6+L5u7D
za?e!QSRbgiP2ToMBENr+9MeA56VvOheV(4Y;ViRkQ|CiPzSm9Cyv92i!#cU;n(rj6
z+#~i)V7)?oz)gcs0_zpR89zx~cHYCb(`)keopO)9&RuO%x%Mq+yK<(}v|p<x+H%M}
zGQApJEOY6)<DBgqSH4%|dt4FtP?4{?B9rN+^XFBE<>J0nbST^Inej=Wo%78<!(U46
zLIp)1FP(F?xmH+_$Nq7~DaKv0N0L{`FPi<>FnPhJ@@)=ZEd#lG<P0jojF9=lTj7j`
z#YGj2ESo2+KEfz7Z^G`Qj56~+oC1&e#ezrrv_K<$k-`gg_NahZaRT5mzjn}&oVYVb
z!rBhT=b<@Y6AmQmpI<Ifa6@~u<|(Ilx$Ffuy#0#V3vQeaQ(}{ux1qO#v0XifJ?X&1
z&WJ`0?(?n^1+To%>97~PI&Cb%Hs{x=KP{U+d;yR76&-50+-`F+;lM*qe?!n%o-hxa
z%)B3$xqqt|JP<h~#~IRLp%NhW%^fu6SFH>h^Q#q<D3CZmkAug;CXt_&O=ez4JyYsK
zQ4yva`HhV2>if37&<n^Au6UY~)P2~|M*Cds6`prmDG93&JK9vA*=k*p*v`1zxH1w%
zTH6#~KfLF5pP<=Pg-7eAu*YdygU0<#&#-sc9NC;0TlXo5n>mkf`S}Xo4#xBepS^^c
z&n@^kH|^bo)Z-Fta}-Z^r}0><Pbxgva9Kc%lgHvrQlkTde!ihWncV~H!UFT%a@vl)
zmyfx%UQ{o);L7W}%okVn)A7K+qL+!z?A)?BZxVvpnY%Cc<O<w>^6Y~|;`Ost?RL^<
z<sUrKI9T-4+I0)td)@7ms&76>fB5e03(i$4X8R{3EAZFN^NJ1YUHn^H%XHZUudkX*
zf~Iq=oU-2Oj?@AVdBK%a7CC8!uAAU>RBK7lX|BS`1|=TXBTpH-3`7K{vuhj*aCx^j
z<EbDwWH&O>=wHs|gYVXOO}ci}uyc0bqD0ptsdinvL<Oh+)aZ(EiD7hcY*Ko}rO?WB
z>G;b_s(<4Qe*O5n=YW;*!9u?k%eMc?y2i<v`uKsu@^n7_rp=+nG29_A?B>oLlIF!7
z(&o!;bK}^DULDI-+ugMJcw<F2X)aC{pZn%$N6V$TLOaW+1@Uxi9!lyeG(4K(s?(l&
zK=@9pe#m1DyItOTngWx~UY>dPQcb3w!j6T}Vyo`SuHkz)d*Qt24~ze19jw@`wyp9B
zlX?CHQS0m%hZpkeOB60J&#JiFzHsU1vR$(G^7{SVcl9h`KinpY81@6L{}Y#bmeo6T
z>-|*%dh=xFY~OuQ`0tu98xE-$%bp$6PHagox)+x#t!MuzX2tpmo;&Bs&(~|$l`_vS
zJG9XHbBih;)3TEt4PDFECa&ADE}kj*@1E;TJ8hH_+;%Pr(Au>jde?^MM$udC*X~>K
zXoquc{CUw`Q$H3}tenW-)V8F1M{ezD)rUd`H|up1su>&KX)8=P|M~j-mq9LPn<cE8
z;<(OC<eIxnV}_u%$eCrLTvf}Q0?(&>S;)@hJu`31cRl+v5*wx%v+2C)S({U@-lH$Q
zEBb&r_m3*Z`C=am8Tq+?Y-l*F*;aS9Irv@krC$*b4u`HwY!WZJsNeU@aofsiuepz!
zO_-QgZ1;E1TWeL}n5k=2H(cU9crV#|`^NbVUw3MMHr!yRT~ctb^8JPPGQW;3To2lP
z9J=;^#o7RaW7=E(r!9!qD!J^sciVcIUB_=<T&Ns6dn!l7DzSpg%-3xNd^IIysuQ+D
zwjX=!lKeNNKR05F9m}%zfL*CJWm95vjznM0TxqQ@@l2%SZ%yyUdGD7>ALI&RNKoW3
zsB-wwaFCf{v!3ca%Nd*WUh3VJ-IX36_UGVNR+j6Mq7BS#ryohU<>@In&w2f;;%Ux?
zUnQ&a-Y-p%bPzlHIoK>?4ruc*gMBN*0fk<M7k?wV*cpU%_nm%f#r0OkyKwcJFdmLg
z3<pG&7$UB4Jg{2u!mNDG(XVL>H(U}d>~?&3G+A!Ljt-CW8HX<Ef(HF~c8D84yKY#)
z!Rqe*Uiosfn$(<b5k9woiZ2}&y3Z!b_!&N%v9#ys*AIg8#oygnJmEEb@9@E?=O1__
zGT6$jzt5K6%~27(M@;65E@bm?_xGCJ`Of=Zbo|I?{%fxM`|s<YtKV`v<^6tZlN<fk
zKKbdqY<Z-e!$%e-uD+e+X!a`Q&ms3c_QHbp{il<**b578*S`4AXU*1VbCaX)EIwv}
zg{*VGHOd@0|9JZ2eZ0Kqf0%8zUGhlg$Xod(eM*nM@vl_wQ8+3ixIOQLgaAwKyCXJw
z?iaWDyZkBovumfR!|qLn{kNBA>^FN>SUvlU9DCt!^&L+a)IRKhlDe*-LBQYb-&)u1
z`t`Y{!pi2;*EhG$>{{B(|5kQp<LNl3Me>L(!wI=z3m0}bE%j<`;+%Uy#Q6BphJ}+h
ziatAX`D^7imT!B?mt3D@Y^N`;74|aKZEnJ=!^!e&*)yc%ei=NQUj6aw+1}&M9z2hd
zcQ5bzciHivSBl$y`Fn8zx|Nfs-^~cymHz4Xzg+KXT|18Bu1w42IK&pSwz#=6Ewr5E
zaO0muC+El8o%Je}Z2S=m?Pgp(`2Fu=`RCs^f2}@|Iq&w<FW-vp&E40WdtT&OZEMTh
zuOC0C9oqKt@M}BJreLI%f7(}`9lm`%vi+XGlX-<+7alklcud<I7FLsX`m=3W&)XYu
ze^}l2{@~`RI%q3##Lz;*N&MCQRVx_4n}VGflk!yy7*8E-_YSyUxP^tYrS(P-d+>(d
z(}5B?!CnX0XZYXwoWL=+yi|i%TDK{PX9jpbu*D&#PYsVw@QQLxEp%IG)OCB>wx>q_
z79QYc5sClu<lM~zT<aR{&T+UYCa~fqli#$}D)V`m`qFtKxFngy+>RaNV^f>`$?ph!
z$zO-1<PlM&_ur@G8+`^X`Fq6WTCn9%vCZ6b{{7J+!X}Om6V*7ry1l9cE%vjWb97nr
zLODN)N!wZ&w<jEHkk(Hwm*sgQnttYf$~29Ib{$sc%`1wHJC^7+d)zYO*fBY#L?ryy
zQ3wB2o-ZNC)Uw~IzuEDhEu5LxPUpeF<IfisEH2nvg}EcRFd|@f?)LhsddvOt>pv{r
z{rvCa(_w0r(aDaN&*bd1e0=+Qqru&+JwGq*m-v#*AXrn^8!X?VsWWR&%=DNNUa3B&
zO$(o3*$-T-)aLfZNo@Yro-<~TbQ`<^9Al;JtOP9cSQB^eKJ#FHpYBnMcf4&ijI$iK
zPZu^@%`s!Dd-vxk$*0=czVB4}Y(6Y@VfnFB$!I6Xhcs7}9VZ?rCCE(?j@XcY>Y<Jy
z>u!sHXx@FBw!Ms5Y1>h4HhKU1xA!de@=E@ASs+;|zKSt%b5^ro``>rZe%{$G^iKAJ
zz0$$3iDjqw6YfuZ`87O7*Pz1TL&HU8wdFzQ3}-Rl2%jpxb}o-}`@5yzwM?u^`d2qQ
z$2(e;Z+o|WZV%%F>0XY6ww4BVS@4oS2X;<_N`@Q4;tX#(xgUgy*T^X_6i6IrV=5IB
zT;QX`Bog3qU_mEGK#5@F?TePmMQ(Tb-b|0K_AC`=SF@Y@xiE&au%fxlo#jK;HRWi#
zvUIU`EpzV8<JW&b{rK&NEOP{IKNnwla)s&bIp3=)sx9BSx}SZ*JY(|nkoV8;KIYv~
z_NY<0Ok&1NyC%M7<*MB>ad9V>Tv@vBPTZx^t5&a1uidM-yZi9IliO2XTX9d}+9p{%
zQ;sD^rzuVBOz;%*oQA%gvH3Y?9IY;d`mpcned!=>B>Tc|8FTFEk_EG8@}1*0lJ(*8
zVK<(!h5Jkb69cOzL%;;=l#N5*26o;{h5qv=<{l`yb2)vM=ogKN{N3B~mF9-!uzp{(
z;MeXTN#@wY@$wH%6H5LhGnDLS*wFL(W5bt=OK%>3xxgVc=G3!^Ve45Q$}Vqd@H!SM
z$5zqW&Tx%Gf<fjKw>3`#<D}^&yHw|Xy`y7ooP6mx->+WtZ~4tp+zdIt)HYZM+-8f{
ze=wU>#$pA_^nUIbo{n1Z?%xE}xf@qDGl~ZvYhcz(F5jm8bF%Ht$rmP_x$yPltCU5X
zS1nMk<d*-sVNU)B28HQN3_E*{v)C|b=CMt9lm?|9Fc!=d(cZ~EZ^D#~o1NZhB`h+r
zkYN?&3p>VZr}qFh=;t2T>a2PCoYd^EFaPeelDvLYF<Z-K!DQas76+0&e$+K3Y934y
zd>qu2sd=zU^I)3hLD@&aUIyA$pxwVU>k2fQMENQv#LkTke>o>X<o)dF^*%pyo5IVq
zpTG5+{h*@G*6x4d7qiZt9r-m!Jl5wV#hqujX^!8_FY)ZJ)aR_yLkaz|!SiJ=3t3;E
z6o39Q&;K7gU+=GdyFJs-K)*t^&fvz`-*4YM?UkQ$Dg4ulq_68tB-Z3IDLh~KaAjG8
z;ap*ko+@<#37>zq9Lt>6iX1WKm?nE>^^Eg7^z`>|^0QdxzG=>y!p!)g^uW1={hNYk
z2L^t8)A%%{HiL1>Rle|mEGv)1eH-^J*v)xqd(aOV$5y7|w?T8izIf7d#xnM=p~U{P
zlh)W)IP|u}2!1TJcqZ4fIO|l$=AC}a)ibMvJKC6EZ($LAx2$ugI*VvX%99llxk93j
zDNim0diu;vVovW>E4Y@?6xpj5rR}bt#T|aI%tvB<C-c57`WsbOo6X$ed4=h!nsh^^
z$wmoHyLF3hT=JcA{GwQXlS!|d$K{Mg{>2F^r!Clhs%3-O!<BBr*E^%~G_=evWGLJA
zs$IIAp=9f;7ND23GRxuxhxTMOmOB|v2Lk8bJ`_12x@nc%aqDc(Rl*jxBG1lBSd44Q
z-|~b-_JN|ju3rl_T%6y;c}CXf3rGlMfuL~ciGVE$GdzkHcbb?NE!i2ie8oM+L%EOS
zd)2O8%6MehtCj|GORt*Ll?)}fUbR(MPHbE;OE8l;tXJ*a)r_RXUNw$op{{CN%R&Xz
z^p=Ijt1Y{d;k3*1!J)E}m+K6fFSszw@nJaBd34daBStrcuAE3ena8<H=JNf?opR|+
zDW6YdbFSJ9+UooA&B-$8^1qoKXC`+hn9V$qJh@ZRY^Frg<W5Dm#8<vj-WS(CxVa*A
z_avRgHfqAT#YvABC(hU!pe8)2*l6PYvtKfwB`jX1W_(&=uc_qfV;Y-IN~BGm>$CQr
zk)VsZ*)A!+h^KC63`KMc%~rf<VOzd#F{hT*%ms@kb81=5+GsYbGtET!ENJ-e#HkFm
zStq5Em-%aU>|Hpcac$|^P8SQQ&{W^nlehY1-t2kFogg^#$8+K6u(gY>2={V!*v&ey
zBIV($O%?`Oztiq=nHj%UQ7d_sa%i=m+Orirx3;M)om#nMJ4@>2!jgN_7Q8yvwLo=6
z;JL@E-sZX*wCPHx=_am?$gZwW(ab8I`F+*1885bh7W*ZeLx=xnt?bOo(OA|#Wy_@p
zhRLp+%<Q6<MM5pw4KItRt`%Zk>zg9BNG8rVxI`d$bHvktqTIwV)uIGz-;1r1Q%@v*
zH*qaJpP&=1buYlgUPUE+@`;E|HLiP?z2K6ZJthCub&<bm$@w2x-{~GblKrfqd)A`Y
zhm)3Rc-2`tELoN@O|n%zQ0umf+9~7qGgm-6ex;som<w9|XT#MTsZ@L=$oJw`LpBR{
zzpEm#@{f5QNUYUuUc-1$@TZCE=_bxkXFf7*j+^k}{nU42PD|gkBy0)+jR2-Clg(O}
zTeeQ{p`-2n)z7WJ?g>1f{ncjW^FUknuQ4~<w`^aheS&#4Xs@pic(1QFXs_>?h0k`b
zRha$qtg%JzMY(ma7eviE`>E-%Lq^v$r_Ci2XD&JZi10T)b7I<p9OlhGa-Vo}oH8@e
zj^!&}AbDY4{_6z^)wc6<z1Oa8EZO9nekwI_!6Ui%r_A;Uc^%bOT(R-S5kK)JsqV9{
z!a2T1OewnEwPmiF$WkxR8oa{)tdIY3AFEsN;7i-0Df?NL=Lk#wZd@Ff)paRX=3_{3
ziSdmyA)xWS_5e{{SM$SBYBIJ#!6n8$sfPr0OkK?n-_VkA4F(B(73%paWFZzf`-IM_
zrpOT1<Up2WdluvO?AGtuH@k4ANecJm3c%3~vka}2@XCWae9e)2*&u^^$mC{yhi0Gf
zTN3OC!Kksfxh=`0ZuQZYMJ9ENkG3p;l6L+dbRB&eZH}6oO^Ur$sNw5-(QML9<_87y
zW-U?=*gWmA!;)ExmWOPfCVfV(!No6EI8ekIy3_aTD#-G`hiTyDf9EW|RXIPbI58{f
z@*T~<ZFbiV^hHed?Os`Uzvxej@5SWPZ@3FQGUgr&%6VC(Ao;ngIXt^--9p117A%{W
z*=S5V+3|$=jC@I0!@jhN9m|b5*sVU7{;k+~*0a6;y7;Ym^Lt%**Jf$lTu>Ube80%+
z-sEu0j_J?WADQxe!fd`r&pG)H879jfsg^!&-OACf*wP`t;HSQe)w^TWpYJ_$pOc-$
z*X1&?<-(>V|JW8XzEWPm8z3*0+wf~fCi4~cVCe;Rr*1KPeR!R1MgM6thrLW04WXrF
z?hH{3bAF_FZ(WwLV2jO(`vM%Zu1kH-QaBf4Wm#&wrzYmRzMq}l<g0x_bM?0_c(=#z
z&xNj9-GGhjS+%}xZBVvc^UdH<)&##lmF7M3r5A1$7P)-#;qiITQyuO4RAV<^7Izf4
zobdW?V?)SxK4z1<@^#l;&0|aMx-QqVD!W)UG56xZ4!zpnC$C34|9Wzv+4=ju{Z4;3
zS=%OjvQ@jESTz5#_HwuEKSs+J`Mu(tyinwl>Fx<O(gM;;&G`IN3^Zn`&VA9^wZ*z7
zS$X%>c=p(14yhvNJ44jtA`7w>+hwh>OIfk;*N-g;pB6q`x#CU=N9G*I??=C~t4hsY
z#oXb_u*634XxCe&vzGCXSwFYMw{3QQvgVp{$&R3X3dgQa&OCVZmGU~?W9cP^N95fr
zC;UG2%}ax|*RG}XV$9dqKR;eNQrGoDFRgceU}eD`acBP}w~r@Rzjwd7@Q+~W-_{Ao
zmhq*ru1Hwe9GR&<<86D(*~*v`M%K>Tx{Xq-&7A8@Ul{xFKYGg6_Ue6%$d-=_f9)=2
z{ds3W-A9G_(pR+QLL_xfm*^R+6bxc3+OmtCzxIZo42!w>by1_$hreB^WV|dYvtY|F
zw!U*KWPD;X7Fj;XeA%#kY4c~H+vj5Us5)gHo4c255#yUAC8jb%LB`!PIvQeqTn@Z?
z&2i(X3(J~KbybQ>UDzM4t<szks?WOl)zXBpy&^ejTW9&qk3088aNeX9%cqCWJRVW3
z^{~Eb;(N1sFC_Lw&6!X)&%|(jgokDJ=9X~7V=pW?cGmuHxEH^E$(P?JRUF^TKl)wT
ze{|*bQ-LgNWLyuRQhq(ZlzQcIN+U|&HZ?C0y3M;quE)#gdr$+T|MiOwMrKp^rG1Wx
z{bjuUzeHZZ;OS2*1&`z1g8O(lE`3y5v6pMbvh`<w+&O9MGwoOH{a-9=%Wv&^+n6u-
zQ+{p#rC)}nk=N{h`&TBfeLr>kY7y7zt=smNL}vW<u|9oqp}<=y_jd0s-FI_bPW}{k
z-I#jQ<d^L=-u{@cyUv|>y8d;}cD{=FPJtzn8T*~5r+)KovJ7P@<MY*iaGd*>?K06%
zM_U(V{4`wK5MTac(;10B$M;zoDCe0h4zgnn5V^~BZ)2Fq-Lfs8*WT_cyI?y1q9em!
zxqHV$L}KN){LZ|;_;qr5)Y%hL*T%bEd~c|1KI3w3qyK@YH~D1$ME+mf?64+wc6#sj
z_sT0To5fn2{$3Ev@$^7dS>z1G+1l){H+Qe&DJ{Bbwe46Re_2-M^tMnB$5M%7%g(On
z{FUR|5x=BP=3d^H?fY%)^Ipz#`BrLj<kWtj?$3NyB^N{amxflc?|r|h{74M{)4Y!k
z@5K0dYzy8r>YSF_@vd>U+>PAlwft|2x8FCu8>i~Lsd>}<d#<}Tx$T?6cS<gwf9`R|
zeb(!Jolo^oI<q#)YonH3@SI19ng{;|HSJ!?ay3@L!m)HVho6D2s>F1mmZdC*gPN`?
ztv{U9^;B-ISnwM0;2g2wJG#qu#QS{QFhxnHNJr;Xe#DcPpENkTZFo_UtazoB-lvk7
zCogxLxLi1K`C}#j<*t3c-7)j0c}K2!x#h;K%!$dDm98&;IxqU&Usp~k@k*<6Hoj`w
z9vg4V%h%UwoxQ7m=}x`U*~3LrkM1VTdMKQENc~dJd=KMVrL(_;GwlwhOR0Kidd$~f
z_R}TOWV_C?pDwpE=SBN`?%b#FJI(N{j`Ydm*GnrS{EGI^d6H*&GR^X2mF3ATb5dgb
zgr-gAIqhj@_{rn4{<5DfS1zpCJmZ;gkhAfFq*?x3y3-yXHJN{*AVpGV+0P>{RJ2nK
z&#M3M5xbl;%m2g7HQSGx%zt1UIjK9d;_aKIoL4=y8j#NN4TyZdJ7fE~E%)9pxEiU^
z5VrgrL)6?a3>nkIHwl$p)LWmib|$~O^p>s(DUNa9suiqxY$n9=%AN4z;$IU}DwcA*
zgME|tQD$xVnXJ1nxin^2XM`(hDCDxg^<rT?@@_$F=Yj(_WVhUo<C<{SarfeOrik(j
zdh0bbO4;9j>S_uGb38yCt&&R7U3<7<<68CBJ53M&oMWuLbK1W@9_nXJwpMI?QSzQi
z4zvk6{%hf-Td{xY7@x1swzjx$cjw@B?jKD5J(|luvi_SW_WqH!RpNuk{Ix&!@!$XY
z&{sb0`$Nz%b{1bAF695LIA5&pSLHp2S96!1d(5`S@mpxgM*otUGx@>1&1P2(GJ<&@
zOMPrKa$UMt+DC4mP0dfQ+vfh$k6+iXQ8Kizn-jnPW4+a6>z@G&!kIgsMS1VL^mo@2
z<8O}h?%PgyUd}JG?+*7iTf5rGr|s8oPfvVs*zo1g<gf`x*7jHKaVp9TaZY;|WmMj(
zXz%dKcFCp-l3Ls=7JllKa851FU!lB&%|lk9)OGo3saLOGf1A2M;@SCwH?B3^_$+*s
z_12aLKP&Ehwp+VEE8Fd2we|)N_q#2R|9$-V_-{^en8NMj5_cMnv?t^?Z*z)YKfV96
z&6#Z<53!1;u0HH~GpR6eTbWeJHEwy4*%SKi-QHt*>wI>0=wfZF-su-V`~0l1+Pl2q
z9fyRCLdAg_3@e}XZ_l2+nCXs8?nBWFT;DGL{`~sPt@Zua_ZA#D8ZmX-jWxb6nT1{0
zR;J7PJQDkwIk#%}{DlYG=S=4<Dbbt!oHNPoMd8y6d%ql9*v~h&{|%$nd`{Mq&fP5x
z>zCC?2wt!h5m|8GvgDt^&I{YwUx~X)zI;8`O255!(-wvd<^^kCG*6#)EM8;#l4|cq
z{`;dZ9h-D*+L7ZWv&=qjT-bJrZ^4pNX`;8hQkXo_PhV%2;Ig}Ns*ri@3mKi3AJ$RL
zXRc<uE@!&K_Hkwf%ZJD`mMulmjFZ`W@|@qrJ~`)VrnjkV;z`#(yI92~V_13vy4+ez
zoO^;;UwV8O*ehy(aVz_;5KT6(;{n=ryjQy7nYZRX%uJWw|LOYWi@DDl*_5JB%xVkr
zY)CdeYya)j(x&EK*)`w&wky5e6T!Ha_s5Z9&a)3YtS*>x&8!m1j>?u_@Se?^Ynn;a
zr4&zx`;A@<cR5YoFo#`lYrA3<^gs2elSxw1b+xxqo9`^Yox;AD&tEe3%&ofG*R$%x
zldrMorW)TcU2yZuHPc^PdUJBGp1*zK?S=y0Gv{Y4dAB;}t$lvx?OXptt^XJ=D_C8T
zQc!>HQEA+bPfIqg?Ok|l>qKj@_1ulqYyZsOG*Pkk@dew{*EY9AJFm(Lzdcj*!4I>4
zvt?ASJ&KPJb>P0WapDi_gpL#LXC$(Cr?S0@xLy#~UuMI$p!34k1$T{Ns&8yv5Ig(!
z_O|-y3p4smF2`@pvgQ66pICJJUw@ksn+)^wufo$dbbnp*I(~EeT(84|!fW5&u-yH+
za@&INv!7e%E&lpt&;9p#@%rzLnZ5eO9_8GW`L0o^kfihH=ZBg{PDyv;^Xxf#{m+^?
zc$Qd%+I>F!@<S@e(bx9&-MZhM{I_4;>Cw^H)grM1M78BCTrMo@_+4RroAHyY1#Nqz
z&P@nEEcq$RBK+R!hdfi)@-^|P2UV$vuI|69BhP2?<-i4IcgEuQ^AFZ2@rBIxV$#a`
z`0M%=BdM&co4zYnraoV|Y?|keWz#r!FPnC9?=msx1<O9=y0v+Uu_^I?5e-t?{O?ia
zey`Im7Xv56_{?gH;aSiYlhft0ZAwzAidUqD@Y8}xIkQ5potw#GbZh(P<q@+uwOv}?
zXbB4nUTnF1=`_pLOMAb65PW}$%`Vt&_T<l9pa0bDc~`k?Rdi&~8G*H4kF_`(W|yUe
zY1|EeHsyDn-qSG6vgm)e=id9)n-V0wVnbPpN|yB`p{=r|owvT7JTtE{X7ZM(q}xj;
z-uM=$vToCUrEBvKT}of(aw|tf#t$^Y*SqgR_5z>q^$QKIS?S(=yYV^4<q2^ti<fTi
z>RggEC-kQ4_O=ze&pSQxcxRg|SW=>YZ0XBOUb3^pFI<)Kd$jGlhGFc>hkI{*5ncK%
zE;h!~CH-K<E&KU;zx(e#yuFH@&(`3}fiU@?`*+sW{N+`sw=H@Q?ro?1$u;l0_S-eb
z=Eqb&>^*0h{MT+%<cqmNW!rK&=3h9JvU<tPoKUOD-8=@F&(5%0wlHk$+0w<aS$t_g
z$8qn8KW0T8S+z`zH7NM>s>WFgr>4kF3|i*CWXelH&D5$d_u~IZ>hAqv998u(ean|e
z=QCa&oqzFCx4rY$)jA3y7s`zMdpE6UeG(RAbhFYk`h_Bg0-GLN0iU6T;Rdht;<R5e
zFHiY9aBl5=={QgH&;M(F?<3Djyt#Ed*4y^hj}twAf43Q!ZP;ko$6KEB<o~8dUY-D7
zrj-qb*}AE#uT7rVdm`-icb<w2hV93~RGfZG&N_AB+qP}{BKafcUSF&ntCyN+_3rPr
zSEpoONgn-Ql)Psh@6{-utmS4?JNa_%?aw!A-t}jeV*lFR+n#3$3tqk*xno<{?q@OV
zZ={-Hg)c>&_}^o@Zt|wPYbSTruARI|cJ1U-w+;#(j^AuGlllLG%FK3k+o}?iGcN^s
zi>v-T_c2R2?(|q}*1-!2|E|wG*jLMTvd{GSjk=>VPd}-jII;crgZqhc#|4Z2IL&`@
z#3e_!@a~GTtJfwlapj8yoC<bGY-Bo>Hi_v}S`h1*m}98|45t+swly={;A2Wy%MmgC
zv%InY%d|KBkJ&B+?BcxO=CGT2i|7L02C*!umxpzw8QR(|nZEDdV)Q}!A>)x{ZIODq
zZ}%-bTWYswrI>rv;j13mJESss!<E-&7A`T>?c1{K=)|yFg({YRD)#S<zjs2QQ1uXF
zjAYT$b5n!EH%*LCFm!&@aYU?BPUvFWOxeOKP9OgV%go+Y;=<qgVur}qR?*Us|7+Lj
z1XpUyrB-FWJh~<0ey~sXv^bycX?*wfKg%u=4_>mCU+4R=d)yx1Z*i;qXHxmar1GE1
z?F8#y{vI}?6dv9lHlrji-Ba<w`#rK>iD&x$Ra(0;e#y&=uJ(aDt4c2VD=g2wt;BmG
z@Afti=Byo0GnX_LUilWdL^LjTN><yW2i=^@svkY;R2M0hD+|5)zptajg|AahC{g8=
z#}k3K_Z8bbe3d^sEoyP$d+Mt6=lc<Zb>exyPixOz({b9fs;6BysVU!oy8WtV$@}y4
z``6dhBtKejIQy{NtYhj{XL`Hi+d}_Kzewf)&FZfI_2a^Sx8D{zSMp!fFRq;<{yetk
zMg8I0IhEIrFK4Y;`G@u2q~-g%*S~4sYkKd0+wU9y+h+4!`TF3W`JI&0-yXo5G@B>o
zCw^LW;jiR`bEocK^4z63cdF%r&7asy=IJopUFrYf)nPN)1)I4UF5F~gc=2cLoTaCq
zaJ=xVemRfFqx_b4d6C1e%W)eM8SiH5-!)}==~w+SP8ck)!olkIyyz<3zMAl3L0tQ0
zZ<yWm_w8Mg8uLW`qX)``%Yr}LZ2@!5S?_<p;d}7AZCUV#+WxyD-8NHa$L!V=tMrnJ
zh;P5JtRljzVE>c{dEl|^D$RpirX<982}~2>@#frf@mbHa&hNI-yCfPLPQ8nAeS0@G
z@m^#8=L6>TA7tg1ZM@nled$IW(}8tnjMW=`4=zx?o%7~dp0I#9qk0F=54V)E;^<9_
zub({CT;eW2Ih6fn-#&G1-b-_TwyZJoDF0#}nK<E{LGSjxx-%C)iTEU|mTyqmdvdbs
z{Pou}9-aSe(6DNwyH>T~+4Osd!~cA}d_iT)jJEYMF&>A-<G)@0U_1A(O3m$bd(Qik
zxBNeKmhsQeF!we0&O8wk;pHs+CP`{vT9G1eyz$Nn&y2tRbcz4iy7I3|O=6ZkXZ#G1
zhFu>(0@}C4ws~ggoU<ys?D~G!i(2ug+>dNR^d1#oT-e<P8g^LOy>55L^zahhO+SpH
zw!GsqoNqr-t48bUhm|3#&o5D`{m~ineZJRMO}`5#{=2ODx;)4i#Je|{?T5=M|Bv%b
zUhbIj>Y;JxJNwBm-WhkA&G+2$&u7s~<IZE}Rk-GRn$)NThOeJcdBrijMCgRGeAR<m
z{ync7XUm;XH@7W#)tC(GiM?pl{x$7F(`M82UkeS}H`n|-p&jV3+~t#Yu$y@Cr?aOO
z-U)~oyS2y7tWlb%e7WlFmrj@1nbS@u$8NE{Ke2jsbJ_FAoi^88cb9_2vco5IZ)h%i
zo>Ampc0q4_#>;DtyDLxTzFJ(wxUIXax>0PFombu+zFTh(UU=&mrBQw%kDsq5-nTn0
zrQJPUYnQC)QYXh?EfJHY3sz`~-dZcV_J6HNY4ud`lGs+J!qou^d7`)Ux1aVjaShW5
zc*4pWoWgieB+|jaRZPHVA}b4=8y0(=`Cv<^!+G-;6%}>wZ*a_21E=`O!b_~*F6|V!
zH22#-`CA)bzTN$A-|d>c4gR1`gxI&E_4jk%9bCxIUwcE^_S}m<{`VU%`sS{;d4H%O
z@NMbq6&@E#--^Clw(^MU0Y)X}I>Ddc&v9RtUG{hVn>`&@i~bnhTf6^Ekm2PDb(Q~n
z^zGlDI`8{4GIjQ;^Be#0JXOEzEnmNG-<_lL`F~!o{Z~4@KKIl5(toB;<CFi1ep;{i
ze^GSJiR&LK_5|L2?7yn|k7sGW{mOSA)vwzATe9o;{E+WICf}3J4yrH`o#)f49{liR
z2#i_zKB6i?$JSulrDH+2BD|!%Gm|xQb(Z!n-Li3tm{;aPEJUN1a=uqe{2x!wNB*b8
z>Mxys<gcalZ%NZ*|5bi}JSTnHcHic0{EGWe#ZNZ<QTn;#o%5fse}9YAzn$r3q_JOP
z{hvj9KCOPDI_uA(l26^A_Q|&Y@V@8s@`?D;$?>YPm%aF0x#Q&?t?xJ2iu@!HTIc%e
z`<+$Uzq?=mu)XS2SC}iQyI*4Ovu_{u&z;_{GI!6@ho`?DQjNFd|NqS9Pxt2!`sWUp
zrkGr_{>tz?biajrCg=Z2zqV(bRJ5H?oTBGt{Zx0QYW~jePr?^=wxq~Cm8+;et?~bn
z%pdO*)vt^H2t|7S)!n}&U*-7W>9t4Af1Z7RvSxC8Ox()ScZNTY7JZ(!Lt+1twnP0p
zr$p(^IJGq8-)a4IxzDaguj~EuXp(pCkLf3hS2h1hvOHTlHQrTj>A#h2_doxBBL2MN
zsojzIL((Ue-+Dil-EaGG&c=!HE=lX(ueo+TJ}!-Q&Oh-KQ*|}={GWz3Gxy2tzqIY_
zpZ*oc^KGWC{mCxqG5P#wk3Spz?9E;tkNxEsKlcOwDxv=pFB**EmpDk9%HRL~Wn+%-
zP5W(AswY3QTNasqYJ<_ucRt&!r}(PhV(2<)rZDM=bj0Rm+O@NfFRbNx`}l^P-`mH#
zYVU06FaP3kXYGYg9&;TtcRv5YUc2R_{fh7(>|cfdFYtRRe`V#LW~-_7PyC*iP5r*w
zJuj{DN!m2`JP$B6YggR8GWq-b)BpbYe7j8B{=LV?H}}f|CC~0(bg64g_OiW(YyWhe
zTJiI!)}-Pi2QNQK_%iGMnf+Y8Q?jD-LV7-4w_E&a^#vDBuguL2p{w<!HqAQ3yma9Q
zaVFEJj>0}&)xHuYiW!IIs^yp@uH~GpCSbll{om>JD_CaouSz}mLD`@@*{(OTtMYM9
zbM~pd3-3stIu>xP%}CN~qU*Hfcdk2iUv_o+89qmE(wvkp)yp_f)tuSy67=(|PB&}n
z&oh-z#ZS-ub#$rh=h;8Sr!T8Jnz{bu!PF;vK6QJaUa_bD_QIkKPo9^Z_}vmd+hcOP
zj&5uC%;lRuPJQ+L+P_D;o|wmf{{3Wn&!Lq+Rrk8C_#*iC(X3DU6R%J4s}q&_F1=j1
z_Tn@}<+*j8ai00p;+M+CNd4E^5|r-tQ@nnA@RmP0t7|swowr)YC%Mf%aJI2X?yo{w
zd$0V0UghWMPn9g~?uE&>{%zJRNRIb>?Vc!hKJt?HX3s;Zo=?MatS&qX{;{oV+hOME
zXYPJ2l(kE8)o6V(Uq1DM$6k)7QuTXyKWW7Jiay@9t#s-u54OBrE2}oloN;B@qo2WS
zw~w11$@<uF`s$=Kuch*vL><JUe)*cEZR1;Yzx3D2UuT6E_e{JaCaJMe*ZGRh&%j>_
zVVR*bmCjCnlENu6ZMu^A)%;&#x_|dgXPdrV{DoqbjozD&5uZOz;c@;|FaAIO(f^js
z)1OTJ6Y|Oa$p4hCOZ7(H66<Z)XS#jk_^(jiQa{1=ko^g{hw|TF9(Vp@xb%&1q}88h
z9oc`5)A;^-<j=b_d-<2NGVdc@A$8rSW(Qu~@~I}|--J`%lUAL4T|3Ek*}|h0TV2*)
z3OoHXYht|a-{55DEDsM}&Yf?LESeBulE$Yl*1Ix3Xp*9;WROr?#E1SPM->YTJzDu!
zeoz#(TXLxV%d-iq=iHOIG@Du5Y3n+%sJP3kOm{BPe*JKdlkVytd%mp--}AG!@a@%g
z>n_dGepr~5Rd+PA`SR9(?N6q9?0@v-gsRqjm&lLPr|f@|skv{;qxg$<(=B>U_j_fk
zy3gUC6(3{&R6VJ5{`EaovS%z$RavgzaGA^IZ<laNm3QRc)uOh~HMF0<iN9dSUKwBM
zU0JN>yLQ*j=K<eO=vO;*U0?P?ZOeYAYBR0*{u7tZQUBRJMO^dOv`hLE+s^+C@4D_-
zt>nV?Y4*hJD=Q{yS$f;v`aJW(7Jut1;lJ@`|8Lvke&oN$-_v>%{^-x^e6liQkH8l;
zD_!gVvzKpCFuoKV^WA?5xA~`j@x!To;jjI-o(ani-zpP(K7Y>qD_POH1!o`4{(NN3
z<Ui6+<v%KJ>yf^-Cv5GHP~#BUD@MB>ov^Y}I#v8IU}{d#$z@tM15PaVG7CHDq{u#1
zC*<TYCqKVQpLFV`toz+ixpar)pE>h8c7NVqs>>hK^xD<o9^;cp2D`Q`Wxo3#ZRpha
z#94E!TY=epYFiEa)cQp~3`Mk>?e<$<*I(<E{%oq~>Z+8s>F#p+PbVJtu1rzX?bm60
zI<a+&P0{kH?q=GjA2WZA3gs0$_iE<S4OMA{tLK&etNvPZ-LBnYr9t|wDLM;5rwd2!
zTV=lT&y&3w^Gba)WkV`iKi!J`&3;P%-QzuF`uCb2acj(LdSt7y`tKu6jo1Gk$zQNz
zJ~uJ^%~A1yu-`|S18Ufg+6UAyrrwROVLWOdV8dU#-XZes^r;meSr?dAJ~d{LYyFqC
zf6b%Qr{sPLcI{vIL$E7<;SW`<{FbQ4r+-Y}KEqYrT-TVxlD{i^;ST9byX-@!aC>K0
zZa%@@*SzI}|KD@n&lY7IeV(9YeKSPmNng0aPo_!v3SVWE?;lZD(7wCpOt>FIq*%2a
zv*Z88HB9A&c1rcVe-@R-&H0+~R;+&7lr^%izt%I&u%G{(Wl4@oSK+yH`%e@LbD!Jq
z#Tzm6`aGt*q)KDiYRik99j`==ysu8FyRUq?_4o6qb@!E>FY&%S_j39D<&%`}X1=fg
zxbjKsZI8P9%8P^k>~mk{Rj_?Z!saOnd#5Bsc^T-ba(II_kKM~CcKclaOEc|n(r3|R
zd&Y$6{}u^}@>m7cug#q0bBAS)g3$x%iS_f-(smu*@_*{(Gbax1|MRaeVB!A#%03U?
zzvsx_WqhbU`pv|5TfXnVk@SA;?)rN78{fPC{OjAm{Qa-e{{6~-p6e9cy>kD)VA`(5
z;q}q?*6+`&%-<)&ufEGRZHn@V&xen{e)+b*I@azU&r_dul}dBu%hmbh!tK{-*xJ71
zJAYnXNXGl2ag)o=o9b2zUHIFVe}Dd~-0$i>#i<*nX}wvIEC1X4W>%)Bv)bO<Av2Gp
zA6j8l<JD7l;ik^Ydk=N5cGU6Lyqoj-`?2lMqz`p*eDTq>FDP?;pwsElJztGg(4|hv
zQSbTmS(`R;zL39u`?v7XNe{cu%$Xe=BR{|IcSw0<_RqOeRbIZ+H@vdi&GUTbkK=Xq
z_u{q0l#IMvJ+2=A`r(^Xe$MVlZr9r%qn6#ecP(d~wMM!8izpT0xpG49N`CU(;Mn<m
zQ>L%4<r(Mqb;@gPY6@bu-gx#ygng#X-c#=)DyQsBtJ4X7SirxMe~M@T`^sYHrAwx9
z+>@%%76}Nv#jtYERE`x3;`aQ_>{L+Qe?`dgRH<g1dk~M)+^<iLr|u3q-(2-<v-s7|
z8Qn>7kxB`t7;aiU*m5Vjq3e=U8vhvy=eY^DIG3&6aU&q`7O&6l#?1Hj8M9}!ojktq
zkCeu;&ObbRT6GMw-zl$^t!_BgHFagw!bGdwDC3_~UK?beshq1~zjNQ}{@b4~$9#SJ
zI8f2KbxD=^=BW#(elz_%b>Y*hyG465s;(#<ySt#X+(Y7hfY&Q#2Nuo9qY+Bx?H#!`
ze$J<v0^A?#Bn7rVR?*VDI;(2WhaVeK<hX)#MJHYsI)3Ka-LhwCvrhBoE@&uox;(kK
zCVS(&)rIlG#Y?$11{IhVPLM1Xd!&(7|H(X+w{^c}wnokcQ;~nm)-3#Bp30kQG9^Ky
z`OK8oqDR>hod>i^e%#o1cb~1?ea!<OuN*waCuViy!oe`zZLh8@Js1)z<#QE6U0br;
zOW5Y`9#5XE#Dqn~4p*l?ez@)Lt+YtX$S|vJjhzv#cOSo<xY^{3;^mh!6fUp7(lE73
z?$+}K1wVNv$tS<vYQg6w!>-)dEO`82$K!+}g$9o-BnoBH3VlTFR<fP=>~L*?&GNdR
zn|*^my3C7g-x@h@{*~-!g&&rCnN8N1x#;Ga=Cf8FVWCW+Q+h6~V(3!5J4x?%ey3+=
z$=)qfBE^c9r+U5H5)<|AmCwmH=cn9pooS@1J4aLHaJzZzdFjx-Z+%uRSMd_LFhjPQ
zK`QQSa^kfI=W{um4ctYt#QH2X%RAL3xvkIKJwM<0>n{6ejQ1Fd3wyS1J$qy3ffH>k
zZYsVRx{=|Yt0dKD9^NxS$#C7xSw`DdF1mhjiBe?H-L+Qb%UZsLratnXnshSMMC@|P
ztfl5}`|Mx7)eTIY+PI+fdgGKUI@(d?s#U+QiSFI1StH6F?t1j#5{YDs30p&!rRYx8
zjPy?Bl0Pg`*;BJxzWv$6T^sAp9D5NF@xf-hg7o69cT3atLwx1ue0SMZ>A$31r9*V~
zyh$gv8C~s4pDFg}b>D8AX~B&7w{`wpo#L|hmR66>&C-pXcfuyDT$Mj_+3wj}eCId^
zKg?WZH{ti?W;b~*&~>)kxQt3Fe!HFaJL?b>_exdwx5w4}7oxUSKFoUd=V!sa$+}Vd
zC%nk*Uz5|{@loONnuqg(J~OZUxvcZ(C&M>u6px;h3W8BFmTzJt%hq+wUF#Mq9J;id
zx6|&!r6rEpf0iG9TV*rncBEh0W2^N(H{Z^gw&d=a%rvJdk-@$bv-IQ-#Q2?BH&6QH
zG=t*B0>0Nut)thix*4RtwJAA=V{K5lji_c<(z{g#+f!A`o7Fn|_f^Ht6pgNJVG~)o
z$z;Km?oLzP-C3oP7bEhweieVU(^OGNeKP088*-v2=1l9^Q&(#j%eVYYR^M~)brYv9
zOfft$<;*Lejqe}w>)$$*vu&&Il--Lagq_@bQhUa?%$#{npO0MDu)nyDL$~Yo@z5ZF
z=j;2g|9$$nY*w*qeb$sv=B3{+UvJv-W!=2CSDm(rS1$+r{^j;*|3W6d5QbGEj9-pD
zldY7@)H*pS_)=H#*2=tlqBlA(*gW4Ru%C14t+dqeM&|in3s(hhy3~F1eZ(or9dn-T
zJQ}+9sQ68r?H0S&zItSGC+)dkR#$e`YVYT9YaY&-RbJ-ZZ*RY^(0A2xsmI?_ZYJDM
z`x=t`rf_w4#=#ibdro<mi*6U|8%Egj*35gr@+;@Z$Bz!aAAe0L?3mQ!)vvs<C*yG2
zEX}JQ9xj(!ePrVNM|!6fUqzMee}C`X`{S!CY*jK@&xIJVC*9xr<?ODj&mWz+|Cc3o
z&-`M^rB<Sgf394&Ln>YPd-~Tid+*w8x9|@9eCLtZdLOR^>ZW#Trgdtj|G=n0&9qM4
z)Jg5?y}+yKSE?q+?mb&PdtPYS=R1#VSY|yJ3;!c4TJL`Je&9#*72nv7_Aj_4{>WlF
zYu_86F#p`R(6WG1?p5y`KdNQi%e=RGpJeF$zE$ttRi%|r`&}%HiTS%@mArPWN<Z%@
z-<9&xA)2|*5-UTz!o4lT=ZG!cR9ds&PuallsiC#)$Fvpq@;;QE6A*nr=V7h>p2J6t
za#j?`vxq%=Gyl+A?;XE6gNtHK1@nF!*eknk-_4IJ%pb9BSov+{S>yWCI#aLTXIX8&
z=ZqcaowSEPkCxAI=QfMHUVrcV+@E^aZnM0|mHT2Q`{o|coyRYCemZ%5NzsRe>Yjq#
zXJ#{LEtP3rB{98RspORZJ4wa!KQ=MnwK{#2J8t)%Om;0(nP2anU+$T)FxF%1jLkOv
zU8^e@pS!(I-Lm0WpY`L8=mLhc{mxsb9Mv;?V{>?(S$U<-nw8-XyOP3R)dZ}YxUv3F
z<!Y{tddyE(#!BYD>$<CSfPHQ7NzTKo{_TGf6r@-3^iclqckAEY`x9N37E?au{i|i)
z66M<;3plP5evr8Fo{Y29uRM|Sr7e3`%7!myFO``hyFKl=q}2W{tpF*fuU=|a1<?y_
z-fj7j_C0jT<lL(}dJSx?&x+XQ8){a)t6g?IPtoPvrW=!<F4?Ha?o-y4bH>N4s3m)j
zR^==cP47@e-f1m!Z5Msf?w-BZ>5{(M!mlqLOy9)5((i=Yf(`SsoNgYtwRg=MW#I<*
zg+&DcuRcwY5&aaGS9VEoh2<&X2{8(Dm-fW%da<-CE^SrnoXBr4Qy0aBty(%Q?%J%>
z4RL0#Q@6!!d6Bv&?pyI+ndwef=O6RGR26c?$;;H~n)%hu-}Yw4&n}y`^7`$iMZecf
zzjpDh>gPE+Q?;+?=+AcF+>j#|8n<ry4S~fco_^i**XW*a)zk>v)Yu8vd%iwSxNd(Z
z%3J1u6R-aL2bO35cQmh?#u0RA>Y`8QYW{4W({Xh5-~IKcM622AzGjqJUX9ePIk@S@
zrBx*vp0RW7zxuEKb^2=&*S4rT)~D-zo{Oco2Kqfy%F6Vvy|>+pca1B@F4KSA{cF0M
zPH(gMa5q9~_l4GF{u{#j<TUdRp8V9E9$78d?f7uZqJVb(+gnpDudKK}XJ%aV@uxD!
zPRg+7Zm7R_@rs51(uLE`m#s=&8hxDYb!C55-12$by>_0ywRq*XIjJ@NDt{~`x<0WS
zRG$0o#&TP!S<^qwOxm0H|Ml`kp}dwHUUQ#a`RZ!cr*9d4{e<`1#%Md+Q+2$xZ?=}}
zY?G?G+0OPS@Bb8Wf4;kiiko}e)#MC9OzP&p&seyv=GB+Pke4gHZdd$U>Y2`Op=Lhy
zV_N3jDSzWmakiX4d(>;fcK2uftD^RtSY<2oQ6ZqZ&QnNhr)SFB7oYkXw7M+!FOJPG
z@(2p4eYg3Dr>{w*`M;gfPp3Tk#`Hb%uT$B*l}cUK=7DEsKk`ics#e@~YTxWjTS6b1
z{Y$S*HhnsI-Q{VHSM+R;rhUwdJn(#%tbKB{&&?+%M1o^yor-d=uv^*n^4P+6b6dXp
zozt^=CYrsf_|48s&2qCQFxPYi1)piSye_t6#`$-V8x*vJzRjsSXF2O!>Tf=8@mD)6
zt<PQT^EQnRlKjQWUOVa0kzE$MZhh06&$5R5(cyDisq2C+cO?l(l^5}@+;%<S<spul
z#(SQIlvZEZQ~5^Zp5@0gvztV}f4XyNPfRX1&(Q}xGylz<AFw6gd~wo-#Z4YrA%7kP
z-b*ukGk5jhH_I07S&&yU&#6j!_FvnHVNG8HB>%ZCKO3Do**fIYKWn~qeAA||F1ROR
zs1m)r#_Fl@^6=HmZGQATo+o3JpzYwZ{1FH1^Z3WVFLPdfwer}l$!nk3ec2{ZR`g(V
z(UVKBWOJF0T+zzan|dqS>*?}mdux7etv&W<BYXP8#E2vIo2M>{y%p1bi+P>g>?@Bx
zXU{9nwy%%7V)3*7?TwVmU#-F2k9O(vChw@rxgC1&r%cx08Qxyf_N-ghuVUqw>0LW7
zD{&>)!{y7^GVVWqFWZ)T-|WDZ`8G1qdp+Opf3f*omA#wn6zAt(SAAUM@3u;I+W)(s
zYt9yT>(7~Cs_s_0`|C>2y<eA~z8+ow>&WVRTvyc7zFu2kSGamR*VoS2v+}i%!t+&M
z1uy;eQ-7|}+HEgyEuU5%<^KP!@QPjeqWNdGt+$I^v%PVq_(wL`$mogezfV_8SAS?R
z`<lP=q_C=Adqr1tC@huQxWD|bmX_D=>g(_4-}$O-U6bm5>h2b=-ZkYrbacJv-E!P~
z<iWeskwvN#s!pE|sC=`i*TtE6F-zQa*6#)n^VIK%uhskebN|Nk%cre<7Z=(5_w={V
ztE|GR`wB04HoyLEcmJDxK|S9)>ubKxi=S$peB`zy=g-2s78^3zw;j1)mT)(8Z>;l{
zi)z0MtJ2qR{~XSHY)|oz&fNVwKlR?Z{(I{GGt=bm=>F-y^*o!^(VG47xyffZ*D{CZ
zi*tP4pQ`?T{qFkLMi-vl`*iQbdtL`;!`*rF?=pn={+%sdTlFnuvBq)}>5~8Z%@|($
zwz(KG-Kp`KBr^5pu@z?Df2j+(gb9D2aDV@P(Pz1L{>tCvT(e!bN;+q6tr5RgQGBnC
z=Cz#tTY9GU7fGGEe>>S{@4xQf%?mvv&t87HYxV!-)n)ay>gR3>UtYY^*kxImQ>x~t
za(nTh^*vb&ULH4^xboDr$PG$Q*X4e@xy0`4vRR8#L~q`F!5m!?>2F?LuQh*ZL(bc{
zd#fs?W-T{+%3;3vNR?Jy@rIf0+xADbKeOL+zP-#yDXRL*zi<2ErgU0JZt`a{`!@g1
z>)?Ga?^oA<`m?&a{;cxyb@IgrO;n-+PBz};Iulu6o4ldwb^X<Q@s)A6L@(`5OAGgX
zziZ`N2fclDIRUChS=Ir$Vyo7=Y~hW3l~~qtW%t{(`E_~m%l9*1$@y{gto`1fJGMx!
zFqi-OY{AW*KeK1IZo2t>=UJOQf)Q7Jwcq*Ye!CibRqc9pX_dbJ`>!weIvkbS^WM_j
z?DzluYfe;o2WHLl+ur|q-Zs%?na+nz7<1Y;)X!Qpr}l$@n*_h7eDd~H%^R(+9lX)|
zI^oT~(hXbNTPJQ1Oyalg2v?W*B<;8NRkB_1HQ8lrtbHQOXKZ?BkoqF&opt(|wWoW2
z<|<@<F`TbI`<%7)SDC7}($={We?L{czIpu5x36r0HoDIe_U`(T%O88@>FEov?StOf
zJ=>=L<<#qsYYx9&c-?*Pw(k|kyqJ<dTh~^;{2I7E|GjnT&i7YRudY13Dp-8hnwm53
z+vlxYEP3|$on2>U+-_^Ux~_X?&CIn=<;*TVvQ51IZTjuw+mC;jf8JO-y<wkHdh^oX
zSJT9r!jH~=#waVUxXwPr#a$y!^{4%nxwmIYS@N&TPt|L@wZVExqsp3vjq)Bwk?K4D
z?~YKt*}B$$zR&!1&6R3<io$PB(m6eQRaf@5ER7P;_~7N$8*>E1jb+SAkDXh8!!u6m
z^r2Zkn{J#n<6WCGt6u#6`ipIGcXg(;&YE}Y!lc&gb5feu7@ghp|MQ*N>5Ez2V^^+v
z`TT3auQIDw^Wx9i*V+9$p>^)eyyM3|SME@%iuqcx!?o(eZKc@gO&-DzBxO@puKdRt
z6l<Vs&;Gl3i~aO(&rkh}xjkR{cd>S5Q7G@(#jEenau45M{loUad%-&Wv-y=9vzGqQ
zG`%m&_nkFSHqkbbIZ^FU=cn@azfaWX*1elt@b`}T!_y7!*RPjW9V*@4Q6S8xop&hl
z;jf+k{a=gc%dNMJD_oNw^Qq!V#e*HscRa38JiWrWPG<jq-}5CBMH3@$`>gZ7zq02w
zht-Mevuvd~jn_?{S-9~`k9OL&1FK~0w#nt%Tsj-yU2^}*qNVP7?_{0`?_R1czy6of
z&QG5o{y*Tlbfv1?%!|<hW{c}$rx)1nHv0Ux|L)Tw8|m8gv@dgKZj4WJyFYX0hRxQe
zJ#9bVZdv<syXO3)-4oY8{LWQ3dxPm;v&7tAZ=Lta?A!dJ(n7IniR^Nzx8>WPpZ~p4
zZZFIKJ+fu~ca`U@T;{Uu@{%VfC*Bjh``f_fyE{+fLBDT5W|(uNAN021E;|%iAabs0
z<%bn^IuYFI2V-~an>n%IdTeR*+BKY-zY2dnYwp|glx5;;xBkhmbNVNLpEddQwf@EW
zQ@81*fAKoKmNh@x{mYw9#VWg_0=9G0I^Hdle6;83Gn-e>_Pl#$6KuCLb9UiNpC#TW
zR;Va0p42kOt3+OM;^j+6GhaU1Qc@VTYt0>rg8TowYrj=Y^buM+{k-Fq7aQ-NOk4Ll
zYUb{BQ+GnBpBl4cPb_DNxVPsFYplu(<;Hj6MvQxZ{{3NL_u4S_*q>L^-`VZ2TPbYG
zzOxdFCTix*{XH+|Ud^V;&nKUqRiAA>Kj&Ue)1IF!m7hJclIw2o`Kgj?w14`G7^!Vx
zQ`TwT4LCLFM2S-K!*zWfzh6JSZW6b&xJK-h<CK{x(&49mtxeze=W67>ic9Ct8FWrj
z)?E3wE6Mze+L^3{bGugNJ7&6P`fKig=drT$NV`oaQ_`X}Lc*O>XJo8B;!>jeFHTL}
zYQ<gc#itVccRB04@qdxE_iv`r^=6)?b1aj?nB!B0<!tL*3^)I3nR#`2_U4xtTW+Rg
z?eciAGRMet>a(*io1YcT{o?4`6g?|tWz=P3Ww)cht7d3RH$J_zbWNu3!DXhbv$Mo=
zuEcK0^gZb4>$axkR%NPd@72|1?5{eed7b6i{HA<Yl!e&|p`GbzX;WtAPhB&+((LNS
zmKXBZf4}^nrMi5=zwdRw{ymoL+#MOSE~2yjn*9A+*Y|B*Upsq!Z0qNeXNOn2ZJ#My
z{Iu$(<mWT1L(6z<idV^Xtg4PI{C?)Yu3@$Q>OcGDtp2QE-8FAx^^BK+Tc^kszB^@f
zqv+i$vq``DqT(+t&Y2Zx(9bvNfWeu?%{^T_*1El`EW@U>GfEzlOnYXMyhcv<(>cZL
zn<rA%%J!~o7nXU=kzD^;)~(Fa=5y&q)8c@cIe#mktusHh&G4S`epZ<o`R#9lD~_#u
z{?7WuOpfz0JLAq@+fnpyU)6;phRd5v7+7`kjPzCSY5P1|dgQ99Y+d1*>)w5?70<pZ
z9#^m_&Xwvs&!@9s;sNtbA3J-Jl_lR_vQ*!}{&8dD&lx%8i{18q`(iO6b#KnG%4bn#
zC)8}O&ERo<X)+^u-`1QHiW3vBOtXCU{@|@+RU)q$tDMdne%rq9oJ&3P#dxcyY+sYF
zCr@m9VK?_t@tN(;$(xd$Z5#jE$bK@^xBj|D*s-hm(m{pe`a--`w-vl^nH8_tr<waK
ze)_Yi`e(J{pJ`v`UV1(H+AFttkp{XoKJ~w(ekDJga?`wJ?fxmtLnB=CXNMW*t^YIC
zQ~&J#y=UsJ{}unNwEMq##;n@g^ZSZAKFlxN_$K9aeB;dpaew#v^GS7mS-~vcyD>wu
zJ8WZyY`59Q4DIUoF)Gz83w_S>vl!Wy3!M0}$4Nn2+Q`;k;KY}lbcJa1lwT9KJ8XNZ
z75(4#|J7#27?Fz34kmrUzz3>ITzgzx8mD(?d=L_3jZ<25z}rQnqSMUsgV0pgxJ8=|
zcu(U!9~@cWJ9X<i$%=WWn9c`BKB&~<w((DGe7;iWgUwXddE2{e{aqTLcWQjF5oDdG
zzUaVb7m<p29Zcti10Pf>aoK<*Gbc0a2Sz?n)#BdclG-?Zg$~^O5V4BRQ%w4SkuOxg
z-|&7nae7YD;w}w?RUJ$S%4pRorp2pt3~*9;AU!5oGiG&Yy!fTWwQFC8lRjs#uwZMP
z&@wfpN4GM1`B(K$(<xbCQuZZIZ9Df}Q@PL(u^rR;>JHvtx#nwmqxUME0?(<edMh^_
zPz^oSYjDzOx=7%INlIKXK`xEnT^a?Rf~<O)iw>x|itJd@!32`zy!GV3`w+1ob5AuL
zSi0$gchtSo{ECx%AF6(Dn|?<+u84oHOH<SXRjKz$@L=|8Yg6X0S9YH#eAwg2Ys2LW
zCu(GD4q2@DNIXPveOp(>(@>ETDM8k`ZZ3|?1p_Z+x`>oW<rZDY3=u1ln#wxYE!A;(
zVB`zM!sUUHNP>;-eTB=><FZhH=I7TQN3`#I>&v{9nEm;W!zNDE*8!WjR8I#8UC-rE
zwMh@qZ4p{G@7R_6d4_IE_q3n?%v5=_?d=gSUcvnfx++XVj%cr6WMHA<&Xt;2U}4_m
zJg0o64i8`9y``HBERIx8Kh^ZWz>KN=)Q;IDr!VXbIa0l*tLcE^B87qu4GtM4E*lS*
zM)ppP4+?^;aw>}sG;cZC$i7nNgTho+xh0zpG>3>)OgP2F9~Ak(QH$Hg1DqzlOwxE5
z82CapQAlag1@A*DE-nkFw|I7d;&QTpAnUCOfqFZpcQjRZYV4Ta!PI}{qV)%lsjPA<
zHyuz86{}csim5*&^1(zcZkwRgM)y@ZA3UbEnsL|$9gfxEvI%l&bnnvm;33E=r@82Y
zw^P3e$fBq<zI=P1-1{)8`mpyqUj3csdsI}p7V3PNG-cWZ9uL=rExR2LSEkMHcDZA+
zxm|eTvXyt9KC=_<+!24))<mdKJ}hvtRJTKGppvf8JdbV_qtGcH-7V^ulpa>S5KsIc
z6qvv#Sg5?JV|JYDp8Ay<FXBCq{SKe>@!!;xJ@u-R@8dniv?o=c{Stn0#?nOr(Gc?F
zO{d5eYoFX&sB<OkmB+#!_r)rYFKV3pF=J{<%^5Evxw0!oHW8|ek15@|A1ZRoT59vN
z8?v20Sf5W+68d=R{9~c7p>J~=Rk*Bgt!MTWDKlwe5wfcn=bXR4yCpku9*>*Sx@(oM
zBs+IRNekb7;@QYtR=@b<ysjT-LyoLop|oy=jW55-ojpnqpNV>22;BPUV|?M|?v~qL
z>#qy2Hc#wm`k=K)VNaJvnCqGw3T~^kqO!6=>^PqON^e}gQitQIR(7Z{$5SnC=gJv2
zYLOD_-b=0PG)g`2#@Ta9k=xuA8y&3Izjjl4r|?;;NafMDIoH=H2a0qaVpzXu+CpjG
z#|PtG0!uoY_IoU<lVh!)=pv}V^-rmD*F3iW4u|%-s!ZHICpkY;!?bm`he)OOjo-U-
zFJ-Gdx*8zZFR8_q<Tj}=+{;MLcv8>t9+k(2$|oy+O;53zry|*}?s@F;ewD}bbZuL|
zv%Pg**it>mW5@Kamg;RD75M_3?;VvM?)6jIv;5h|mYuy@rj)l=nsmC@n4C@aRC$yb
z;ObZ|Ao$)zi>t<|KaZtu*`@>ML$2*gdyuZhU9#t|G;e?wSBcIdhvQ0U)Z#!B$<RQF
z-btrhHyKE&F6T~7H1Wz42bXh5h2WP-83{pwFH|r7ad%xf-DPs1XltAh@9WB9^$8ye
zrf#3e#L3^S^l)K_ip`&{miC;x37o2T#CB~uA-b+OJ1<7`<GMvk_YQ^}*}b%DN8hgf
z5A8bt2<A`gViFe?%-;y20v{ypd^fRUdxUF@zt*E$p@P@j*6|ePE^%EPab)X4rFFcb
zg}Ey<F1dB7Ow{noHtAy#diP%GVXceGp7_p|@2(S%?34WZGh^1oa&BhN8q;;bZ};zU
ztekH=;Yj^q(dpY0nK{4jKGyesPoPX^#rYZAmwM_R5$Uz>dV75Z%l87&k97-`?#&K4
z@_Rwoj_&Rb?SOmL0wxizdm^>Cge2ekIVvsQq%bisa>9ufIvyz@Vk$;j+(MF5Svz}9
zHMzK@f}|ZT{=KiUZdJ$a5Z61xAxHkYyWV*nawNH-t0~Y8QD-gk<-<~^oZO=!eu^pk
zw1-GUH2ca3k%(xQ#;vZ{sI4a(w}y&EM5m%si9QzQNrx=I2u*E`6Y8zh;@Yb+=T3*l
zmq`Uh%8M>|o6Jn$ef^l>*8Zs<Z?Ejoc5#hS)Oy4fC|Euzg#Vq2=e1wf@1}Sjn`?Qp
z=~!pa?-MbnJ+1_(yuVwef3G$4i1ofMDRG`vii;L}QhKy*)uIFQZW-tac?MJpvigb%
z&NuY%I&)bwcG)sFzhutxKPucx9jBT&78$*hy~VlHf9XHT?j22`N6s!%%JUH|6b}$A
zmk}-OUfB`t<9g6yu`k~-$*HYj-m$Z8SZP~K_^{F^EMMVa@Uk^`91cB~jojMUa-MH<
z60@iGdK(!vN&dy2$NnbyF(?auzhn8g+vQI2^C$X3rdcO!%io=x*irrNPJidS&x^bj
z9>z_Z9>c`>ebb%?LLNJ&ceYf|gi#ebm!}o=2MB)W5G}+;eY`NQZS%CA_@aCJx?S%4
ze|Gke$B}5Wd|x-Eb*`d?cUOZPZsYd-^Rar3#~(FMR@8VI*@=4?*-cWHls`FH$6)2D
z3YG~E4k$OY^#&YLZt$pJ5#a1+P<klnpkl++(c;c9@dJl~(7WBSe0(mYT3v15ENxnh
z-kEtGyQ_9`$88nK^4Thn^CqX<$(_`*+jml{7Ps($9~+i+RfvZOzTcts=x(g5jmL_P
zb}`Y94NH{dj)jO+fok!@0?$nsybnE?l(KMo%R$wXPWqk)TTN=qST3!8vcBTah1ab@
za`rBV9=Cf`{1M>nmsfiD(OJc&uA}8R|HKdH7U({m>*%`2ctuA!o9M@L3zY6jh8(eO
z?y5)+2u%4_ZL(~2NA_aj{D-#!1h2Ps?Jx^L<j0O|XV(~Mtw$4$Y#$tw4UZE%qJ96g
zlKB4VT@}2cM{X}wk`oQ$-C6hbyi{n;A5s47mub3^<@{3%mj?-!yRP+k+|=0SdZgL8
zuGdZBVR_b`jmdQv-BliaogJ?&)VYKGjJ{!~%bio7wS$!e-*2q-lbz`y<aaMc^rPD9
z4)aLYJtwsu`GyJRZ|bU;9K21Y`-j2&KeLvzdtT#`wXyUzvWrodlz%o^hlAyFT%rc|
ztCgD;%+rEW*;gkoxA#1Dd6^1#QvWHY>7tVF4`@BIY-A~)ck;=0Pd1@>Cr?~W;@m&W
zqe4QM^L(L;(Drt1$NMG6LzgSvOAI-(d*;4%jo+h1Kh~{Ybd0sqG~~((oBh+fcGw<i
ztJxv$`9`oNLeO(@8E^9kiPcJS$)QJ**K{4~lRC#z+Va4dLGWW_v&Rnhu9oVx9u+;F
zulL%RsY>=|dWv12@ZDmfOPt`@I2WPHqqbkV(xMK#A3pZ`>9gyXT$SD#eD?mJ6u4Mw
zPx0!GatqOq=hiCS+Zifineb)GTn{Doo@vuu4mq!tQF+!T&S}0!&W=y@&WGOQx1TeA
zcpO=4J%4Y%%bi`HyCswbx4--2bi(7v+SN*Vv4VxEFHSGoaqDUSj)$&?c=d(yDkgr=
zP!y6=bvZQGRi$w4&dnVvMbivK&glE9D#da=|0O2)-dE|Nmndg=R!xoV{7Qb6n3WLz
zDl^k51xwB3BxlSw>2TS@e(t=fi_$&j=gT#e1m8DmJ+cjO-NU|0<5P#mzAhCwIa7@D
zdb6K@Wpe0|&?QQBj=WlTf_{bH3DSC0zf}B5+(M;y-dc~mrt|bkdlg&HV$S@#=;wk{
z74N2`om`v!sYd5yh1~QMN3K<y64tGN<1pz8fdEN<Cap(p!GQ}zLemP2Cw`oL_WjX5
zmpgBtZ7*<FdiPiBQLUTnp5-e$+C@bR^F;-}7fk%HK}kq1%;nJGE{}=`K~DJ$mqYAQ
z6I<%Up5@LuU3@y*|GCz6?N9r?`n+AM9P{Etb)TMIrL}8r-tp)4e-ppo@ik2-SyG}o
z|HSH-A8YQ+=X?IxJ9*~)b^H6jAO5<%W{TQ`l7h8~|C7t@Z7(ffz^U*2^UV7B+dpTP
z-;{qUZL`(o)h**42?6#!Ed?n8tM}-HnuSWgc4HIDdG;e{Y3QFBqUSZFGy_dC7TGS9
zUAoS8;i|1RS*EcqjwW4BSN1zy*?&m2Ihrk;XPx971Lw!RB9BZ(ADN0?%Fc7VdUCPY
zltqz3uEJ_v&-_GUl>^`GcfL^HzTv+ZXIY!!i~Yv#?GawR7ZgP<O^TS9`gHQ1@K@W<
zPLY4N{cz~7fbYL*?f+E%z4`IOoPSS>Ea%AwZ_#<XX%GJofe(fcj<QW#&$N&4hugk?
z(`VJ%)zthiy`wKHDSL6ffz+aSK@*q#&oVr&9zOr~@$<)Jdt}!A7ZbPs{{4U6{Ql?f
zG~=fzZz(EXsCsQJm+iat`}@Azf6Cp_CF?J2bMJlV++UwxetLg?c1`AASF!Jp|DU>g
zh5ys_>%QhY-q+vdcbeYjXWG&<fA{b2f9@8!Z!A|^6@N5jR>`-GpO$2lsk#0(mW+Gr
z<uWDTv3-I2gLQ^V5lRt?5z03dZaCfjE_Ak`q;$D;jQBTokLusW8|>YGUoLrmxHPqX
z-P`lMe|NTC*wAoa!m8?r?ScHBZL2M}&9+!3(yrZVk)Uy8p{pXF6IbDmpbq5~PBPh|
zNdkUb(*>G8Xz?6cJ!xxTUwBlTX7I!ZCpPf}Ei>szI5kBhN-I#tS@`I^`5NE;xm>*F
zb@3V(25Nu&@$)z4ExY-?#;L6MUb^7B>DB5*E55q~zVBRgPuO*@dRJ9=S5<fiCaTrB
zI?wTq<m(OnYn$!NiuYX7+EO}k!P@Y~wZT0O(du2>{6uo+YTUBA_|9}n{XDzW8atVH
zsS<N;{M~>5_-XHI&J{1a9JM2Oq7Eiq;1X*N+aR%}>F5Gc9k#Uzg%_EoA9dA^h}qJ#
z#?|}UBGv4RleT7@jM|c-8?{MHH?rI9+M<bDGg5S=urL#JrOd9Gimu~`7BUku6SyYK
z7V9)~<<}!iW_$F1x?ob(#=T?70o}wKDT&#K0(e2lf%n$#$bNQH4dznK<m=79wVHov
zHkWBMf73GFXK-Ee%Y20uRtG07RM$9o`eo})=CgiZ7M*#K`Yr2Fgk|yGGiPdz5BnKy
zKjZu+dGoe2s+*%^jbEKVGegrj`P`YFo5s$j2Bnr;&rMb1VN=@h^si}@C7bwXyLpl!
z=YChDU5I%9cxL0LJ^kryANzj%;5oQYU;g{UNk@M*BBOh<e*+YEZ7gFHdtGf-^>vM_
zZFb=Ks4JVrK+Io@9lqoz-r#RZtQTzi>m`wGHp|9wnO9bk=NFrlv(vs@bY6C<Pjheb
zvzHOwRo52n+oG{_-rRq-%`-g86TR-SYW_U)(W~1)RbR;Gq`0Hkz8R%n8q1z^CV9=v
z@XvJ549syY{IyhIc{~>ewZS4Qwwi5jt5dW-Q}p>ex8CXrzxu3FF|GCak#NR%wi=!v
zA0Ax`%6!eYc9uqzY2cPsL0eWiVL@4|Y@0K#+HJ{_k0^b%LYh|~La;R=we^NY>k78k
z6$Y&<qFOUpTBA4Qbmeh-UE~&W?e`Q(c3UJ7FLd<nGQAsluMWLkrqsA4%6Z4!g=a7D
za2uO2pWrzgVC-zHarOdFys-)MU7oWOES#e%=j`R&Qh9<WvO7o4$oZPm?DjJg&bk%`
zsq?7UABs>dG@7E2y<_%5t&~$+A`kOK7Ux_ua9*Y~+w{zYttZlWjZ<_dg`7RS$xvfo
z&Gg0mCVAzI@lz_|&dVk~)V`m4bXIEk;j|MWVDd^nh%J%;BBx}5$f%U?!$w<Hn;WN;
zZ`XD*PSM@8+Q&F0YLj-j@sh78x|?376&pu(zlplT6WRUd*F6w9>mg70`nPMJ|1Gi)
zxt;v7oE<*6|IqwiKJR|>57pWA-tMOR7uH>}J)6H`{t>6o(y!D5ul{NK<ym94e_@@_
zOOSAn<j!{g{rlhM+q1DKH>oy>HkI<8%RFr3UbOS|@pu0oe~A&eCU{NQOd!l{>Y-C}
zwr(&=*UkBRYjNmWoj2#t{d@EF{K0=RZ_h9NyK|HM!+p}fg>y6+PyAuK?X9u8-g}Sn
z{)69yEYIeD@a;VK%>2hPmtv439yk5u{Tl83_gcVy(<}K{n7rR)ckE9|duDzozR2dY
z^gI2Vi+=KcpT6<vAGW_II+E&~|E9<o?q67ER5jy%fW4&gnfx#AE9UbEJu|=J-^K>A
zg1PDE-e2h_5>x)a{r&KVci-nfH8r!_IzNBZOV8~4{ruyH^ArBB(A%>A-qR<3nv>7B
zEiwB2`f26<dG%fse}637Ywth(eQ@68=O0f@+4c8E?7ZveJ!*E^>0N)FE5sjDbCGNI
z?I*!*%N{&`xBqux`40L2*Y|5**sHyPgZoZd{kiLR75BO73EWo_kNEKH+%dzmXCGb@
zvHADC=3Uau)bEC|&wiahu;k{BXL6NX8K>UX{aapav9Y&siO&1^&u_o2`&0Jo{o|Uu
z|9@L0<Z{oQU&NU8`(McpzgKyk-?tZ6{Pz52Q!BULe*XTl=MS}8f9+aWB$9ir<H~<E
zyQtVDlj`5-wclTU`1A3XpSH}4620}eCT?SbT;GBRkH5|Qe{-+Ck!7-`()7Le>+j9`
zet7=r*E=e7f7HzR_xoF<_LYuF=~v={yQ43iS9vr0(mGAu(^*?X{Avp0&V@$BiK*-8
zKR46WzifH>^v;0Or<o=_?Y<s#@`$>{&#+C-f!|!pkN@u1?2~!_{Pp+aA3M*@WqNa7
zYuhZlSx1U*Sce%_*!}o1Mdf4B<rur~J9_GLWIm==xwE;uxlij`BV(BPddhJxxeUj4
zhRf+E*>n$li8ONJHmIGp=0X#1)7rJaeV=<eY*@D_hC8P7pSIzO-(Cw@drs}U@bJrn
zi+k_;E`FP7fAs47<HwC%-@EO6{QdXGj~<MBx?D?l{(gD;>%VIA%12B4Tz|iQ{#@jp
z+~oeQ1uXw{e%L(Ai$A_n$2xZNid|22s=jW&tSqWoYbgHn$Il;kmTp`6db*JO_sb7|
z8(z=Usd+W!`|HqkF{Nv(Sk@#}1%#b9malNEI=V<OtYBZvMqf==w-=`}J$BY?^b!nS
z`1s$x`Ts(Cg6C*{{@eff-+fP}+K69#6MB`S<-5d8ciF$Iwp<dw@cHrc`Mcwng*eT1
z-|OD&zS!NndD*sC2S2UZo+-80{<^N6|IgF)nfiLmvz+$5^`D-3?$3n%B|C!WysbAo
z{<1i7=f{UN`()*eoUR)`O?OJWHgD$4t?6lLZ)eVo+&$xe!Tx)%vi$xP-TPY^r#|s`
zdH-tRU#$1vU4LF$FRH$FcIoxBr=j{ysb+@@ju)Q)c;MrSkCPsY9?$MGm-!raY8J!c
zJySP19Vj^Io;Ih-=VZT*Ip3nY;l07W-}<iSFJt#w^0Riu@#UHxHM0bL8s-+-Jy5!Q
zmcRe+YR><2&)Mr=UQjSmJ-4fmSI^39$BP>e7Cn|aJxk%R>v1-5S?L&?lMfzwvWfc}
z&Db6!CMfxA?G#nlrqtAliTAuB(^F<_4+;yDc(!&*saRX3cIwsEhnG$jSDjK<-LzDV
zZF-e-OjKsU#m<A>R$}#U_%4@({B}KeQFuz+5|iqG{wI0mg2U{@S4Rg5{h3uZ<=Yg#
zDScD)G-CsIEh}->EVqzcEcuM@dSUzP9fwbvF&<V6pMIBv-RG0u0hRYmKA-dwxE-X@
z8a>aje&IM{;@mJZjpM*9uOpiovb6%wF|AqYV#d8;nb29C1GBtXz=Efn9@%hxGT5@=
zbgQ(rgp8T(pSGvRd3Egb5`GpkpI$5-A$Rw{rx$F~+_wkp*S(U@{q?rf-)*SOsN%+J
zF$+w^GtRO`6(2O7on>P*Bi-z3MT)`soK+uJ9D}h=B+T0%`gr2OJySs<`KhLIl4qhd
ztC@H{hn?bM1VvW-0fYRdZ{!R>!kkOEr%znDEH%YQYT=e1A5&fBVBgaxLW1RDDr$EI
zu9|o<A#X~b^wP&}E8WzZcLuK7axEcGg!2c_=diHMwFmc14UNh+$WJxZShBqQ=sK|}
zCnh^~`btiDG1aj%U%2AU`S10Df8<%zS?mRB+&}Oh6m*<<JY|FXhsK2Ov!0zle{j8w
zrnjsy2;ZD`{Ooh}H{x=o|G%)#eYYz{yZ?8+z1+I#k6$lZx#d`@!cr|`&8u@yUYdAu
z;=zgcCZ3zfD)ccyVcoe)>J2-ry!DwMy}Gm0%A1}2(W{uJH8ZDNv}~T#n;P(GE05Q_
zDF;85);;+4Gy7D0eD|!+Ot-gdZngby_4-d@+=AM9)i>9k%y#_!`(r`Xt5>(a-oL(U
zw(jE7L7#1Y-#HyqTW`(uYa#z4g;zGOY^7|ZY@@2Se9Cxx@z~<r$b}W5rH&Eq5&kzE
zZg||7v_Uj6`_QS|m-Esi?^?e-XSDsw+ug3t*GyBM>IQHBTv={;uYZ5v_v7#WRN9_+
z7p|er{L@$e+uw?p-*?~6uC%#$``y1e|NL6|=bJ}LPs?3sBez7!K7W&s-QU#Gz0V&%
zjDH%qV(0hnX)24Bsdlc&^i;}<$|<(KU-#!?u}>=V!?JnSSNmTVzY18t^N-4kINL{w
z;Rla@w23v_%lu?%8_Q-%&2{=8Q_QO!ql6w`ZdcjlU~sP1Ba7!qJp1E2?I};EdHmvI
zN&eg}?QeId;?u)plljf()$I8A<Z!RLe4fqEg2yK@q!t&KmOVb%uJe?suzdQ_M1^<n
zHr?SlayM3NL!(9S$w?Lh%MBw_*dM*p31(GTch2Z1!=qO^mnA_=M<$S{9*Eh=+*EpP
zFQY<5`Q6+NM>2h$`#5}fXT{CjBz3t`R^ZBvb0Up9tgL%qs)%;1-h6q+x&Fo-R=a;J
z4lY($cTVr$i&YJ(cK>$NygmFhTV5~z_ko(X%%8XNpSf;l5dS+u=|JRp_H$Ks1=l`b
zR4mY)Ry@~X!_i%gEJtFGA62|t&Gcx^+eZ`fsu>Hf&3hEEb@RWUKkWFowLgCM(c;vy
zPsQQ$16OzL;gY#0dSk!#hmY$HyfbyU&9pwz{9)y(cMnfIIFN8YLGr$KgwS;+@22P*
zZ5t+Kh=mDVI^<Op65(0Et=(CWsG7J=%XfyQ<+q=IKUdoS*>}3<ij3!^#Vo%|t~~x$
zIpwnW^j|Uu-v-C+Df@Fj@BeyB_XU46ZeMs_XYkxf%kw$w?&BqfqAC5M2mcjbU)*N-
zvMcqe=H}^dKF`?J9Os##x-nh;$^XyaCd#C6t30iYo1zmZ{CCOIo3ZtAmwrknH%0!i
zu#<oOI{0>hUG2P6TP{u8UF#>hPB%H_`18{A^AU@7*j1&c>^`3QJ-@bR*(ABsiqjul
zJ6@Ban(-^pf8m~fv-a<<-`;oE>{&K1D5WT~HdbblQE%-+qnou0ja2Iv8Wq+pG}>FY
z@Z4h8+FQ%t1jO~-Uw`>e!K`I3_ay%aTKUg==`Q`LQCHIRmp+L<|2uEi-nf0&)AUvO
zE{l}@pR=W(J!Epl|6sldTlwveKR!6O;g=G(P5A7tJ$|R3@$o#*5;i@a^}K0Ypk>Y7
z{#$B_rTpu>-`F?ppIX0<Z_dqK&xGvjynVNbRed|R%~IUzpLy%~!&jdFkNI|R?h~Hd
zUl*zO`JVCP%XnOoRA%E9mw9~gkvA1nX2|vnZGIdG5<1i8-g)z*hM~2bs@pBa&rT^u
z#w9&l7DpY>1d#$-ATj|=ZqUkk`1}90#h1D^afD|DNkkr+6xo@a!s(r6(Km6a#Y|nx
zu&A(ziw=pd!L1X^Ji=Z{WVWa5=$lyPv8~6aDC@X~wTgZD8mp3}Z;H$RmF=xRwesYh
zslxmJtH0m$w`||Pb^jlHi`qN&a^2@o%Vp~C+&@=zK~ivK*wZ)qVWnM%PTUWhyT%}C
z)~d^5Za%@RjfXliS1kyV$aEK7!lnFng6Hz&C9+11r9E4m)eiSfEDO=E*9Ng)_Dw81
z(Q<#IQDBJqgyxNXQ&v9q`4d0&<sF|>Q}WeTTiyM;wf<fGTl;czzv)x@rtnSqHl=Ke
z-mA~|E_c*adZ-!l*45`7x2j+3^73o=#x*q+M^2w=ci#hH1nr$ZQ<CF^*w-mrCtY2B
zR`vdT<EGDr_J99>-toWC3e+4u|2f&qu<PEcpVuGv%lNJ4n|pfad!uzbCY5dsiVxSB
zFEoAgd5NW7Wxt(N+3OZg(%c_#a(VoUl)L&NMW4lQRfLot4*#-d*Te3qd#ckm9q8|v
z@@egq^f-Z^VW)!iJ8HC_?i7D9XX&^3pDwN19jmL66K#KJ{>)nG<2<LGTv<;~a$`OH
z$es1HktgfvCNEaV@X?7VmE<|?Yh15tgeP=9Yn{3xK0;EKOM9_LV(ONac|H-Hzgk5P
zUyD9i>@dNdX&H~AexbrSZ>9BX4n25fTQt-EbJ<MACk}!0%y#&6o_v#^Ud#4*-~Ukm
zbN$~0w_M$%DieKZq3WCHLq$qW9A~w-IF@f&uXtxm%j;<cSB18jY{|*YxOwTqrlkv0
zy`4`_YdeM)wM}b7M%&h&&WoOY*G&I*(4TEff8=_9yglvFcHN`-QC;`9i0seI%(#5%
z!m_0ceZ8G$Pir&N<;{(fy0yh*OI~Ki-AfmCEnQgZ?fiOL+cjO@wb4>h+hG!`mM#qS
zc3wTLEiA2y(aBhpVdhM(hBG=WojLz^?Aa!)UQ!XWD*sT*1?3k4It(X*I#^TJn>23<
zpXGQ{`)opIf=h$x)T;{I8<uTam(rkP7ujGsHB|@1RY+sJ7V_+-Sb}fru{;p-ABZV>
zuqjMkI_hsm#@EFQulBcv*-J(JU6KEF@xH75zryTaMSH!7p13SO)8pO_aqeiTR}d5>
z^$HtW)u%3;XgT#o>+QBRbLM^7^hq;RKl^Cn<}=Jjo6j(BT6pj9ud==G`@h<qxtdeH
zddIEiopryz&GK8S{eMrqL*iu_?`J=&tf#w3E8e=taq*j5$2N1PEqetQz3mmq&2PD3
zowd+=QLjLCyWlpHCrv9rq|zEBGDo*W@ES+7R1uhb1!F+CO0%n$pUYo2_r$YXojG9Y
zWd6Fjr?eh%|14%uujhO4<UHe?Kb8%_k+L-lH?>8)dUbJ;ot5&7$LBv+?1?$`Z1U6E
z1E;knK7ID%^lM+uP1<pC8S7M^Y50FloBE*Fd-5?0{(C!2UiKAVzSlMTTiBf~+aINs
zRDCRwS+d8weE#8I&wb}9eBYpB8YVFL``@p5aW_mAzqieK{4i+#rin^vB5~$RS1&8M
zIi=6{<%KEVd^4|4(TfT?xj^cYZ}6=vQ&j?aGlRn?xtT8UjrCNzI>D?|b!CW7tV!wC
zj8|C~uUuWUa<yx4c=xh(V!pAuvvx(9lx{uHxOG}yVS?$QYX@EhRVnBEOU?Eu)7&e#
ztu1BxCFw*Y&UGiBm)VlbzHH?Q{uR}xS*v?^%D!odUh${f+IXgC`#hH3<ZA2Ux9RrR
zk5@Y4+T@llKmK*m9-gi4H>d4=eqLs_^V-QzisRYXwP*Ctm~pUDXit~4@~a<ZH(&lX
zPT>m*lh<0<wQ9>tjr{++-f#SI<;DEzkL$NTjw@ogJmK$a*`ga?JU9K`@#;j>y_yd#
z;=k=49^L0(QG7)Bp3KJ<b9bAEX9daTempD4bgC+pE!9=KdDFA0j*+6L7p$3`8W48P
zNJBeHa*FAC9*4=_rXKUJSo%>oF7r=IxRm`vOm5}UjemK+ZeIVT?vVE0`5(OAtM9q=
zo09_W%JpCL){A@OZ<;*!!^Fp`$F=*e%dl_16J&E#yX$n??t`|+nUC+8YMY;WK05RM
z(IA8TrM2Rxxo?+m6nPVExj)mepS|gGw0v*k!><KKy-n8-+kX67z_jN3!PgJxeSZB=
z=hCYy^<VewuRFba`?u$x#5(`%uJ7%Wyz}mO|NQ%L&65{iG7{eW=JkA*1cSE*Gs+}<
zjvmxKkf7z4%g7d7n8I!Fru%bLgQ;lyR)%aXzbwXUAu^YnzIyNH+GIX8XT>tvrAM7i
zWi@0qV}o`rnsU9|S@XTaw_YRG-+W7Ev~zx(^k&EJEsQMty$$^KdzX9eKP&V6!vtaH
zqJR&dK7V$ed?O;`QniTQtC05}EM)5Eemkxrm3&d4`&Y!3qe`2vlvdRIsZq(BHcbmB
zzE-~^@mHa=OIo3U;%18(6C!1NPU!HdZCPP1C6X(9(_&Lu%F`2<1n(G6-F#VWhtQ7I
zQMI?#nuXRGX)x+CN}ks_5F5(rCidW8zUT#`1GB;$4Vl+uibfb8m=$)=62yD}Vm7<5
zMO@~~j^cHdOz=JG?IWV8ne;0*=){-ZOA20=dw#t1eiGBN`xBJBl+WaQ2)gn<Gheb{
z(TQK%Gj9E96A8;nt#jtmz6KhMd!+x&{L1;di!V9jOR5fu&z<+db@}qTizh*&b^Ad>
zUEfxl`v1r}E4(l2bIbZ`HV?6KgZD=<Z%is!Qof`(CGh>KcYT?SPGQgO<?k=&u-<kw
z?ew+o-`jR%cY80WJ=OT;_{TS%nHPM#1nN(%zWPaekM@^b`=txchu4di>^%9{;i;3b
zL4NtJ*VQNX$ItsZedWAw%#r@T8`muV>u~LIox<x(`w3sS$e*aX#h+sPmVFaknlIp)
z`HndDb?O(-{*gO<yY|y_!TLWZ{d3N7hKZ>}Z8B;0o|abd|JKoyKd!&4vD#y_^v_ba
z%J;Wk8hY-FUnTxXT=Dmw{GSDD_Bzl1oWh^!xn{wk#)A_Nsy1u0UFXS@xU+B4vt6H5
zzpl@8&-DD89ToI{*0d}8m#H2+y`{$MT7|cKrT6*0s>xrb^~^P#v7P5ybk1Axknqk$
z>x5imRl9b1iIh&&c(tm=;LfWo!IxPFOQ#yX(n`K^wdtkTzGk^^YhEe8oRVCB@_w57
zglR{(CiR=7ci48<EU|Qc6Zu5>tYp%8CHc&G+Wq$q*<G>;{v!5m;<K5Hwr}V+xxc#K
z<Th8o4<}b35}GR{<f^LD<>etVb%KVLVqnN2iISOyuZ)!68%ZWlKPh2bloFeE^OccO
zN^IJ*8-mj=MZGcN0(sf#jS<(z(;6=MfgSf23G8)Mtm=09GA*R?%QTnMYit8MulPh8
zyUX(UL~~_&UYX{E1%<7$$<hvd6Wy6~-%I3o*rFTTg^unsWvR{X_;u~T@33Pxwi}fl
zOQ_Ax`Kl{ult0t*SA(0-muVt#5=XeST=D}W_AIUP+gRbv+Pytw1B5E@Uhp^JJCAp_
zRzy_D!Apy{Hi_NzpKyM{Ru8iypW==#uh|myuxUfzhItzrH%#21ny7s!;;&hYWbOG<
zvtRQTUi{9}yDvTCi>>qG_mWbz=P$gdZadbTcEZNvTB1$LwP~V~{4=+v<{opdDu1?r
zQ^;e*&+(C4K2F%`F)iOFMR!uG41Z*Iisy0XYf8U&{+8S%cJut*^Aon7sC!q}w#M~a
z@psUe`TX7U6|*P)z4I3|KK}e&`iZEP`McGx&ry8s{%w}=lItmdPq;mj+*FsM{E;Uz
zWy8dZwl%J1LLg&6!eTc)Y@DwxdR5cJle8&3+x@O<_7zb@>C*JR<duGvg;#t(C$JS>
z3GG<C&gslWk)*z50>vh+GZt$ek?~dByj7>e`i3$4gr$Z2GnsEZuyKCIU}kZCf@K5m
zIpY+)+~(po5O?bdw&x(XKd^DWrX)Ql{X|qs^Jma}L%}@7?1><yx|<GGf?Q<*auryy
zXMx`A3H$q|H|yL!Z}~kgF1~nTxYr!L`)3so9zWlIe7?JuesP0?QQIWNDPI;Y;a2Vb
z6_KiY)2+!@CBnTaR3*Z#Y10kfCPkqy6Bl%|q;C5zv?Mpn=`_!}WvSc3FB=)J$#l<r
zDJrUaFYMr>>7qJvF$W*L7S-9isA=i{kOGbSFFo`VD$lx||K+so{AJ_%kc4|`yXMy4
zlRN*r@Vln`8vA?v#~(j`dwg~I9lLs2zx{rndfD1{NiF5)n=Y>D`(j~!g;D*C2|o|F
zoZ@-5@qTQa`03y7hn^%0JbiQR%B?SJC)&)jTb$o3qFZY>Z~5Q-^_QwM)7JkoiK@D`
ze)HwGtEMabjkJuN^LO)^d0g6?*Bm;Pvu4AkXNOL`S!W=$8nlBTs(Q<(jF%T*TznAt
zexjwQvVZ#DefR6jf8Txl@AjO-=N3JFSFm@^{~6DxPI^&M7_e_s>^+Ss4<BydQ<-!6
zW7}iX<Jx`GW%_0KWxmUl%jjpR2h=F7x3`^meg3=?ul@a>d|j@7vQS3*^1nkp&FN_}
zHpY)1%#>t5-?POz$RTBtww2qhixbOAmdI{foaW}7aWX+GqG&Fc-mAI)cUw<f0;*eJ
zBU^%#51cSBc$S<lB3-V3V@LJDV|TgD-o<Sw{NB{NTRi8{?YE!5``NkK`A-qCt-q&#
zJ^%i?Pm8|JTE4wecK#=Y>XX8G^X<!?FXv(ZW%hNFb!gMk!$%h1UT*hCWA&O(1}pbQ
z3C=88BBt}|x$@Khzwe%v{aq1#q-Iuw_{;m^hWT@!xppmIqItV(y;XY8<<p|krE%Mz
z?N>_qe1GQSHD$V%Ri5j$JiL=wMIZeMS3FmbM&%`5R|wsgves1hW~#5ZTGZu9Td!?N
z*<pIrwySi5`^LU+8rN$2l^~_<rtMG8m05rP+|F=*=j)b5lYA`#EoZ)VS+QtKZrdxL
zDbrm`)w*8Au)T_Ldlgeun%48`jKtNQDRc9$zN%45nVbLY#?r7$QEzIX<zEfg#?P8Z
z&Mg<Pp6~Pq%9*a!KUY8SO-<*b?J8aOCTUc8FM6T7`apEp#O+TW?5;TL$oGBrzMO^s
zZr+@~tl+QB$uGw0N5s|$t`X1??BQTzv2EgW3_WkN@zlGT9~0hqEvtI!Z@2IHdxofh
zc~5oci%sq4Jh7caZ@v1OwLZH}mj686w#7z0d+D#8ZI|Bn<oq*A(peHdm7giDZ-1uu
zrZr#7pJvAEYx{FnM^^5-ar%_;$GC5=eQwXU&#tiBZ<lGpxqiQXW~ky?b(?ke%R@B#
zZl)fpoa}#G?YGsH%8*Zw9zXo~*{pQ$<JEn_m2>p&pFiGjzy0oG!<pYhjCOnO*dMoV
z?!Nzf-hWZOy4k(Up~^3>`_G&|kBV&kuWJc=HG8+^7M$F`t0%ebpz2|>9Vs{1rt{oR
z=wNUDYk6zF_wQoZVu9MVM_!zN)sXY+?!otRb^ITyTkZ$nE&4I1?({DIpI>*^m{(*~
zZ+d&$&~Lu|f9Zes{-5F%6#ZVg(5Q9x#cLCLl~ZS#Pc}L`+jHi#vrBwFrv)vmG*;Xy
zXQQ?EY3nJabKFyx&J)+-cG3@-)*E+XRl=X@f7w@6mJ~1fa%AR>XPlbc>{XlB?!W!u
zo!XImz8!mK3xq7Y$IBu0^bJpW*)dydc8l)G5=-Y^oh-0$|J=Rd^Y+%Bzqc<v|NiFf
z?{D7z{^o7<H>v&Bz4zzVZcPpm7h=i{OS!$~#J0$Zxto=4r@3xB+m$n0^ro5iCXmFQ
zKizR^N47<Fd^J+McDf}(UpQ&64-&_8o#_^-@Rn(Zesgd9E}ryW|H!>~j;K3#;{~Jc
zRPI#Tvg31+YewPor(G8wojWa>DB`RizP*5Du>@PD;<ZOk0go*dI2?Ol9|#JqiYN>b
zbBgyk^8h+JtT1ow|5ee-O%f^`NfQ(@nm{P0$tVMcuCr_vR=UozRYd7JOFFBg#HZ=?
zS0e=+by+~@i5SaP0hX--DtAv91}rfOSh9&XalwhH-&q|musAO8a6C76u0DrTe896i
zH-9%7JwJC({K(N*;W?r#2YJn0+)q7VyS?AaK%uFctErlc=`=UfR!*j^oKB~;nYU^-
zhk;P>+M<6tC$^r-44RNV^>e!8y3l*`r|t}^tPf*bdnxW!Q`i6KRJ|1y*7<wpvNpQ0
zAfttDQyf=Mv3&ovEbLj-(>EYfZbnYXp1OIn!ga0u^wf2sC&R8j-NI=0L2FZFhtlj;
zjZJ6gOzl2lRvf0Qbo*1}&5mtpSEjSw)Nz^pqT{U7rpAUFIx4f-LCn1kIWimnEi`rM
zy}$R^`&A!b1yvTXCTUM_k5<^ml(Hr?MSH=CSuqn$cPeFnvh+~cq&DA~1;osdXnhpo
z{P&QciKU-u%Yu`JSH+vuj)%l^%#sPNmF3Mze(uOTF?S71;_V3D(|tFNJ!@p^$jy;U
zYq)XjSs^=!S?Xgvr&@E<yQL>~O;>sy*R=-Dxw4~J-*riOQbh68fR@Cwp-zHrrs15f
z8D(Dzzw)|Yc=O`16Ebn-_{BGQ3V|(Y<;<N*CcC9QL?V07cUmfCw^)X{r}Qe!TyNU+
z>`-5XGRH=@6P)$}*)4P4F>iD`@e{<f{Oz97yWoVB<3!UYrEC`0YaCr`j)_M0YCHAr
z_R`oiH6TTM;fXLO!C7}hodj35nC`A<GTr^FO?k(ZR>klR)7=8uWs29{IIX$a8nKys
zW7>78sLk4&(!yJ$$}jo}X4$yzUd6Y`CE@n$>lO_^_8;P5yKAFg$#9V8S<l<h7RjE^
z2Cg#>TJX=l?kAAQ_SnWpFwNlHVU?8|<(6gkDck*a?p@r@sd!iTvDCe|>1$*T?r7V7
zel>%G!McUb+y{5G@#(QVo>g4nDsg>Iv-Y7K&tzf*wchoyg|`&G1(9sWC%WuCH1Xb<
zYrFK!Z*A-|__m!nQ9053P*}@a&f5ZMx!1q0{MoVQtw`i;%}v{aQ+Su2kn);nI%Uz?
zwX4IJzO3d!MkOnGN>-k+x^!gAVx^+0!?Av@e)+ddUq|b8thCD4axCzMqHVJ^nO<{3
z(OV9t=B&`z_K$3#&#ZdPR~TJSJh$X<g|c?BteWIa!*tg4inrl%mf9AFxr@RM1UgOJ
z%%tb0Srm5Q=!(8KlgcXnMFh8;$iJc8B*qyvEnITme^GZe@%C>VP2V_*rhYdJm)tSI
zs6a9Gfzzo|8Z)!C%Nc^)nrCiU-8@;7Sv{ET)%3sC7bfJrtW3$jmF=1{St$AGiKhoj
znhxkREnrPa4tYGC`_s7vO&$WJh4*hq%<TTXZei!n9rJVENVIUK=IMM83t>xil5F98
znQ`v@+)&n?eou9jIg*+buD8deh^2hJoxO%>c_5qL;xk{h<qu8WShTMFhrl|XoW*Cp
zE)iL`x<{wl@9K@4g#wYi=kuCRWYv^Oxm@Ged|F|X+YDK@z>`kj&;PdU*K%03V{+%(
zUkWojeLstzeBUsI`|OhPl!7DiH~kb^Zg92;WNRpvF)1FI<(Hk|yZpo~zlpi~m9ni|
zua$IpopqfmB_yk9HM8?-XU6SUVaCqxYw}uuypFibwQ-kdQmHnJHRG!=jxz4$(XKai
z=j4edZZFss+HzOvYl6!S-E;e*=M)yH*|M6(MdzulD9pE<!^iE|`?w^6BkN9Y;?0AC
z2RE4Q-jOU|m9AdS<MjN^v%Z~`E=Fz125U5%6MZFlvQ_4uuH_Wu$=+$M*z_h`pr@oM
zVTmw{@?3><*6A@Bp>sw5I4nOCsmLqbXQ{oU@sMG9t4WAO+H6B<fr+{QbL?EUnV)=G
zm~-Hs3d?b}H@;2<D9psz?2csTO%h5n(v$WIJiIYsO)H1#yqs1J(>nFPN0&BcN%Y6m
z7iKO{xE>#qrg7q{_;kr<u0<~2`kGaKf9vZyu>0h?Ibxf)hop!u<Jk3L&(?PmD|FVM
zQ*PojUBB0wO?KXzeXnCVH`TB7Z4j6+BQz)}AaSS6>N&keFa2|K{CGml^Z6`}O<_71
zG;PsA|I$*ax2<}YPU@|_dP!k&%!lB+r@t;;^ID5Z^rXDg-je7O%FoZ<sQ>cmK||d{
zM?t@Wr%C5--nmj?xB1PC;%&yeDt2jqSzUSj?9|Q5o=I!;&WT^z@$lcZpMjsY%S?YB
zBT=Fxy+>?ia8q^1ddt)#^;ge&8+N6wVf`%El>DaZ+}w-5x)k)dn7p~06f{aVH^vEC
zgqI2K5zFupC{g(7{6eZ}fq$m5ZByFwzd9}#By0082KimJdokf}%HQCCMG6HMEBEg*
z`}OBbQ}TpaS;BJ~16_YDXF1rRS)Z|b_0l^ZTK_HPI99z=>`Lfu&eF5bm&8ntFm}v6
zwIE)j`A&$Wi)83h={4`}@Jv{LZg*y1BipCCS*y8vX5ZR-@KW)EgQqv%<1O_0FY;)S
zQOC+(+k0--n5{o_J$!chuCMXun(hCnW>n1k>!i71dR({R2F01G&s!D0PdD4Vo!iTp
z@%S0>^?Pm3&)q2UrPZc--Gp`4lTSPER|?Kb@}B!`a<^mnxo-|E3wyInf3k`-TWFqY
zKQXOVT5ySS(+07VuLTlSgZy1s1j7ZiE|#91=i^qhAeg0G#c}eIrlwz2@7sS&cN6Qs
zu<6{5RX-<9KC@Jz;Y@dI0N0{xbNrn(?>j3py}G~iPv;%M>%UvR^d-bE+17Y5>?-@k
z(8!$;*Q^!wp8EVfZg@TC`*zLw?Fp`Yy;&Ry+glBD?V4WYz20=+m``e@Oiz2xpCGpH
zp=^KM+;~?%6p_i%_ne*eVCzZIJMl>yuH6yj*rlxZm8((4bqeFjUIp{zi|RQH<0h<U
z>dPtm_|sN?`RU+)*7x?m{dRo+^UnVNUuM@c#P6T~t$w}z{QmvV_th)Ezjs1B_veyl
z+iE_$?VGmU<yg_*bCEjN{3jkw`a2;~=i2!NN0a_`MCrtBJGy$?58vJ9xgV|9?%#Y%
zdUbjJp0qB3+@I3x=TtdH@5?&<`;(Y)v`5vMD~Zd3Vk=!EBT_zAZA}kJ`MvGPv)s<F
zw}n=3SDlvc-IMRllkfc{-@7E=d&>4JuBykrACo?<{o;_)`?uEn)}P)`8=vxd--%zf
z6R-YOI{n@C6!*VFO7FK?@424$|DW66-><&Cm%h6{Jof)RhQH!xez2xjybroteYNyG
z?}feB^S;OJzFYma?ETi;5YFG~x8L4xy}keXJ8`A|KlWXGTz$3oJ+FaZ+L_CGQBMkN
z-v9Gxy)XW1e-LPW(Mf@ys_umg*H3x5FJRe!r<o7AQ;+FSiL6_A<`sA9wRnxqKb>a2
z74K2xJoeiu-f;Dix!+s&J<1ZQz9$}4xAej*?bd7Ynp=K)EnJ@w^XuPlr}&jSzWo2*
zx^I!s$?|*Rzq%S#pI64e(DqZWJP*>}{lxA)cdhH=NyU5gzld)3xc712g>WssoyUJW
z#Rol`e&&-Xv-huW`!0mf@w;^Lduz!&X|YKwle}Z>O#O~Nb$%N1=h;20<9$bA`1Z00
zF}J8kpC*=Q<z=s}U%d6((bRAD`t5=rw`txrS^9ce$<-;-uGbm8yfKB(H}n3KGT+SG
zJ;}FQk8NY_%l$5U`<(r@xd}PDFWmSxapT>03*Y{6-u;><cP(%3+P$0>&e7S(s5Uwq
z8C`y0b@iOrE77g{<yV)pUVG1#b5Hcb)h%I-Ta>QrEYuD-E3~WYs?I{~#Ir)XW?j=+
zs9khcXxA!`RP$M(UAu1Rd<<J|q+ApHXsusr-LGXw9`yb%-1qK(?bL`ppapgp_G=rQ
zh`zM&!2H`?m$okdG(~dh+&vtUD`d_;+VT1Nk8QgrX#0Q3U9S?w%w7@Rka1Q_VcKTa
zdtpYm=I>hmcV+00<CEA-msl0G2c0caTA7m1yE4skVTtbg?|n;taeec+v9+bv+hR*g
zviFW0&T!qt&2wi@+pzZTw$xc+Q(w9`uc}PY$o)0zjH*=7*;wVwuDm0MOAGeC%DX(9
zX_xYKjRn?KygT15Hhc2gyy$LV_K8yWb&A(z_qN59vq%@+4a_-F>b^<wy2FhL-rMfz
zEoj^j`d}`vYI>g7gf%;39fFsHG5T(bVm0y27LC}az2M=V&<AtXRNM2!JWi&qKCs)%
zYtwDL4}O7@a<+5tF})UDyuVn2Gwm(Y`w(5lwXq<j8@6)qxx9pD+FPdgCYsKryAN3V
zdM&!3_rY(n(d2ybisc$J&u-^7+5YuXP-5|KEz!)prlm?}*KP?}Qn}5sdyoFbJ8%8B
zS4J<YeC6P6;;)y{`RpaT?nN8Ng{rfb8*Fj;tkOO$<6W~_ujzNk;ECUlWxqFCuJm4Z
z<=)eCx=hS1gC{-<%hbAbr@+^B*6uSaPgK9%R$dl7@%z=>_ivUdy$`)^cXU$M9`oei
zBHmh`?k&BwJR)e~ceafANuI9vvbWb3sfbqQH~ix^uZq9>?c~IeC)}%kH!<Fuy|MO%
znrP+wptsBpD?84yH!Dq=c*JRLvl|E>dS+Y7C&dZF+j$qJ$ZQNqe>ri(QpJ--P7BX4
zCO>@i)zL)%j#<j=ww${Y)=F(kbv83gady6Do)YVP&1lM#oQ)fvhD}<1^w2cz(>6w8
z+vYew>)*KHs^3iWV~4`jC;Uv($;n(Hsg}|w!5u9%X=kENPNv7Q=!F~dGd-47ZQQU_
zac0`FL(|$)x^7!6(A}-6yD{(Ukw@QNzVH9`GXJJ)=IPS4OBJtgoz)g|y-KPmRy*rN
z>DrZw*SD@}i@ClFB#?dL{)_i#jrljlUz)c6V*IVU+dpL~J74<~{b-%;yW5Zc>wovy
z;JDSSb6d~G+ewSoM)~N3cHa-l{pla4zvGY1{Qr@ECW~9lzkb_(_S+x7d|rI{{r>sO
z&;Rbn+K0(-O<SKoJ1YOL_IaMxMT%lyH?HV*?Y{o}UGKdAr#`Qmn8Kl2tMSswGlco7
zvqERqf+!A^K;95`7u#PhvgWN_J2XF?ws8_Pcdc`GSLm{Fa!oW<>JJEv=natmv}o#y
znW;~nHkGQ}aB0d_n9$S2z02c*dy}G&3-6RaUEGVNdFvf#4Lq%yzl7<e*-nM5ohjR5
z1h&R(%FyeG(v!3nchQw!Jgq<Q^mI5%-KE_0<>;4<H81x4TC6j9KNst_e^U?K+b<Mx
zHk@hoPp<^ic+NG?v>i|OX*>RmvH7_=e)s2>2dww(F;(Kwdl~y~p@;j+BK1Ed+xtFl
z{Bbt^wS;_kX;1%AM+IN~I|~+lc{MA*WRIWsiNA8c?>?=#Sv%(`^A%Oc06ls6{eO%@
z{C?Pc-+lb;=Z~KYt>gH>%f{Z-%Y6SYW$d>!Z@ts^$NwMgVo~$d-?>nU^HpQ-<<+~L
z0v|UuDw%(@P+PP?YT@cmjWuo==f4DY28e_x?>(t5!g|$1pO4FBRqvdjX>vO!_-~#s
z7rgyXou!<|nMq86XQEsTy;*hARyG{f5#2CjDU;adkON86xFXI3F?L6Ghn<OfGUI88
z`o%qAv7rh7-~RqsS3fWR_wAp@3(GC;tv>sEfy2!t9<C|Vl|34-hrg25)(_KI^Tv)%
zygvGX6~Csz9_<T|wa6904wH74HI|1>VA&L#@YPBnjw@ze@R|MptnU4fiSw+Vc<Rs3
ziX9WU-}iklxw>+7SbIYgQ}=a6@wf#tOpiVladez6O<baQy>)6^jCh*Vr;oic;^J|i
z9zXo~@%grDn-ty!|5nFe-cVxYv-xk<9ow3k=@0W7Z(X1H_3!hym4*}7PLuI_zh~90
zKm1%%&i-F;VD~-Mmj$~I?7kN&8^Fa?5|;e^_Pc@|>m_ya!%qg3JPD|re2ROj`N=&2
zmG>`jPZeLV=R)+~J)18E#PxmJl~LJxihHW~p*;ebIhE1AnK_l7K8a7?nzw#E*15b(
zu5Z6z`^2EvAB>-dUoh2o|Cw<Awe{4bzz^Y-f@(q^eOz5_f<5mmceo~+F52S@C!KOn
zoNj8$>d;u>R#h3K!L@4Qy<K6;<x-V2U!RN-IP00pI+Hu6E|hc8&5EtvicOko@7GVy
zU;f_y{qE;4v!2UL{_s5a*W$;kd!5cd|7Xbh=5F#V&l873;!37?=q!5n;KKfi`+xs#
zm1H=x)=@XI?Dw6MM^;GryG%%Po&Gvs*nFwAou2mX`O6;#x9oafzj2Xmvzb$R2Kz3S
z>CtTFJnIU7_*_gm`SHZZ1BK@|>OHGo^ZGMizUJM4Rn}a6uiiB;_TKet*1c$P&s_nR
z+9xSa=?R$>7b@mi!RvA;d%`_NY0pJcE><4-KYLXId6i^4TCOYX-C;H9gH%V$bqAF_
zY%kw#iV=FLpdZIFX$9LvzE4Sf6Q77Ka5!|F^Y1kV`N>~4r`M=>$Gdd9*6auhG&sTg
zFn;BVkKubh?cH;6R(PE2o=;j_s|EWmy!3tU^U>0jOXZHH%b~eWAWG<}Lec3joKrmx
zWh=bj_vE{${@*^8k5|DClYMO^tuf()R7yCgs8Nzl@#jT2ugiSW2dO7tPdXg34*ca8
z`@wx;)u&Tg3J>Rc=U)+U70vhD(ImCI`R3*s8fC}#2ugbFI4K=HZ>PBHq77AnYrNN+
z^}05mD;5*#Je1{PXtUqfRK!)PXRSn}U4AV4q#t&UPaknyy>Y28efH1Q3m0l^2(ghr
z7cb1~>S+2%a(7o#){{m}A=axWoQ1_(Z=GlkR^eJLn5En|v-j=H3V{>S=8Y}?eeZ4K
zRB_z<_@dhT=PW{TJNL|XR$VyrQKIhq^=y*@R{91ee6^7>3Ox5g8I<hw1Us3EpG11A
zaIKp7+&xC6YW;QY`QJ|mr>*XIBeX~<!pY~1o}yY<+`iZucb|KndG}c*>>h92jJxJu
zYxacf-tg(v*FzrGRhRf8yOX$1b4SOB{3@vVpi^I}Ez)|mV^`(8Kvto9v7e;k6&}`F
zYBzZ;nlbUJfX5;!mxX$-8&<2o;rUp#tcywe=}S}b*0l4&KRrTLeJtAjIZ{lf^q{fO
zRBo%lm2JXP4^Q&j(&hR(VoRs%?EsbEb0@C2v0BXb-S6KI`L8F&X?MBYIkhYPg1|@a
zRUOeg`#*Dt7TSjdM)aQG4;2YfmRl6!@~FuFQ7$Ta>+tBU!z=R%g~<w!-TY5|U2@0a
zmi2^hhjz9c@u+6M6nAr*v)QC?r%pOQdUr|qiA|ltq_vYhuFNbB`JI2?et*3k|MitN
z&ub4Xem#Zv@|Qh6Mdo24QVu)+?r?tBv1Q5McP_IG6gsu6*lHv{gdVugc%Q{4W9yMU
zr+x`fub=Nfz5e;(D&9;<;q;f!{}t}9mFpM(ULI*A|9fLJhiBE_+CAshIc69A4xISK
zQ`$x77LS+U3B_=)Lra|lwANPggo;^(23^^-KW^Ul*O7gHpVpWC@41>LF7o^5o;v83
ziuO4ZcKk8Ep}TaIf!LN=>$%=NWjM1Wt6D<vRE)j-dU4&G8OJ{EU;gHntX#d`Z@05`
zf2Or%+~r&D{&?M|^DA5C%y;>}!t3sL`QGe1{pWwbD-k?oTg8>OP5;!4mLql3Jnf&g
z#YV6>sLWOV5pI>pn6$rSiv7Q^iCeN8kNgY0#p{^#dh#U)?+tdEFP)phujMfwiL*Q_
zF!6@=@}CMq)ldI?^mg8GPIIzTQ}{8f=lvp~D!S(tH-`Ih*L%E~`gwX(<BjU2ss<|G
z;xa`=IO8OvCtk~+ctiX7`%g?8!_UQEGi7-_N9@?d2U9nm%bdDgEwhxJ^Beb?;;2=Q
zAoG@&imOzlt|{jG<nU(ir6OUW>h)V1npxJbS<EgYXYucp;JW+w&z-;gywIz^{_V1l
zkGolauNTwN*ZaWg-~Kuz%y0YkhlV@LKd*mx{Qt=X@BP@$xSl(|d;cow37h?+o_MA1
zcX;Bp^Pp|}J*lS}T_>g%T|cF`(amn!&qCF=Aqod2TI{AwyEQT4$iaV;%a|tgyini$
z_;20bQy<U#{aQEwU%CCe{^jw<58F4JrfdGl^?G@})bm7P#L3%V|JlUu^N$k{+ZUt%
z@6^s;Zx20vG{Mqik+G_uyuJMV-N(PAzkJDirm|?|s|i_tL2H&hOS)boTJT-xpH1DT
z-63}q%k67-->ZNB?$enQ8JB15y7Bo}<t_RB_xt<X?Zp3Vdvk@qUM|@Azhq9A>*GeX
zocL~O2_C~G%g=hK?6rUOtvYVeL#9tPkwO#HFHLH&IXsOeSw%w0G2*VCkPcJh8RMM_
zSqb7>o##D#-n3#@(XP4NZ`SPKc=KmN!S=2K`xBCuDMc>pUh!`K{P6Mb^^fmK{rqNi
zv3%>v-zqcwzs%NLYV+gcpZCS(|Lb<$IGLua$-O}%a)xr%%0MHZz^fbD`9crOiw|L}
z&N1OsI-cCWOwBRH^V^SXA(uY&?x;}pGw}~ulH9wtZ0kueIUF5#wfsb1cjfT|3lA!n
zSIU%qcG~Iu?vus*V3z6r?Av6TPA_MU;=38TYEjm1j^G`!TUN&CJSYoXv8nLD+e0A_
z%Py`gc&7E~N#haz@9atmqM~wB-`BWbt~p;JlBRRBx?w?jQrVPP_L{4@w)dVdb+6R1
z6xu1<qEz&o&-F=}cGrowg(4GgOKK`@pC9Csr?{=-k=p6S&n9YIYqJp)?@Y?|;OTMM
zXr{vFw&-5JUUc;9|9;QsPuFzc8FP7cvi9_vQ;R-7uC)Ind4}`LPrl6K%d$@-ZC&@s
zYkiXNx+LLskE(T(h4o-4?7dduq8lxrj?}7jZB=Z}QR&)hxU07NccQP+<gK^aXU@2-
zKXb<I@R>I}Za7GMJYVWAadJ}F5?Sp_eWIDiyS5~|-rA`2c7nUAjPlzF?n)DKJ!7{m
z(Tfh6uDkN|v@5CJuQsKYDq7tYn48z(XTC&M`cfZn=JB>I$<DVnD!#ojp)AM4`r5bZ
z$3Iu9x93DnmS->K_-7znZhT^W)AP5tt$O`E_v~4GCU~Zhq1WkC2gRJ;7ONX?JwNx%
z6}xhy;NP0xYXt5pURxE*E}0bP;K;HvHOgt_<^DO5OdC6W&uKT_IHD%oyM<+X|0PEc
zm5NlKXKR0kvVOn)Fe>Vs)6&2CAx2NcH&uT5BQWpogkR9L!rO~(u&Fw0bgoZ(UbQu)
zakc6B-|s%%c>DC=&gb)IN3UIZWYWQ1%hx)-o41Ya=MOi#Jrlp@Ke;QtQ`Tm!jQp{E
zwfDa7e|P-<+i(9T_1^L{vtLne*smOwdA#-KN$1~d9^HA&=2h*LSu)WhB+@eYcST&=
zw~u>xPBWi;wX^a+`^6LgN{&95<oN43bF*!8nch^z63gEk!ms^bt-Z#nlXv>hFRPpd
zB>v8;sQYnHkz>A{)OmiP2RUEX>G6f1S$(hLfm-EX8Nn@z>yIcGO)t;=pl2li`FXBF
zHc!A*nX7`1p??m{JHOeQW%~K!fdU7gUj8W7{@_!k{cWZ2>iQc>^PS62#NNAlZ~mnd
z?DJ(e74ua7`hNRgiKXpt_pf}a+OJ$v^qy>=^l5d2Ow+38vS)j?EWVdtB(~w)>q|d4
zYxUlrRcd<uJT|V}@EF^n9Hm(jk|+OKORrnHOP|H;RQ21A1%Z{<<pd*NUFu8Te7`2)
zj$%3g@*On>3*CO!uqypHTyuy?Ff!=!uSum}L>QR-!p=?E-PM^_dGW0MWrKeeKIQjL
z3H=GGe=+~0viT#nj-YBDFE3M#r87*uv`?6Nwm;6A#CPzjitL`1h#fP6Isc!n_c0V$
zs?N&eCE4J(QdnY&Riop{0Jeq4%;O$QU;W`0Q2MHLp}A^_=Sz>54w?R%>z%!pH7rZv
zO7L37uujq^Q|Q1HHAWdp8HqQNZzNo{->5=Gt8#m?*To)>*xk3WOg8zg)v;TpJzHN(
zWM4PD=EtnPzH#dH1E;bRo|<m>Bo*<qZ{sJ~<fqohPPH$Z%I~Tz-W8@NvUbj~aKFde
z7kBKudF+19<GgK!=C^mu&b@Qi?A#vd^n1O=?~lzae|%<l;j`H4&+GP9Uca{|JOAER
z^Y^!Am%lx``x`{~*mb|h*%vL^R%zZ>eps|fCB|<`%jwQZ1(tzAdg@+>p1O$tS|sXS
zebxT3bl-KE^%ikOHbpiCcZ%;6?or5nf7GwVDEjLqXO2{{H!E4Ivkp$Ymbj!;B2(5a
zs(s?MM4nd%_GB!HGYQg{S}D$Zr<?7aEA#h7jnx+q?9F%(XHuXqwF4%+^?`$~MF;EM
zR`D2r?sdyquLpbWlSr%bdMSR`$6u2%dB#i4r3vfa&T1As^<_z<#KJR|P5G6lRPIVk
zYBBP^9@7(WX<LeeljrS8KPUKIY`nT=x#{c7vsJg)t=~n<eqY=7JM4Jv>c{)87T$lg
zBfs>{b}NYRuQ2!8)r<FC4ZQzqMSkg(?N(U_`=T~17JCyTXY$ISfn)N#z;*_g8DDy&
z{s<;sGS0f;-r{+F1!H|`K-agvT#jhrZAv+wpn@&JKf--R@Xlk!F6X$UP73Zc(>ZB7
z{mDG<`twWoKfiRp_@(atFVo_yPV4V|I{n@!@BE)jxBv0bu@^esf8>+$Mik~610n4U
zy|jsJ2`+x>QdMui2Hz<?^HRk%=J*`HpfzA-B#2p4dgi5zvbNImnNq=mmglczbe*VN
zb}SI&07t={w_e9^P29cs+#-RU)_ni^tSUEI`53DopL6%}oRfy>-%X;6&fK&;J6Wc<
z%->k$_?%}Yc`YYy#-_V=%(+`?*K#7#(OAJa``QcUBcWH;i!8a&d;(1GfYJ+^PwecD
z*Syfdobvd@t%*~Wz1`j11NK{8VRr1D+-RqJZbp!H`Sz*1Z=brG`}EzmqHnQPzxDRk
zPQSO$JOBRD?e8z$E`RxU_m^+J%GOF-*7v=3xUkP^6|>{-S2OrnOxGz}30(hs`Ovat
zy^<wMeUHby@aUd>?QChOuwYcqm9M@o85=LIFX(%f-Ekplah0^vmK!VnrA1GXIcF$-
zVDf3pXG|4ER>$XvPP%RRY|Vsgj<Ut2p4tohcJ5lTHQ;zm?4_&~$>)?WRjml!tk<Db
zB)71dr(=%$3q59~=YqS=H=L+Ea;v%}&-8}a+79<M9im}JxU`d6r$#ZBSDM&V-!Q&m
zxZ{KIDHB!Y66cq*E{QJn_Hy@P_p0`?_7dNB(8~97!Qlt94vID(F_>jg<*{a|=i>Gw
zQBn_WRm!hhyjR@0+pU`a<=xKpOI*4F9%*aNRhsg{%WD;f>b^-q+D@J8m#}mPJkp+(
zR_RgWI$4*$bF0bIPX%AP!)jke@qn_POicBI9nW_>o>AfAAHJ=6*QUGK>dI3pT>Q&-
zRP8!^^|I``dG885jWuE?s4cIQIxO8cll5{-Z{j2ADE@HkTd#w3IZ~~b?bQoy3|N+Y
z>-D846Tx%|*Gt8hrB=OPCVa7)>s95Ew8T%{R>g2ry5XdC%evbQ*GsP0dr4BpsWbJG
zCzz~+kPJC<<TyV}h;ZX)tMJfSe4N=vW!gn&COM(gF9I3)J?*`UgPb~JgSPo-Yz#X!
zgH!dI*YY9`)l!f2Vi2ib!tu0JL2mO>C|cb9+(t!ivHJ@U$^H^V9tV+|Q{@&vf3;z*
zbk<tlt=HVvwoboVxvt$s-Rk<+2`^lp@0Jsq`(n;@=S9MEzgKybOnSDjMQHAlpZW`r
zG+&mP5%B0>rksz)#|NrkAE<s!i0%3~A;Mcc<-?*(FCUGSVY9@9y;dy^PUiRAT2l2!
zu~2EQQnkk%kL4%jgw!vs+|+)g^HRyofJZKwr+hRD7n$U$O|iJx6_xF}cI%>RQ7g9=
zEO~#a<B-8ge%8r<0t`-mV4chpk3<Ovm%gfP5ehDSRSzZ`!DMrbP_U=5M(Q%Jx)TPQ
z6F#}LMgA_Cp*ZELGOr2G=BgzN+Y+C7YR*iVQ5oc=E}1rOijY^^O!Z7JPmRwizFM5A
zv#xEK6!6S*R)o+g8_!^Gr<ttR?=)rlB+YoK+w1kN&q_z|)E77QWq#KuaimsVzN+Fh
z^InN<XTUP^*uWxRKW{G{<MaOAVDdDW<o4z<=3cf`wJlQn@{S`0t39P=N~D>3CJP@v
zGu=c{b;{34%a*hl#a~)7CE%I&tZ720YBF7GJ&l8}KbbPi=uC~K>T(|Ac(0e5htD)=
zI=bHZ%$(iSxw5J%VeNAEYgnlzl2e3&zy7gUosgt;@JL8g=SmjgU{1AVLT7xA_HX7&
z6MJ$zvfB3CE3W0DW^IwKm!zZ*uTjdJdN#CWUef!Qn&0N3QE_iyz6{M|TY6^dB~7ii
znc7P?hwvDOd#SIINE7pUzDh!Evg+G>xk)>xeKX^?X6tNH5me<8@)1#)q2XZ=I3Zz?
z!a*0urp_i7VR3Pvm>7e?kAC6vIaKxTz5FG-@W@f?*hZx(J1UlE2ecT8FK@q9UA1B_
z2BkV9@YEK+=O0(T7WBF`%}Q?JlH8TI!A#kOOLApnOD{gWewep8n=PD2@1cPs*Vzs;
zxwW=Yl`eYrRVEczs;^XTX(;Bh{9(0CF~|2t*9O%@<wWL0+eFz!?wncnhi?5=Ub7cM
z*&1$wF!tIX(klIWB2qEe5>(8Y&6F(;^SFH)G&$d!dVG%PrD>az&vE^WsD0mm_4~hw
z+V#~ZI_8M}*?8}s{Oh-WH^!}8?S78ScB7O3nhV0(Uygv7r({89L@rJ~$E6u>qRzBc
zn*p|ze<E}vvEY@xDy%E26LFy%%vNWU1QPjQnAAJA*e<wyhE?!egI}?j%MIow>*N-S
zsuVkDb2#HfU##+-Tf$zLhJ#6OFlq1H5;kF1Rm)a?XCtLGwNeuV6W?Ag=;6qo&z9^G
z@!Ld1`OvNJyF7Y0vhTnCWT3RBc0Kpujt$@T>T!Z4BzjJKZP>!|X2xsAOyd)V*O@Pw
zO-NqHzJyodcnrTsw0)nY_K(Xu_S*N}{*8sw1_g0r58I(Rq9-yG&O~A`|72YFeR09}
z#g6aYoA33r?Um=PvX}Z&XEI?|)sr<7%(lvaVkHtBD>|T95u28Ljw|!?DZ_Nu{|{2%
z%kO#fw|V;B`VX7F^T&PrckuK*`-+>t+4ZaMra6N2M}YK4YlHOrih}f)b%FFNABE|!
zdH1*Z{NDNxJHPYWegAjx`91p|cYd?aU%F1tQhP~n8c2)SW{?)=lZNT7Tc$plvDxXC
zOVOFggZA4m|9)Op_5Y6F`}wzD{w=oNTc5Z5`}wzD{_T_nCyvbK6Cf?7U@am=XCf7I
z*)5-~*`N{@QKh{kTvEYFd&zVO5P4l3M2dsSa4`iZ?GxU{7q|}LLUTm_=q&uax#iq>
zAuD_D7swoNusMWjw^(XVxXP7yjw@xW(~Qkbk!uB>t$_&t_<H%=`uSybf46*oy?k!G
z{o6mkGM``fx87d|$qv5PKtXod6y)=kv!GQfsl{g^6@72X%)Vu)vd#X#wc^G`R%w=O
z7Ht-97Ud>J$NmM*8!FbyYI<yBKXGj0R%LDXX?;C?JbhpKO8OegOwUCy+`1}}%$O1W
zxSMrBY_G0ZLz(Hih=#p}E5!xB9b1y%azlD@mtbPPkC$7^Uc;4=fggJ%Meon>{Mb8F
z1Pc`|D$7{!_mP?7M{$>|!-4t1cNm!d%}HVt`0!jrzhS}jCCW=XE_h!`403s)`cgtu
z>C2>&1`)w3Pb;gg6Gw9<o#rk!oc-j}p_nsjJNFofZ}!yFKLZgC`>?a-dGXJ4&p*vQ
z|I~W^>2ka2?`!n#|BQ)y^=jf~rpQ=u7>a{~mK7AVrH-JW1qna6Zf(8)-p!w1&z)Oe
zURJkv^XJ!d=f=N(^QUV0m8;6>tefs>o{4N+Q_fW!rto?$C^J+oH-HKsT(4JBSGw@?
zYVNu5u`m9-a(W&vZnb~cg`ZbhE&V|j-~4|z|3b-`$i_GSpNXeiJzL}Ot^V_GBiZ6G
zh2Q&Mn$83jOaJnpf3LUR|Nr*SzxU6*|6f-3KOE}l6Y2gSm8-dbo>-ZDjw^*4j^?>-
zIH69H=d}_FvQ7H%w+I^wdi84JWtGU60&Q}NJhtHU_!1nJR-mw)%9nVKt8QuX2W{Er
z_?HrYypBHzw_>~hmK_he^tRPfd2*iq8OfO?)rsd$Fz>wQ@Xq?j%#RC{EtRfE%opXc
zE0?HgGyGwb^5N#G2gS1vrrR|?bN7=z!*y<|r+~<_U2d}$TR#hVGCh`k{~N0xsn-wc
z@8+w!S^DAhy5{&Y**}|JFW6k_67gH4TOjdmu!>X5R{uf{!E1J|iXg#e0o{b3j>-ZP
zdX=-?!}@e&C1oXLUdq0daqQ)<V=e!ny`wq);8ck>6N`UtVR}4+n;}#5n4x&ZC9j@j
zy$?&SN*s>~_trM*vow7vweEH8yQ0j!XAQ&mZmD`cBl}&`id4rd+ds&rf6y<UI<4}F
z&g=5cZ2O;^{+PS*;QRT!b<=Y{JXba4XKIRd*sP#%gVltcsVTN0#o>ufkHPYkB00Ai
z#!{C2%4aMY!K5gNjJ!U-UGh)mjDuJx32<4Ja?}fymNyE4iitJHuK3CvuI7NC<nIpN
z+|CHpUpGPiuY&zA$GR`ge_pWvytw}O>nE%o?~g`knniw6&YV@HSk(9Q_&byP&Mmw?
zni@(WVxA7}^XGRM+8nyoucG`Z`+0M`wfu=&I}N`0C%%|}=z@Lg!g?;}{~~SwG<p68
zOZ-}H5OVp!^>gg|%j|w^{d{o!T>iSZH6I|_{@mIL$x%V7pd1y#SsbRI9SX`(UQ<Ci
zDoFLr*5)<mxr@Imy#9RXj_rwGe;!<a&c5Hy{>Rs!2iKqHudA*5@cMIe{CxR8zxG_Y
zs=R#R7Q6iJpS|l3=J)f~3Fm%@&TYOwLHf_OyARC2v)yGXDNX%a9;WpA&!IV2Pws0p
zc)l`4-f70?KU=>E$O@)~2u*T|(+gR6GemS&ZotBuY*?t=dl%+Uv=A`4U|uPw!98>G
zZKr2XwoRx!pqt{C2jZ@3>swG39M;Nldsfk^UqPC>3`|pf9?J-<NRcvZTp+cz&mmyr
zy0**}>`h&v;*3pQq3O&`U7_2z?t3sNxjCUXp%t`O+CbrjV8U}xLq@?>dukFk3WQYt
zOv+ST@#)jiOHKh#pLQ>8U2w|Oo~dcyoK4CuFHUQkJGB@pq`Gw&%v-XDL-5$LDWZx_
zz0>uli8Yn_f0l5GxM;FY`B2xgKv}^sliruhqMl|iZ(Wc*W&K>Srgee)%Az=Sx&6GA
zsrW+5Y6`RC^%ZQNxE5Aj-T+#Z$mZy>;-kqDC8plf;`s~WnlO>a-UGrcjp{v8PZ}E3
zds5RPI4TZBgcWlg+_+@TGm)m`PBv?W2b`Z&<*rn0ZHZC5QW5237Oo%9p~;fHGTO*t
z!?dTft&Kf@8+L4%mTAr=_{;THw_{7)#BU-33*(o_a=E+^e>&T`bwT`;{C6TvzjW{4
z(PS}=+qW~ABP(WmYr}$Lmlv(m43T@P$1*z*gIu_Jv%?0-t4{f?7YZ-^5>+ahv!$w)
zLsorltfJF#PwnuIfX7ccG8I>RENa;zu%>eB_FzR+^5$(#mh8B1Wn7N&n$^~=3)WBB
zJJ;z#_^Eq-iZ8S?-?Iw-^14;&*dpcsTdyPFaprYa!7Q7%JFiTYzvGisVBC4|tO}d?
zWRHXL95Xaq>l6}}J&AWX;B#_!gTvg(`HYb_c;akNH}Nvf@LUlc$zaS`s>Kc7Ji?gP
zrf0M4t*pWf_FW%llud3}@He)?XLh)Q0sohWfdbDO?QFOX*<5IMcbvig>w^YIOq@X4
zJv$pdJs5i0QmeS)<EGsCo^>V?f+ni=5<MKVCdqS~<-JoA+&kOlOP<ih-JUM*kvJ_O
z7X=ICDy!t?xC>bHD-_5%KCo#zP|33J*k2VVfvlRhru)iXXMDP1dF|f%EY3r>ev2A8
zMpW;=rN)u{KKva^;@j=_;!9QvaQy0yj(&ajR@D1z*Q&N}yS97l)_ZH$dWy<A_U7*a
zj}@-}*n9oQv0jUp8^i^A8uHQ<)7uT0e$8xE=xL}+Q{+%yFhBCiWv>p9n5&&q>aE9V
zV$HK7dGgW>cg#Hc0EyEYa#8R~#jMA(opx<zWm&!Aca+11uBXBaS`$P|{kb{94Buub
zIxYA39oca~^HQFeQc2gA>8%`dmENW(IxW|j&!EdPcTo+~7J(}jKUp$BY2ZbXTAkR$
z{*_9Hru~R?v0BW<68$Jm@MVLRKu+gu*CoL*4j0Pr=qSlPG&=iXGl$~~>pC$-j@skr
zl~@+O{}I`uaHV3FtAbPS^m4{CN7nCu8oa7w!>78xz5-$Q*6-&$bn5q4bH|9v?f=Yn
zeBFL<?S9_8zqtjk^P8jVrSJT@{ovaDC0%_Bb}!MCP`Xkvt3}bNcX_77BE$V(%L4aX
zhEy9J_U;RpS#No-V9(#>@d7qCCw|s@P<x=gp`S6Htw!`i=>c=b`$ncyrk`bgXYtNb
z&SF{E)rFH2vqB|%JgYBlc-#G&;k-d^|1ut)%ipH-M>8#ZdvQx!;;W^zGz@+Ah7{|c
zTRA<;?YQOFptHi3%i~tvRDXV@D*3_E<b<oADtQlFSv9N5*yr}D%@*fY@|EPBu)NxM
z&cbrJmB;6Z_`jbr#SVn9TeYXnsM>b!l;pB`Yd_bttxSJ?it+H3&83D-#jkGeI&#o*
z>ngoD1%7L1sa5a$wd?n3gWRck8pVFmOK)G9b2;qgGxO(LLU%1aXZiJN=!4a(4}_m)
zU&#<0fAup9PjLKIVJ;A9%nu@iMR<Z&zcS)`eq~i=;feS-@jnvFLa(d3v}ImR4V)C>
zI$hs#dFXZ5z;i2|Uj=DDzoJ;mIKBAQgzf{1;*HMJ`rR3p@vU5aohP{c%GZLnm7%4r
za}%?!esY{6HT}G3#I3+v!BzoQLA#cexW1efbdM`-)s_wC42(mg)}+sv>9u!KQkvFR
z&#sv(uPAG#t@Y8@O`frJQ?RnpnW&qclAiZ3oD_e)#sAfmzT#WzrHf8jhC2S#vrJgU
zH21ubPv`>Ul(v}*FH4I_q_w>(5j$_tyJeTb0n6SkyY7I=4saOt7Wl2TD%k%nC9_TB
zx~t5&%;M6_j^bM}u?3Ijn1xT@XtDh4TPF2)OzL{`bD4bRMqK|OvCMDH>L#9G^=qYW
zZ7YRepHe-1Wz{Z;Q<ht^<Ri9T-x0Ik@uiOd=bFx!Z-hW(k7(z9@im<<Ya~w|%3Jb!
zSsQx$pJ8=*@+>Q*rmNPD8>-Yf!rrqu&MlpKoN2|PbyATG-HS3Mxi~Bu^l}v$*)Kdb
zQhC6zi=~}KCgCLrIhY&hFtA^k>dt+Du|)MUQvt)>T^ks-tX?fE)=+l&Q>Ws)JD*k<
zhb!E8c&G0Ai*LK#w%<41^>^LHx8=Qg`?E`a#}=^&-?gaZR4RG=M7?90T(2Cj+*i3$
zxrMwa>NoC5OHtdU^i&|s=B$xm;?dG~S@|#Iv?T62vo5Y)kx;@f+VgH<(?#15gI(=h
zZr=kMLoN!IOx?oJ$Pw#0-BiXY{Nhoe_JGH`SRM;x)jT!bS0v@X+_Is;>~bWZ!h*9U
zlbAU&jORXPU~2U9FN|Pl^gCYwBK^VS`Ft=tKZ0SFce;5)!}?{Dr5zl0|GVRsTsz@e
zcUAnk%zY7d6ZvL5>s}?*mbq`ms<au;y0ff6%ykD0&Wc~%Zn6C5qF>t2OT-q`HWXWN
zU#L53x%HLVhF8~q%Bo(-HtC6Cxa-lpg7reLNehU{3})6`3-!Gy%u%vf#JbVJ+-ssR
z2g^zk^G1gWUV*|KESkO<It*{KtUz6}$&%a)w(Y8IV9DBDxb^OiTdy3wKmyrGj90?S
z6LlEkFT~1n9}qWt!M$sC$aUMZvJDOTOJ*_)d}uYvXJESJ8_6wjA@5XVLqnNqa-pN<
zjZK~#=Ov1nPG&YZqf}JH+9sazFnDg$1>U9EUg1;pG-WkqHQs8z)ksy2EK+W}`D3C?
zS7O>hkx0oj@ryJ34U3s&12xj}7CaU`dWLt~9o|#!b9Gbm0_<MpXcjkr3QYH&DLtj%
zD*Du&1n;{I>L!lA7T**)dZsD!M8XVBdtNyn<EO6;oHT;>@oBUeJ$-!v#5^~hGxbz_
z;M32Stuz0fF$k`k<!*dtm;Wq(Fd1$==NL!ZP1j2q2MvmwP4W!R+;MOhaGEKdam`Jm
znEC8|j?}ybGi4T@;k|Z+_eWXvsdo}-c@sX_w9S-W($k+P@#^w5Q2~h?m(wDAFRhal
z@Nm0iCj%nS$qB4Tyrs>;R2sJ<CFS9yiJw#_i#LR<uQ~aIRpF|3yip~i$JbNSec~9t
zzMgmf)t%3gbF1yV%`9XhZ>aX{+1;1%_~Na_Q{BD$-TK-3tNM5SKYnlbQ?E%fk=ueF
z3dCGJ6F-0AM8~i=HRDA_O=sTyKK%3iBRRp1)8Fl#;=mlcU0Q>QMQ~r=^4VQE7pK2C
zEaT~8YCZqzo0_oApCiwnO9ylErj||2tlxV^P}bUFQ8K%G$(siqeX=qaH#RF<l_m7s
zsxetxs4-dp_z=9mX?soG$>+;!>P|f0T@&~8v(o(CHF=+A-r6>+tpBS+n#E7~IGwek
zdjxxgp3GX%5ZDxxDq`R@;q^2oH_lmJ7eboue6_2QQ$4X)>G1QwraP5JAkMRdA2wbS
zd=Gw@sq$h^*3HUZ<G5qzW(c|39!>J=ocUnN2~NMx`46UOaQSsEeK4hi%dd0wgDEe#
z`u6#p<O~kKTe&&l`C8Yx=1Qgawq2>59Q=H)@Z9f_CHJOYtmJopE-P>Qt-XP}*qXDL
zr}t#p)CUq$liwN~G&Cvloo(56Eaj|?MVs3^E2W-=2T%W<YtCMB&+9_vW5>OHcMHNo
zkAxgpv!Y{VL!_@@FyrP)O3OB!*6Ny}#ue$<c*#X^wR*rTjg+S>Uc#+wW;tXox=^sl
zAoFD9{$!J|o{e$O1lldHotF7vaJ*{fVfkkf`o#uEWL~H8_0Cv)x#D-y^V%JA%iosW
zdwjdnz2N*tkwmWF=Vr4VmOHTZ{ZS@0^Zg7<H=NHkaL{~H?93Cu_4|$#^OQq&<|i3c
zS=HS;)THkf)ypIW8I<2wRAVj9mrn{Rc-WTx&|+;ZPs>a9Ec<3lgYPB`OASu!<#o{H
zDe7kq;A+03z&z#9gTBNA%RAK4`?8Xy61kdhEpnT2Xo1;k!DR+3a<f}bo{(W+Q2n0%
zoqx)m`HO28JozW{n)zs*@@mE6H|A>6q0PzrCx%Y6{3abKIWu|x#H$l6Tcgh>A8gUQ
zWA)zg-Ol8jHgoKGr>x5SXAtl&wrjG?Z|0>ICnwqmbP3-RpLe+K$P4pz%PgO{`&>0~
z|I@K@*0TzEL(?s$x6E%BZ{Yu;`cri`%hH>!nrHQbGs_-&ZT60w6?^B>8@U%Z*b0lU
z$dtNwFTQ&5?#06wFJC-;G5XEM?5<Z&772VkR2llq^9=V^zBL|u1F}zdUE$sux7K5C
zfOk5GDWksXUXO`#Y{%0(*|XGL=3Uj@AKx6H_-T#QHLi&g>l-%-1a5D;=d|R^-x}59
zOIsG@DFm8NSRtJuX*|*LwUlG<1<@P%Yq;KV$#Ko&isLfTvdPZVmN%U%wrK6dwVO<$
zJ2U0ZY~8VfW8YH6c<<R=S1fM#$~_P7T~WAg_0F4l3)6Nlp8a+~-nP@bZu-3`+gJHG
z$SF4^Yhks6X+-FfZ@23{S~=*uyxs0yJp1nM%Wv}LrTv~c`)y_3HvL_5*JtjT67Y8Y
z%5J6Hl<b$06UF}2ME>@C)3|lX8V~u1(^tB-gsxwj?<)0ZtG?SruRkT7xzXV!f89co
zb~mlRX<GZXX76#oEcrI2ua3H$+D-R^<gYYs0db1Dm%VC^F%@5Aw?X#h*|N3fw{PCd
z`Ch$Q_S4%M#}jV5uAj{--5h=QO_=%as~F54p)B#2rN$G=CdQdFd(L3FH0icz{@rzr
zuggMXw!eO|E7tP*+o)Z6;n%-@wtb~;$bV%^%*3h%%YJoTVV-IipdzX|A!Le!RB@as
z^Go5qS!|cotFH2}_+Qc3zDhDYQ7W)^ZC2UpS$9{ZZC`bBZC07}gG+0jI}Ad8zt8)B
z_wD}Of6bUU)g=Re=dxVFKtiflB<d@A>}`%^zW_x?VdP?WSE=cCbv#RUnOtAGQ?D$t
zXw5Onz}Po^`=Ts_7wx)H+psmwT*UCY3C~LQqNPU!{!FWS@J#N6WtYI$hFqytN0Ss^
zG46KQbzAlFUA;x`$|6g*?`Bxs5|y!Ad%aPr)0f0D{dm=vZSA2}{u9|Mmc9|qY)F4~
zal)zDoW9Ol?;m~A)5~Aiz!6xfdpgAX{9*s_TV4~Z76v7DUE!V@7rZ2H#gw^9U!BVJ
zOTIVlWzvaBb!ooyF6_<BpL^_d__n>CaE)8eqkT)<2M4PqTbqt=<#8+V$Y#wiD@@tU
zb$QJplhp=iwR|>n39C*C;8a;C<e@GYm&mm8_})V-LH*UOoJ-`sgOnX<xz$m1$8GUh
zx5Z~~9Zq|jxcS?L$m$zwY<qO)yefR(%)IjWUVgqMa^LMNCRE+|{lEbvIQ#3tK*63B
z$Jg@bU1K+Y-8}p2!L#q)=3bLA%jR3$@~SYO52VeKX9?@}PVdb~h-I_b9d*6NEf4Gp
zIhQPaBs|?gO3SkFWYaeLyZ8TY-8*?xZhgGnt@W%oxZd!+VSK~cGjI3r*9p&4rNy`W
ze%(}7AA8~5dhT0)OC5Lb*UR{Ro%L<#>!xq3N*(jJu6Sa0t)VnwnUiPUnGlVOy)(J8
zw+S3O(8pI+vSIOTft&VPM!DzK{cZA@{YF`IrrAwzEu-11=9{FMh5Bcn*|Kuqr5TyQ
zdzTtsUiQm7IiWp!bAy?8Rx;0<7YXj{)>bzz9^}hPw7RjeL6-Z*#u>6xj~b<=uA9?j
zs9l^Y+7mhR{e$1uKmPIU+u!^i2lGYsd);>zqVFu+zQZ}cu>Ia6zP(3ftGYk?&F0>8
z?@`UspRAGHQ+Ce#I8obUTT=>AMA^RXr%gVad=6?GoiyTHf5K{_&tdINek#HryL?)$
zOO(oAxV*d2d1s;U&c;jT0l(P1?mN7c)~ID(n!n%+@05K|!THZ`>7AHzE@iq>u+`<*
zE}xfk9%vdZE$&)=B4mZ<Jj39H%PNogw9a}VX)KzxD*a5zij(sULl-WO==u`v{Z1w@
zCF<!tLDywhe~NA8Hd=e`dT88)%&FEMA~VCbwjY_18M@n}XJ*(`F|)L&(4-qPGDBA;
zCMUG>>*pEl*>Uj0BevSByuVgSe+e~zu{!TU_}vBTS5@vX)Q&%Uq&M=X*5ULsr@}4m
zl0)o17qi=!e?TYP?W_GSE?oZNLU73oO{*^=vQ=Gtwyy2-7CHL|_VycQ*Pi?SIA%iR
zMTf0yt4ssdHfHgLWG{$fzACli8a|=t-W&8z{Oa*h)!JmIJh`)L&G{20i<Dj)8!cRM
zB4ovsq|~EjWhrj{mwHN+yC+^fareaG6PHh%?pRr%CZT^K#6q}7JVk2`=P_<0(Q_=1
zS!Z&k>-}ol^CfVpXyr@W(^I}iPMmI+wOL8O_DZU2+^-cUn-(ecYnT`IPYm0=qc^g4
zeeIoNYxZ6D%S#TspRK>$aP|AGvu{h>c=-0c^sW2mTlVK?)Zf4GZ{Nbdwa&kPw|)E0
zOHkr{PCfg!LmCD%rs<<0i7Ds*$oTw~;dx_V&(3Up=KR3}e4mRAHXQ!7^Xl`BAM?uG
zZ@I0t>yNFK+x^R?>}%zlSD$ZOeZFz^`Q*hbmNA`4UZ20<eq?I0=)vt*&P3S+ElIm}
zX`+(pX0bkznI3kHv9(OniLX4o_y1xk`^x#|mGF(L>Kj)3Cxp*G;1=ksGUEVS^Qxp+
z7lRdAysNxm%xkVS2CtQxR?1GSyZW5%G8hGao&NdIEAF7L)9-v-;c+#-==@@((E5|H
z{aqn{pWc~N<M?W;#;Pja8I^0z4oe4rZC?57V94KuWlnE9%+s{4%&SOUR%fu`u+_SR
zg!a;yg9rHJFF&>Ob^V|_S!a8emQir_=4FP)TeoFP83$)~Z!k38YQ`yTd@^hH2Ez@9
zRjV6P_$Rz!oMh{;iQVJdhmCFq8x9|nI(UFjI-7;rI%ka_&zlV!-3&G_KF1)n`P1G5
z=k-5)=Kk}C$$me6rjvbj`^P4}$E>o)xUG6@rmj3;)nhXqObV_!5jEdN7)*-Vi2dg*
zvUOaie&Q?3&Up&gg`ZS4#rRKnjVu^0w>>DO=xL+2t6I3+P7w29Du@{$mZEiqF)ewS
zlWd|{n${IXFf-X~nO4s4n%<R0xBcQ%uWeurzTLDk_u!Rn30ctwTXkpL661NZVU`e1
z!YA7+cW>Ad)1_wd+LvY(-K?v1j0)cM{^F~dr({lZd45UwV!K&*(u+c+LtmOysthi3
zPkM3CN8e)+JF|5Rh%(-5(|OOfQ%>;pi7%g2s=lKlk*gsMtOpa8G3juy8H*-38q8S9
z5ZP$exA4TC*SkG;zgK&^Z}yhod6(WHb2L^}ikD4hGk$+4?^43E*c-l5XZCRK_H2&q
zIh=QiZ?|W2qwGER17d3u6578DZeZ1xxbZO8FZZC7>ZjUecfZecE6%=lZBmcn=51oB
zJu|m%)k!|HW^Jova$2tLS&ibWS%t?WZaiFd^;eJKhQpz&Ri%;>+P{lzIBz0x<DsqV
zQ?uSi*?YkuRhK1hByyg+WxvE@_WWD6VA6UC$GjB}<4(Wr+VXMD(K6S#s_5CPr$TAL
zCMEs7YtLT&;4pFey-PPu%>te*-Ck10%Og_xa_{3$C1o;drAwB+pLtG>NheSI(g8lV
z`<D;!Eo+qZVYZ&ZR%Wnv!NDy}W)c0|%S25iZX}`-g0pzDKR-WtZ?cK<-4jVErbXtT
z3_h8BGFns^*6rM7axN<~qrl<FOe>Qaa3r?w_n~MR*RqtU3UgO^tS<Q8y8Rt@{$25V
zyY%;z##eMN%8FpWyn!$6-der`GulcGf*1Z`NM7djmFd_4K6&;K_XM6d8`9JyZY0ii
z{2sdF-Rgq7;SYDMKU5mu`g$LixbB)ruGIwx&jdxZa;Hr@q!nWj%oFyoX=c&}uIq`S
zdJ;Dtb{%AE0_9Mig9rFtOEfjgUNfvQfHGK^t=A;Km|()6R_1WYX2wlM&(D0GpA*v9
zRn9igl$~J0&sJ7Z&ExqwA)$Ty@p&fiv7(tK;VD`N%+@3<W6C+ql?G)#In#XcyN~XP
zne4_}71Hn7f{@(DS0}D~np6256^UHE(ln>iAeg)8*+CyxkPcCe^nXp;ez4|#<i7Pt
ze9KY&jPCdgV*5Ag%C(g~pZII?iwo~<g6f5&e}z8tn|QR{-%mSt`H4q|)tBFep{;Kg
zobb+{d^xH(;K|bOA3Oa0viCYpoPJMj`PLgynM_c^IGL$ytg&fDo9^O+FRI1<Zb<#o
zT=`|c;;+OmsWXe@m#sLsM(nVNDJVr+_yk(}1cH)$Geo483BpxBAo6w3ug5B9J<K;r
zd^CHJcf^ZNeQEQ|;}4dU7<`tPGlkFXylMYI&zA|yZ01biV=LS7sUXNo;>N>Mhdo~=
zB(!Vut1o@+X5)J8(GSm;yF~maZnfBVch$S2!6|Q-=5JrM`lDNS!tSeOmiJCPm{4Ny
zYu-xM_9e|TqY6B%X26-dek;Cw*S<tKPIhKgod=Y+TYl0z2uEYpN(PW7F0dws?Y1n&
zq7SyUCoE&S^X<dInh76E*nhWO+@%^k(ej3Q&o$SXU276HB$~{ylrZaIGiE>Bb}`{u
z!VLqdGcr8VZq1Fdb~X*Xiw_>)d#{pkIKyDWVQbDCX`(!DKKMQ2JbO-f)?9THYyXV0
zgzFgwzvkWK-tE>rbMJ<1lNlhU^&2VPv~P#jT}()5f6cZVWZF9EH&VQ8WjpdVWSdCb
zaNJc{&IlI27i+U%cZEaw!`t^4@3MS#+uC1my-lX20mH2cpY(M+!k3gU;a}pvM1RTq
zw@>wxj`kKN-;vbs2|reTjQ^PbG5urt8*Hz#dvO)<q%3EfB4TrYhh0$6hnmU1Jv<M7
z=KUBxYj@Ha!L5dE1}~#-Cv!|*x78EGj7|kH6Wa`K&TDp9uq2z0wQ<tA=h^q&ymrl7
zKUrGyW!1iAc}tcg@CtHHZZZu}@!`5wFwsCWqS@`xk_28^&dE(PW!b%=Qu|~yGN;Zg
zTCgPa+3ChfVKYt5A8Qr*&DgT)i)G@aRg+${c&_&H7gSrT<>~t4@TujGR^;$WAM`6w
z+#$3_xJIBxs77$f3-6l^ld?<~E7<%r%Zv%;KD4CJ*MMX4G1IdOK2q0H4(FU#6<iSF
zU7X0GarIJ+9HZA(6LHUmAl<V|g%*T(XCG`a(2O{(*5Y|E%0|(L>zWW(V$cS$AdbmR
zJ6Tq4xpuy>$v>M{R>M}eJdtr}#mT)94w`XA*~|f5)9*ZB5Xs5jd6rS*sCRCeg3rgG
zXgQAHJy(vg9}>}5l~3%NE;PYFbH-V#fFJ|uvIR>X{CxCb;-sd1D_2aDV7WOdK-WOy
zRiBvq>czX2^{2lLUo(}}wfg1%pYrqT|Gu`j|NnLV{y*EM|7-eoDgHv-FYcy3<JWVY
zU-WFgW_jd(%Q=hHb3mL!mLTSAHpi=G3j{hsuQxa;g!wXiiLRX%#_8M{Jx_&+OEs@@
z9*4%19dp-jmemZM``L7-^3r4PYyQ6TSov|a?XQ-VA6L%>lYf7;cuu~x)qjT6{#(2K
zXNa7+tnc7?(1w|Liil0TygevY=>7$ziqh}lvkoUL_!Aqn+wD+`=i6KTo-?kji=7E#
z&IU1MXSH~~jk&|>mGxXnKOpFLjqgtn&$pkyK37&{EuPF35VXN;wSXFH_GM65o2>^i
zg}D=7$Q$`T60qt$GiimfmM4>l%32Z5fC*cKR2&>HdG#}}dTLuTeR<24e(R=U$;X)`
zWgM|J%jU>BiO=UuZ(k6$Y_@Du)YKd{uB^~ik{T;QJJS{{2wOU{u_@~6XNITmn>Jm2
zyP|m0^+JwQY4aZoOgSreTv228-(Kecvuf$~1vyJ+H#ThoX+IUVN>W238lin=N*PC1
zYS&H06;nCWKo(6GO{;VEj(^F!V1c8mc|#N1)LRT(QXxsq8W{nZAVD=#nI^WGR%IMg
zDUWU_W=zOTZVyN{%6ubmWz`hJfPmFP#~d8jdWkc$Zq<t95xKG|#4upPTCwL1O`CR_
zJQtX9w$-wIL5|*OOP18)z;lWkv%QP>4*v2IVP;L$_T>?ovR1_~AYfb25eLVcUINUl
zTa9er2wXY!WP@{ncJN_7$F)8@)+}2Mbnh4jUWFjzKvt1Ew+jDVE&M0C{8YjhISIG-
zjfv>gkCPJ5;u{wxmCUI>>MQC2W|YpUM?<ZmOBBxUXPVq(`u~#pv^x$zcR%R9B&G3V
z(G)hWeKTJ4bTip*p6qaNn?-w@NY3jW8W#!!7d&3%aNM=AubU~6^<_+@*P$iFsal-D
zzE5LP6IQJ>Uk65E0^+QTqLf>w*=f&r&e*^Cm1WC4Gwpe{a@Dt2l{skM*q{`8j#-0M
zI&6to1;f&W!}rWSpXOV*{p_1f&M$I}w}V39%qHgm?a!z99M_)G0)_2zP}ueu1+21A
z)qQw!`^s5UceSjXHFaOqzCLaaTTwQydmXC-Cp4K`=_!=NIK0@=aG{WK;bSJJ2uI%3
zOy3z&r-Ei1Xj)vXPGl7iD@bG&U$fA1Q6Yz^$#G-8g>AoNQ&-DtMf?po7<DornKy!c
z8$)#C-A3C6+s3^Ojk4Rytt4(FRxfWRfqC6)y5!>bGxrvBMjC7u*E8f!`*uh*?ckZX
z9Y=H|Zamy|RW<EYjJbu9D9@XMyAL`-3^p7tZB`CCc!2Nr=Y78fSDId)*0pQ#Q9%>0
za(90BusVxifir)&)47^v)<5)dH2ADPN0Y<tsqz0qGn=lS7g;lNM^MVYufjpA=TC_G
z+j8ZV`jRkv#jU?MS6=n^a1Hcr^$L<S7S(c0JrlCx$rQujg+jr{d|JJ{G(U&2_m!`!
z+f#h@sEO;cFuf(3MqB?>Jb!iEI^6!=&R-wTUF|P-3p{%&%ECA;>*}JJhQX`F&h*TT
z+8U8|=E|y;^+w^`=UiEtt@rdd6bFK8N96}GAisQDwAR4mb9YMkevh)RaLRsyyF)w2
z3js!1r3Gn@$IcX+{x?jUw|Zv3;fBM?3-p#5u$5J?T)8W9>;PYX!>)OUByK!h+_GyS
zh~66!8<cqF%?g?MhQH?BjJ|1`oR**Zt!L)mf|K9?w&VuYrllum8g4jTn!O;J`R=P*
zpwXAtVdn8t%+@tu0;fZ0#_#d#>U6)&683k!Hvj38;y<-J0?cMi<<*<Qn`YO>o!UIJ
z|Dn^(gaEtmhA|q_JZ}o*ueWYGcIJ4U<+oY4O+;q)7k9TQy*B()^yaGm@wmTmN@LZ^
zfM}zhnOs|C56@T`5N+5qldJS$pW&~0E3Z1P<;(hc^k4R}OCmF)X1WBYfOxCp-|SC&
z<TG*Q)9Fd>fxc_Krb!xa)$#>}E6Ys7;DtfSpeX9n{9KpRh=e|#UY-@T&|~Wg&sL@0
zC9{GwE_tld78l7>x!TIzwdYG&Y1rLupw?dU<y~2z*55hLE4E+>zeg{htIVr|QjEdx
z3<Tig4jp2<m+^Hyh|Y>RD=o6pWa`g=C6_|(IZyNoj^uZZtD3wlNUm$o7d78!)-5Yc
zX1*0zbSY(>bBEXDnS6@=%KFN$KAtMl2<i{-bDmhW$4I8@%Hz_|6-r-I^D=}N?K`tJ
z$LY%Sot?fNzl^r8=M0R0cFa`q)#+QCmU+}h&b}(LQa^k4ETylhxdFjTE=EUR7O8x>
zHgJ9QHwf(wrk}2m{wA{Wb+Pwkf&YC{5x?z4S2k|_96V7fqS_9`ybWUhwh^s-={s*1
zOUT6O_nxejb^vpypZ@%*?eA0G>bvo4PI%|@R>#Ic=({m%JiOzbt6hH`k-DLMN&VV}
zI6<4hzoFT#O+Ig<G=rWjHQ$;&(_`ZFdso((D!Im01@9K?5UG6W`!-4zBAE%2+%+#s
zTq>$BMoef?tiK*tZ}K}SM(M@xZ%XWAJ9zBf0l5S74#XYE(F<}0jaEHZ%reR0dGldr
zE^kL~qpaQLR>Q(&iY+UWX0`|{YHsy$<hXdyNll?8BY(<EcEvdNHRh}C9B#<+jGpLL
zubZKL>Bah|Ij*lx$FK=4+IPlPLGjh;7$y*t70mo6xM<&+qw=n=PDlL;T=Lde_m4(U
z|MgA%N?$8<e`$QW6dfI}ZP&Hu%e8CQi_Sk-7qdp}(fz>8qNPzCUXyp{wX7)I`rK`z
zRJe7X$jZX4_uVFX1@F!kS!uHMy4%F*_m-^eH3O%Cx0?c=EZv^BW7`dok>)ku^M1U$
z`{C~Hht+0pcOHFh_U?9-!9L?SN&mXt#(6#Yx7~IN?w`1Cg55;B3HK(h^AKMmo9SHj
z`?C8D&9gB|pRc#rtN$^4_?<Iey=LYIyN9`l@>M?W%ntg{ZFsUWPRJlg=;xlAge59P
ze6kIbPFRXCdbx$~n_(as8<}w^#5XwpNQiH6EQp9c65@M)?s?^<S*Lc*t1fc);+j`o
z3nn{`@+?`Bu=a(p8f)=<hAW*h>(ly54X+=ZnH-xldDjiK(vLP%R3&;(J&tS9)Tx$J
zQT9o^`ME<Xk?XY81V@SJ8#b>G%0%C=@jL_~mmiV|JsWIrCh+QQ7ZCc)(4P=u+RrBF
z7P`4j$Zg~1J`gcY$Zg}|c}>Ba78$v3Ob<0>cRdsMMn=rgePeOL5t*aw7Eav#&}OQ-
z#L;sX1rtL|kGr=7UX@W4bPFx+aghi;+iQ6y@amlphv(?5-J_HEDd}kyr+?k-JrOh0
z4m~+~Do$8Uf8UOJyL^8M&9CR5ubr&C^xw}tXN#6xv7f&^rEkiw=i=Ya?+nONyPjp1
z7_=em1n1<Ytv6g}h^%2XIkY4}_mrUBWz$0Wd;V8%=rdPpS7=sfRcP$cju6%1+SRnI
zL1fiZ%|lB9bjt<RTC+HN4Kzbe7qxgUG}r#}%&P2OrD4#j<mZ1+D=)PwyZ71##Hqcg
zywvL3F{^2-x1<$44da*`GIhP8Pf#ddhk<8krRpJ-t8<rH>0gu5^em0bwhNl{YL8y+
z5|vQ3j}4PT%BN_Bo1S?RRi)&^b*)G&F=)fI6C9J9w6%9^YMQF0?!z@N+^Bboh|PK(
zNzMA{SM1_b41$7w*Ek;X@O*p6ifPJ~b89u8&GWJoR@<t*(09g_bt;yLLA!<Cw|Kr~
zU;Q^>>-p7J-MqNw>2pYGYF6y4NLjKZVO=|eDy#g8gms5!oSeD%+sCX}>r->;o)s-$
znZVUD%~Rr#2uJVp3OGvSPoBklWNO!owI^lPuS-brE8er~!9>fmA7B0QcxG~Z^=ZwS
zi&xnk&&G;w_6xdgT&&K#JSF^?%+Yh_dMvx<-jysCv9>mOrcqXwc_#49=e=f4fp5;V
z2)k`G7Wb1ldM?KzF{Cs#R9TVlTDsdD%T=>13!kmXN;^7p@v52P>3ysGit?vRe`Q@<
zHK#gzPIb0rq;k)zr$>4XR!yI5aVRA<cAoQ$HD^k+k~SGlUfFT-l+RKnHErXGT{DhJ
zKhJ%uy!7L-%Keu-GWQhTyKNFAl|SdZWABt>(wd3^K?b{|jzvVPzudm7ZI<P=Yd-r8
zkKeM26kjR5eP(j*+%?@S?(aYB@_1Z2@z`sn-s`SX>$^?j#54EkU8;yxd6U?)D$O*l
zZ&jKrhzJD{sUV`1ZC<AQvmd`_{z$a@UE4G3vutFOVV2QvXNkpXpY2-=vy7NsBo?a`
zJAjzs=VTVEZGL*jFv}?ZoJ{E19?Rko)8c1m0<V6a>(vx^^~`Kxw@~BaydA~v_jfLS
zf9GQPyBEn9Cm2edm#sDX-Epzv-HXj<-F?E+y8CCWIrB}<u=LAw>q~B4vVSX|zVukR
z*nWMGtY+z#=b~$sm-g93S1yQ%y+8TYuX!QYzc1OfPyOmw+m-8Pawhd%H7a&IV`y~#
z`Yx_xC(hIeB=(#+!y$O=#F;r@CYPYw*R;xoK1Yq+zZyxNm$`bn#HKjJRQS`Gz^h&-
zcT1lOZ{l(bHP-f*2tB*UCNad+_;X)z=;RMiZc8xl+~6sZtT5edW-`x{M{31AjWPF9
z`V1#<&pBhrR@6D!@|Z)~E6!w|ly&nAjya^g=3KmL=6dy>RcXiO8LXORSq(}FZ$T+x
zd-}dp7rVo(C-&Ml+-<!0UiX022DUfP-W(1;7kA)5A6wax3x_3c2sZO2C%E&N_uNhS
zUHUoq%h~)LIX72nR$1(_j*`{w+qL-FiI5e_p~rk$dlJ%@`M9k!4sKI2a~D77GgBti
z!Z`KM8_&<bPtLlZbnVZ&&CkpineI1V`}1v<t~*bc&&(KyOA*DXuR<mm1}{7(A!#i7
zu8u`##>#->e4wUJhvQ7yRPHpZAE|~@Z-%A#eSe*)HGA!eIrDDsI^J}3ujR2bFAMnn
z4T`PqycRw)XJ5l*@q%AWe(?uh7W4;#hF3aStvYutJ|c1B;arwGy+WXo7Nu~JIgPUS
zM6S*ZN=}QO8(^BYZS8`KTvA}-VD8$3XSP}0%;tGh(EG|_qv3|brcsWIMNLj^wMkE4
z&%6Q(l#23+*=6Sr@V(c|n(H^4`L*7ktX0#piy!x2t*lJd%bUB;oNfQ}N7p9SCqJ9A
z%i+Kb%crc5_Bq_~;jv=2j=AH*2B8?hl-IA`O+R^sbtl($<>)-P{%VWQ2hB}+n(6&r
zYobp@K92aa>%`$1o|8Ql($##`>gUWfnfawK?NZ9IyE8o}&n!z<>%D*WlF{yUCzhOC
zvrB2R+UA#CKC{j&(%vNKoVV~w!e>F}yR(wdgsjNc2Zd&5n_=)n*1Z=`^H02%5;L*#
zj(+kw&K*jhcf|jXnVjeP?BkpqZKM9{seZ@KJT5hzV)(ft)+F_e%|C{I`vZ^pU;LN9
z;Cf|h)UgA6@0DK3=_e<&mkX8(-384BU0}Yo(5I>+XXpI-KT)x)iD%BVu2yZDxw9bD
z)xcPM-9C=AXLgR;)K9R57qc*1$C&L9QjxgvaMQt1m4gTProZLfl*)EV^2Wov?rE}f
z8)fg+rYyS%=BA3b2kib?`EU08U;BUFW!M>z=MjA{M$UZ6+628W=}Tb-(+}}hzC4`g
zYQA%i;`falm6DZGl@dFpBYSn+c1=usqEkFiVP$oF>zvekCnKNDMNplrkvs3tV2$HU
z@Oiv^DNC10T~yZskH^cG@_?9J3q2mIpL*rtUH@4-J-_bhnX~%KcK-ZoYQF!;vuEKC
z@BG=dV)p&C!p{#+oy|YC<L6&VbAQddfAsoh-@j4#x!N|b?)P!s==-l5SHx_PZDgtW
z8PUN~Qwbt|hJ#q)Cn7z|i^49=jhK0Ov(uV055pD1o_$y)pk4eUq+_b(ts=#+XBj73
zBW5m^6;Ddd3wxH9+UMrdG1XFPf_COIS7Y5-nStW_xHqx|Z(X7NtTm!}Wz?0W-<;N*
zS><Z1n^oK?puIUOaJOem#LU@KBe$-YtL(Jq%*84FqTkm(So&b)gM|;)C4?sMc9hzF
zSk<0j*47m6&a(La=5=chM;M%sv)H^bVcyPywB&;}*$;W%e9*HzA8x^Hy~q2Uv1r$p
z=!}2YCOvy^midou%Cq;LnU!Tu6U(v!!`zoS@lNQO$z|$cl&1B>tSWt(Pu~3Uv{O&E
zRi-aH!*uG2q?+rpp8Mwa|AhT|R({=l|HFMhZGY&V*VHsh{j^S^*EF0>?-PI8sRP}N
zO*6SZoSKrb%&C26HW+E|`K2mx<6-gjch1Xi-CuL!&OF|^O2yTD^N+b-V>mTKbURz%
zu`_Q?Cm5yWtv@)$u(+CcMNgybyKhoqlFZgNsR7N}prk5ndc=sWtin8ySr@{+1L7u!
z7~3U(vE97AE$-Nvds20p#dotf($2hDn>Yj12V8v+L<!02vMY5hcogM4yIIaPp>E6D
zV+ZEm3%^ovR$|8c#6V@2<OgN^$Jr9AC3ohnI3{fAV12?)&*lIQ>=1rIq~hlHz;~YC
zCVuezcJY(vH^Hx--vYmTew+By^V`MWp5Fxjd47vL;5N-zwnRwtGy6^JuD|73k%1TG
zR*0+gzkaUG>9<JFD&Dg|sPy7bucpZ>y3d6R&2fn>**EEd%I}UBL5FJZlsH!N?pOF4
zwDyGNt=-SKZ_RE4<DmZicOU0}{xQk7OJHN=Nw%lIoV~ZIcO7}=kW{R&(Q?8K8IK%3
z6*G3B+03118?U6T?(n`AswkA|eXRmSZj*LWIW;Y(wq?cf&Aiel&d#e#tMOmtZ*P2D
z{o?ZZk}oE&xMzNS_a)V?koR7DG=lbKyDaqhy6$7A($(E=*3Gu*UGG&^mG_mkt~g$N
zN5{o>-rjFhzkCjQJ~?A`(K|QW&MKP;+qAYahfUn3wRJw2v<{!RO{@HKg+lJ}^U~-1
z7rm{>+bFW=?T^aCKRvg|+UHx?cUJw`^YD*K)U(fNzb3!fd~Wtj|3&Am@|W3nuByIy
zqQr4kUT$~Ll2_N%v|U%_<=zZh@@n0>cduT}%g?vyTs1p-cI%2=&sATl2lmguy|z4P
z_2jNA_o7~hEctfr)JmnT-)rP=cwV`8M@7Zut?hmGGNG;J=6akLIjrv=DHiJ8a5`@l
z_a%<idn3C{4rMK$uJrZCY1_J+_i7!ZI&SH#^WYAQJ};W7n5Dd1Y3kpHo359299;Q)
z_c6ako1T|RC~E!wxo+d+6>lIS^WRHZcb=+?%-XN;wL<rf_N8~{oC-Gv#OR2Kb00nG
zY&d~W&PIg!<%5F==EKxL0S|V5Gu6l(uT3|mq-@kYnG`(f=rWaVUr({wlXT2fBXu5$
zb?-Q;l((@X8pizC!M)^F)e+X9(!H7dOI}r7mh-s!b(!siRoTAPEe(R9*Jph@3Pz{S
za$o#^D(%x&DFv6(O*8)_O<QL+IX+iy|1+PsjwzK}GmmFo?z_57cD1i{*zB@3W^X%$
zymDA4-QZH$DC&`<J>f{0!q;uS?-y^&uKE4NZo;wc{_mMHk(t-O{F_yD%5|ck32W@Z
zMG2t=;U5A%gnS5EU}|_*$0d7_^-is+N~J}i+ODsjtcvKmax!k~w9j`V)GscY|NfX&
zry08$b7T(NrW-sd8zoO98BaKxrV!}Fn?1jgC8+;5kIICqJLw7&lP{`=F@;RD+ZeQ<
z>r3ZUme3_q;Zh+Jvv@i$tnqXTdA!COMEHUTe-IJq6!LggaMzW`p{v{GZ+iJV>GJoZ
z%ieeU-WQ*}Pv5LIKIhlI8((TSzWiMzC$x7;K=0R`ZVJ5m^RrnlefWgTJeE}4E3ro6
z;-cS6>?TY*p#HUS3yayx_?)2qH<r|G^!%GN`PWgkucv=L+dO&2vuP^PI-X*xA!ltp
z#XMx6dv0=AwNw2h)5_x@zU@2DO=+3;%ko+*JEJ5#ba+(6*o3&5J6ju%9CAoXRM==R
zAwt4KcZ=I*vpkN8Ggj#;IH@elGVT=UTzPAnl8bF#Z`zaq?%c?GQL{xC@oz4j5;a3s
z?%8XN+nd%XO}&0SaGPnQtJeDUi*vX)b%n&myJv3e6EaM2dAhZ9O4@6Uv)2M=tzEpO
z*#3rJ&4rC~Lqb{pFP!ySH|6eO1(&m1#f<o_O9vLOZOysnWR|Tsd+UU=Q71GOvd!2&
zq0!{Po>rbEjL&pa6u$1*J|Xb*76H3m{7YItT&z{lZRvl<?5G%0CV$sl`mTG9MBvTb
zlKVMO6wf}rb;TRAUHw9<-hi~oeZN?{pug$6%kybB9&Y~kS8u-jr=Obh<3Ih}>n~RN
z`aR>UrgaH{8?<(4S7=mdRcKbcSN;E){pXMSzINt6?>zl1r?UO*?exbLlOFFs*`6ks
z{-eew`S+Up@>fs&Is2h9M7!Ah@bkd6XKwS%ci*a&Zrl{O_SE&Bgpk!mrpgjmcdd*v
z4}HBX>#kmw>WS2c4?n-~j27y<Tk5uDR&?E(NED<~b~n>hKVSP&R-9b;65i~}*okIq
zo>scv+A!@qdv#8v-7yKbE04G7+&`E4bMv`3`->+jYjCHSN*xqjYHhKogx!70y9XVY
zWMu+(H7k3S7r4CS>(jW~_+zDum{OfqXKTlNuLMP*nX2mrI?o7&cVuPXe8$mWsPbxV
zPn*gkCeI_RlRCIn1jRkPrs^*ZQJS~rdJLDK|Fv%8)(-XEzJj}8Xi-bhapsl!tJy<T
zN^K@o?fK>CddnvAz}3$!8ArD?tZwK0n4TG&!FW9;ihIYd*zgXo?6c*uZ?s*OZhf{p
z`t#kjKi^%eapw%ZHQT$oI5Yp*>nh{r0$Z=CUHcjopqRDRcg<_p3r<&}W=FVlUX7a1
z$`X`aD<<H<d^4S~am&jOkq!#ERyAT5a>WFslY3SiKkt36Ea>4{4{ip=yvc8?a+eF}
zHu!FP-Mi2!q-b`|_0wLhE1pc-+a<K>$-KQ>OD0u+)0*J*?&fL-t)1!XK^dg_s%>?u
z<;@+74tAI|Z<D&8W4iBVR?X(CKhjozJRA0SeYkGSgo>KoI~*tWanzdHO+X=~a!mK>
zMF}mEvtOTctMhq0^UKRQQ<dMj7%uYP9NL$*TIOt+<*c<uCecqbww=0|GgaAZ#jjsl
z?h040hg&kO+_&$PpvTv*p?wao3gba40>j-n183K=*6m*6HSyLP?GKGt(&8KWmze$L
zs!`Z_GyFmE3CpHs3$!CdPS`xQ*;d0aGkuQxeEttX3tru@S$uQdw6xt(v)&42Z}VPr
zQ*UG1?jwtqtv<ESTW7+mH@lB8twd$6StlX9D7O<?IY{qLZykTHx1aCouIgQTKrBHv
zf_a;FS>o;u;#;ggG?kghU(h?o`gT(61-&<{Z$HIfkW;z7$!gb1*QIMViW_{cXq{{r
zys+u=49UV{cUfoNJ}0qHq4;*BydKBAj6Cb?2dr-w)-Bp=H78~L2lboMt&`s*)=aX!
z;=d`pee#>oXDZcOcZpo=@Qn4{YB+h9R9*73ub;K{aU1PCxB7?Pi6>U;AMI9{{Q3@m
zfuq{>O40j`tJ2NVmWA8rpINlWjrW+&scfF4Gq+~3Z!zW1$UgV*BI``9=e`fcQ_Mn+
zC!IO<v8iaz+?%YCmgl0q^iM2$xM%5?CLO7Bitkw?P4?JGos<5@7xK6uS&Y|om3i8{
zHI_|=-EFf9C5%PqEjT0`VxjJAy=qH~Qs~0@<)JYXR$Vy1TT9O)^u_a7QSl{OSI!h|
z$e3L(6)d{#<{HD$#M#o)!J^w{ZZiy3oUuy4C-g<S(1RwQ*5bGSn$EOEZ8AwaZ*$#V
z|HQnR+AF(!et!P+i`(ew`90@YXMUQg7?g4<eACGpn(-^Olb>z%YLPU4s=YSt%#?VC
zR@M*;<2v?JeGwBa7YKblc7}24H}f>U6|OAF&l*lGk}_rw@w+y|@`2BXxCyNfD>px2
zjg**Y&K)<QHF4Q`(MX9jrI?A+_8Ij(-hOWP6ulE%h4+@0IEHn+YqfYEWEC*=(2Q26
z-j?1-jVlp1K+HA}v*N}KtIhLITZpGDEQotv=qSeZ{Hg+IIiUEDm<g*2BAxar=!Sn5
z$dy}k)_F^1hZ+CHXNpykGJ6!hW^EPj+VbV9m&T<_;nE8|yyJCSlyqyi@^|GKv07d)
z_5WnFC~)uFzsAb~C4Bx~wc(oWp7>cKN3Qkk;tkjCimu$B#Tq~H*Xqjc8{SG7EX#E?
z_#CB~=X1L$;g%T7<!uTxRt9X6-kqDd?RKj0Nsd`f#vyvrp)GS37TtWO^d#{~UW(}^
ziI;A(1PwpmVJ&Hx-n6`_y=iw-=)pw}d#Z!q&wG7nx5x8WZ$16&-#+<r*$+XvY+1|a
z*O3uDcecRAZB}NAi*ie|oLp{ad9_4vbZ<-7`^m8A?aY3=hK%ZGTlf=vkN>RN!o6wP
z;~Lvrjai@98D?8$X>TyhwhGIz%8FhB;!a*_m~EAiRDAPBrQ@}R-$$1HL_un&UY+o&
z-&gat=}qVJ)7SM*%=_^{eV%^2b%5?CN#ncO&YKJ`@7-oDZG1O-^A^L)fBAOZcl=%~
z|DAQ_yXU7l^-q-8$NN<{E^~SpB+tzz+47d7)9_dR*@_biEXI#*n=jZj7u@_?@0hmd
ze8Q^@jY`~(^AEa2%0_a8G3TFg+8|Y#EY@`Og0!*ds*UoJDPr4f-yd6}Ga>SZ*)8eK
zn;g;-Z{N*7qr_yyeb$)!tZ_9a3Jh}>6O;{kvA~I4^p|Dv%?nYS#je$b`Wfc0n#wNg
zbJW$9KW2S<Zr_4hiOtH<x8sWqole;Ou{dexVtLZ8$MU4z70Z)$GFB(;Qmjtet+6^O
z=QdsF-N7=)-7CbG$gRJ#RY`Y;?D0tb-oLCbnODCKSi--i&tsxj%-vZ^Q-8b@x*wZ#
z+d#N5!9{f&ukq^K8Iie%&tyrbh21vTe5-BdRkJfLoL3)j-DC2nJTtq`&3sbxDQH7c
z{*hdQJd1pSyqbK1yoY>(e3raJ$jS*qO5Z{qI0Zws=CpKZiTXIXOyyEld~}y*iC~88
zicl3-sZ(3kmU=k<%w#=r@fC;5J(;h44o}Wr{0w5Y_Jf#zIJR7xxyQ6pVA0fbPu9Nd
z5?EWJ_CD*=x_9@aKJmnFI=d>nZ(d8r!raZTvSx>d-(IupR@&98W~<k2i!9xGc2%HL
zu4dMWRUWsWNik?&+P1UW!TEh$GlT1^k5WNA-)^K`{VFr}&5|IOt}m5SbApzf-@I5v
zkj*V5(b{X{t-!9UeL-u=rX+1$EV5d5X~bI%*C_#_>;AMWh3;Ux-WBptYL#|S|Mdg$
z6UFZFHLWWXDB0S)V6`kutbSxGchKb3jDbtk!i5)k_^xwvnK+B*?1@*7Y3l2eLy}yY
z&-lfxFMCwARrvbqxjW+C9{HN3zAoH4W#cWy?yG!}YsyX}ZFLq~ExRe=?S!LQ?K+Dt
zt-fb^I%rAN^*O#1U&R_bxUTyCs7im`_eWK?6}vgOlwTEEXYIWe`1<PR_|o>}i)NoW
zu<f-&@7@O6M$i}{tj=LS*!p&1k>hR^@h#ltj=T4Wr=0sDn|-%@P3irOVyUGmT32d{
z|IEr~eY;O|%kQuYJ6P{dv=!Rc#Af_3QTs)i=qf{Dxou5(-`7hSKTIr+G7!FJzP$AQ
zoNKkkQQyyI-i33{pUq5;%-)xHCTIQ5Zo|!cpE*8Gw(Y+KMpZjYe>9ybJHPmv>hUfe
zrp@BPDS{EQiwwIDyo&3MWC=^pJrlBG-TfIW11c4h!={}wlH9y0<lLzlQ=cA8-Pp0i
z=db=5r9<0$%I+K8GL~O>Lg~<T^IhxnOXBZ$Y_i(av0~;H!{CK!PeE(ZAI3kQe{)O!
zgM|-PK3MAT>+7rj7LWaLwX+3YUSB<5L`iw&tK!xZ9<MSVzg?cnQKVr2X#=d`I<oub
zu|9`Avo{(sReBw}!|_B@a<}q^<sEHhwsoOuii;9MeLzH!;-W-T2`87s?U_Fec#9Pb
znJyZzt0)V+kFwgucbr2|`SpTc0mDt_1VBuiCV^~5wKGwy7AH6C^*T0V>)F(ahS{@E
zTOV7KTjzZHjeGkpdD&NWB`bbkTsY6Y{aBZ(;Jn9+R%d5_P;pV(!<OXa@<-|lr{Krz
z1`U@Z^)r9ycYl!C-shlXb9}$@1dj8CUpS7Gzq~K#@q40Gufv|`ChngaudO|PKVKjJ
z|I_K`^>u%L_rKpN-}|Ba<8S}JY(E|sp1IxfoikpoX6}dIE%s`EEFZ4toPXoC`nPYL
zdux;Ou`o{`xtE&s-e_a_%p1EG?42o-+V}L#cSED&MbE0`W+q$a3&-Z6qEEK7&ZvLe
zq_@3OZ~IC;B<<4gO_n};eyrlsmeOO~kEec|YbbR~o$-=7<D~c9seOE>zh5vq&T#Vk
zZ7})F=s3g6$>`{0spKIEg_(Y8J&cn&Q$frmwVu1VYO_IO>SbwttG0DY<t}oYz2c%;
z)|O7GoqC=US5Js~9a`bGE>y_PwCL(2gH;n>Pj3nAjX9apm-S?p+GkJM#|QoO3XR-D
zi#JZTTs7<Tyc55V#Jx-Ty=3y+=iD{lJC6j-zTf-uN$SVRrrq<`ME*%R`$#=o%sz7S
zkCU^G`d`zj+mv?F_GQ<WFI(rW@$jyXoV`y$x0bC@QMa~jnxby4{q%Ku8S~xeWX#W=
zlQQ3OZp!@FxheA-=cdeGI@jg&-XGJ>s~&%HuH>Jr&-42S5!9JOrq2z}>9k0<Y>*d^
z`m^K0qvNe&_Ig`>6fQj4&#k-fdHu)7PT+yQw}A#}T37nmlb0Rh4cXDiWghTwnpQ;h
z+~%}HANh(8UD1BpA!+#4%QDW*XKzs7G{NBYQ<77Uq@<osYd46L6y`aWl6v~tM1#ni
zn@+0wgl)??#+e*HrIxw)O}A0VjO6>X6;H_gzQ>dMO#a%wDa$<^q%ZZAIPOx~t6Zz_
z^wYixK`hHmIy9M<ms+f{PV71m!fNQ6km)o->BBT$xd-RM%C>FVJk?N0=?sK5ckPqT
zC&@;t6+X*Y`cF=IV3;|rXkvrG&eiYkDEhq1+F9W+<IdHhmAwW#S3lh(otQJNh_lbq
z%zLNIahcgmV`e4yoek2vZ0H_Ze6sK7k$ZL<r!QwYe{#x$lcEdtM3s6L9m$gVQ?YKP
z;c=&Dzod#qRIlHfu~=j0yJIr0CrvG$30Fr>Iqm{RDw4luJlQ2TIp%uuq}NqGMX~*v
z!bf+mJFas5E1I}xnd<dbGakQxvP-o1OZ2)#L-+kptHeI_)m8gGdSco+`MQc<++>SN
zf*cdS9j9x3cip+Z1Wd(jRq45Ar=Dd?3VP}%amd2qRIFjkM(MM%MlFR6Q+B6vB*)Lu
z{?`(C_4*S1I^SQ9#QphSW!OACx&5N<&ia`KyHw9}3Ak;4vXb%8i)p2gK<JM837KZw
z@A5{+6VBK6o-w>pykF%rDEsS|+rD1%I#NCMyjA9zYo5v5{G>LQUYfCX@-dzAKgP$4
zp53c|7IDso^?=Ojna>$#EY>^iGCg(CX`_p&Ghb}-DT!3ur6U|WtyAxGi1@pdX`bqv
zeXM4tUOK5W*)MHoiP7mLlOxsUo-uj}ld|~97=Qlk+A|k(zkANOqn=nazvX1DkQzCh
zo$9j;%69E6p9UiPLFC5eVqE+DTB6jQ)(S7Y)*0~HWkspd6)VB4xg1+z!k;Xd6GgQ8
zJp|kqe!9U5VipM;yBH|Puh_Hd*-YVQE3)RLCibnmr@pt~-R<<gRfj4YcCb2L-`@1{
zSC~QUeXeDzdv34gI#x3EW0C7&)|XnzvELSWgiD6oH*WmJ*>lxDVND&A@~KxI;gZ{<
z4SQZ{JuW-mW*D2wlcu%g)j6Nm9<}skhh#%OOb(oWcrCltw|(<d3@`PXC_g)HRgie*
z&y1f5>CcXzF}60gPy2Uk=Fg9ubu~@$e<Pm0I<6INAGY(?##2}OL)X=<Df*f`b+!EJ
zo=sLpqDFzQ&xD*{*<c*J(C??z=Kp5t+~<4_&S|wUW-Tszd`2Y3!Et{~*4NWZ!?00{
z*7W%tytNZFMYY%Zm{04iy&&da>tjBwHx33re<eCo|L>lsub%6M+vo56Re3stQFf-j
zvHF8EH8Xdzr9V4;Cegw;J}vLa%%4TA9dU|_{$4wAHNQ6oLbVisUVUF`=dZOBSKpVC
z1T{KR*b@FkJ$rUc@2Sn+VxhPD&V3J<3+isTSnoX(%XQIDfAd18kQuK&i>x%b`dBk4
zan=6dC5J-tmwU9X+~+s3>W|IUN};bmD!FfaUU~dHH%~pVzgj*#{f@}W_pe%JD}9Zf
zn;*Pn-|EY`U02FWJ3J@;dS}C1D)cpW-b$fG`>gM?mI-~m`_pgZ<cuxPGz}G{)|TGz
znOJ2r{SVK|<Hd>>Csh4;Dz{!|x6;=N-agTn^%2g=u3A?s_uujiS@k(-#^e<t&smMt
z16Q8w&hlFnlzLs&W$CIu?}=Wacc&>$U1g=~sufxq*>&ad)kMvp{`uka=DOI{#nqJv
zeXS@9Wwu;D+orS1*!`%pV0OS7kG+x3Nv^NX&Pve^%D*NOJ+W%D+KsL&v!5S)J9&lq
z`Pm}=i|*Ovr`U8JdtbTvvFDY?mTScp$*uR?s`RzOcctjc<JnGYJ#6<?7$ts8IrQjc
z%hAc4-Ri<({%Sh&d?HTeC0jk<S;l-W0V}0-AEaS@WMs{a{XaI;e@y)U=<vUz?SH%Z
ze=lCs=hK>H>mGJkJNem3sRT)5|7!xLXH;Ig%-OwMSj=BtXP#e#&D;$YR*4@MUVHSi
zMPs${XO$(wO_4UvR*Ex@7H^$*%%?TWzIP_q)g~!pQ5)Bt6<tLiMV>y|*>bd)v-`P_
z*m+f*dEOB=(>GM;C4P)K1d-r=BGfdqW`Z|I+9yXn#Th5rceW&`#XlA5nORfU_~()M
z34H|B)ZXO7y5GU>)T*QF6K5F~=ks3anOU8^BJIqb=w{R85WC{_%f-d~^>yaOMcC}!
zP*Iim@ynq{FI$gZ<_2}Qo=lB1NYiq-Wi(?YL+0s(WlT$Sn`d%O*v7L=>&V=NeOGS1
zeH50Q^|p+6jp0_a8Bx+cYk8MlV++o1Ub*$)nJD|%oxfh5y6PXku5MS+*UM8^&tDhw
zx8&)o<=Tta^lkYv;o_=};3Z4fR|GFv+Mdz9W%abAuW5zYkm0FUCzK@lBJ;jKliKXj
z<~;3o>fU2#CKsG2+O2qFhO3dxrkKAIp1x|=3Aaz#`AhNiRsP6zbtj6xI#1J<+4SYM
ziTHls;*YmYrk_#O4Ej0kl$vDl&!&|%2SfHHXvG^$)t_-n+~+CxvQMnRKgA#_p&F2>
za9j6C*_mAa0S`H~Y#dwF&D_Gw&PRvzFMFXD*(hVhjW(Bi<WFQ|X7aQ1r@W;%uUL0x
zi(&VxQ@$YPn=OXjS^Fz<KhC}`2BD^%3B28T<_tSS?cu!HUWNjBJ=Tv~Kc4z=?#IC&
zCx0BBvGz@l>b%Qmdb>Jqdf6<WIs2@@<^1Pi#z!XKSL1(XXME(z!8@sKKYA|~JKvg6
zwuJkVsOIsn%rAvAmhm-Sx@;uLIc46oPL2g3kBzn_@~u<hNbH*KwN_wR#mW|55R+MO
zSw&?*Z?#XhjIGi47fQ?ApYg>W`Qx$Ymg4s3N@~ZSJ&QT=<i?#@-80r6>6-DoNHEy-
z%oVeMRULcOw=eT(*w}l<_kYLF?HhZ~$o}s*S-bPTyx~s$^54p7+J+uQtL>Av2{o&H
z|Eav}n<~4l!^*d397O`M&K3o*O^Mnp^MrBfH68Ze1etAVrhKYr{vEltM(uWsW!47M
z=p7)mdX8LTRZ1!s=VfK>{~j}BX16aEn4J7MLnNsvN3O@|OjuIsnc%>Vn_g@BUT?9w
zr2LtIC#fn$wtl0<IUCi0RlPHRvUqJZEMDob(k!h^OylaYGvAp~%ad}~<QOONNv-Lv
zydY&bRi!^tdD)~VirGhEgmTh9x0oh%Ewzd7ow4>v#HlCT0bNUdo--_sIK?6;!gO_Q
z30LB`moBk6KeWC&&#3L<z27apPu#qwQjsxfn~?OrJ-rNu(Ve{Uajp$B*3^7fzW?Wh
zx&5cJ{Wbpbo_)2&Q)}>`^Q%-$cg(bA^y1A+OK#ZYztJm9ufyQC$m$f;#T=VKT(cg7
z-&;0LjZnQG145tIX&g~WepkWZrJMb3A(%YH;N>{^w@pLPg(v3(7d$z4tH)`^oyh1I
zj^GPdj!7P_lom*;O1a0{GDB{rIiun-i?h`Y0>N{h={j_r+*rvjkhD!GddD6H4#Vh9
z-8;?EJJ`1uR9bU_wD>lGv`kh|JAQ4iirDd;dl;u=CF{*#SbA%Qw}Hb-vuBI=0#^0z
z^kJFO^z7u@Ox=S5Y2{{R>5tu$a?kv}BoI8uFVFk5bdA8~sS(njf5{!mQN4Cd{W=t#
z3$OonXUBm#e$4F4FCRGg(xjlkidP2C?I|y?dMsN5>V0)j*JYW114<T7UjM_v$8e_p
z4+oo{xm_psOp`M_`s7K_uXEy$+ipuvo;p|m*xMSzyyxA8*6}^Je<s~IC;qssF4y(>
z^{_8@t~p4^pVVi3^+#yIyx;mWk{h1w<9jBtU}t=bW!Q;3?T2KvQ)Y*pFk3lc@^l8*
z6Ay|%tA#!LaYs5nPjI7orCwZ~pv>Pn<q^j2_Rn>%ol*bx=&9I2^>0P3Pp9u|(dpTE
z=-4)y`m~rPcROxB{#+aO9Lq!%pR22$hD<RCy?Jcrf@zt`hnAGq%uZ7Kaip$i<^y5-
zq%$nbXK?x%3LjlQgWu0kcutbek2l}dpB_A?|Kmv9o0w+*KR4C?9p9$<<49c2?k4{?
zN4FV*nAOd}TTd+$H*~Y;pR@U{Yfovf`?;UbOpnCm$yh$mzAmtNszuSc@^y-7+J#T<
zR6M!!>}siy*o`Y}%RS?^ZE?t)H2tkkz^o@vC0I3lKNYnwO;M|~v|~Ia_f%|duwJgz
zdl~bH*}tCb+Bwhr!Wqwd53bx|S$<2ZCP*%)w>kK=;G9ER7OkNLT&wTI^GrFURj$Ll
zbi*mz3l8dLN&fORkD_xpoBeCLb#p&-=5JKxxBHko`$*9IBSPO?4yu1k@)xg3(*L#u
z%#@vT>uAkF*+(b0uRMEp`)kEz-}V&0j$gl0$w${^9}BnPR=ZNR9)sx4zv;4U%4foo
zs&@FbI;JkVX5p{Pu=JY6dB+Qn)&3>Ccg@bRKdb+H_8uvN`zjqXYP%#mYSt<yebapA
zWR>n`m45WslJzr|n*W$vZ5thZC_<#}dEE2zccmEAjOMtL3`u=!<JxSCbG~j0Vm$v)
zy|^Zi&tc}{;$n_dGSRnXT8xh0Q*B>(V);e$jnmz=W{RcQfoVwy9eHy`^3Tm$#>YRU
zJ0FxeJ^!r0=g6NMzxmHP(wbeLXR6Y(b^iVOTR;CUpNm2z^0}pcYdNES@9D;{`8``V
ziKp9js;&FG+02&R_Kf<|CsFer%RQUC;z^i#yIrN$^8YV)mT|59Z#H{H<E4g|_n&jK
zOl~UOcVNxWc+0yV-wXTosf&uJtNf4lv-o?z?8xCq5BI-}n_r)w;czA_>5H$K$)ELe
z8-9JCrhOzwwNLz?_VyUtiaj45d^}WtHSfs(W9qp^i#;W>eV-W%Zk`&EZ8WoU#@ZuM
zlV|#XvhS=ICXgv>PyX5exH7BgQ|>3vbD{#1{fpF^X4FjjEOY3^<jSO;wR3*3L{FNt
zTscj9qv}adtE4Q?qAtEEQIqB@V17ES<(Sp~)9H+7d;VWLe&Jtj+kK6r_x4*H&UZb1
z)bq&X{K@7;sX~U8$(!_kzAtVNKl8CCx%K~M_mtnP_y7I8C!8F9C+~mFn@+u_)k!`-
z=XnVKyK{NpsqZZ_Kb<U|Kl!;``uVvxzTOdTITT;*6!>%AjF5fmYE%8+)cRr||2MV%
zr~c2IGXL>%*FOO}Y`<qIRjgNQ0#ToCSDOm%2^T(CZ7O)?>XWVJY)#*fIT%F$Owx|e
zdD^|UX5&=-ZJ&;Y?YnWxJo;yv_Whivv)9&ao|?by)7h|nhQEF<x%y&q*kb>vUb{@w
zU&L}sUrfH1IP;6r=_TTkYI{!@y>y-CX`?f_B0}xs2A`7r$)C1+{=B{9Pj1jZGtK(h
zQ}+9wy07-}&a$#Xo9&*2(RWXqFA%i(@b135|7t@SlC}w{FaQ5`{iO}_f7~zH^x=Mc
zUh5^6ulrwJc>CWreCD6ue7*eYb=}+koCj_0JN|zclboP^#g@MhE`DovzukW_|8qvQ
zk#_ZmjNb=~yV%cb%GoTh*fiCDRdeBwE{&@PZLYCSdG%obdp*N58}Hokl2LvVs0&JS
zf}ie4ByAHq&XF&teB?*>$0xU+OrCKhC)xDij#fR3+*EGA=$lQKkDYk1WvPxut|PZ!
z^tGnTV%r~VQPuka8s8A#{*HD2jc)1kX8$)wb;}Q`e|t1--vi<5!qW+}a}0U<zWMRl
zvmd{oFI?|u=EUxfLC(K%`rn+K7CyU;;^so^Ad@;lCiNaXxRN)gMn_>4cXAf9a)m^&
z^o<`1VW(LOpS*b(Bb44JH&3T)d(3skp0$~4RvqYnF2!EVvpME_irr7yJIBAhZ=NaM
zv+8*s!!r$sckBs$hpr^;6K^-aV}7qde&hKWZrl_7Q+fnXN57EHU)ay&mnHrE`A+)|
z%U{~%9EQicCRMjgD6XIMyKaX6sq=q5^Zq9KojdPcAu#z~B`beY*1}Iraz{jDD^GJI
zb@lB@W-)Xvy=TxgW9<>kyf}RbeNPumzk8B2@t8TI=|0XCAAKF<XROJo5e_&#yJ1mc
z&A-pbr@yz~_xIQ9<6nL~){p+ISCW0NHSg?i$NJx&jvtr*BHr~%Ut}qt(p<(VyJnQI
z2E0nTB<QfR`y}_oh9L3c!+L7>W76{0m(LMYTl#sC!I32;al&mg9!oyoS?Mm3)c3qC
zw<^r#*$uU{(3HO1q%gN<H<qQBrub=@?t8KPsX@EJN)hISV@rY_XK#{<pM3SltTo53
zr<m?j(>`->o;kbCnZT=ix|=Ll-BPnHo&DuXZ}O&(Yg2WzH50$^-hX)=w7=kfN@!}|
zzLTd;$<$81I`yZ@quVNv(oMJdzj+$y&!;g1O!sMm=*SX2Z4hmFulISy|5pi0Q~$@y
z)-3t-e|7WJkbm`s1;t@?ITM>F$APM$Zkd`S{cR_}%s=;^SbS8OE+n(}(9S96c24O~
zJ@!KM+|n=g@f!tdgkIkeV|Nc#mwd#3PyJg`G+5u!K;8|1c21pXIjw%v%%A`Ndr#}Q
z^yBaUGr5K>8;^bbVY^ts@S263b}ENbnznWH$&cnIAF#}?m4941=_6;~*WyVZIqf?0
z*Ug{Y<6p35{^=!keny~b^4u%+ep5BcMGu6lE7xrFIVN>FWsTv<cJ}!<PAB)CX*ZsK
zqkHCz$n+DQQ}}yACr{QW{HmS2X4e0y*EK&MQ90vis3tu5fyS|vBq5ojGkkoSl0W#W
zsU0-@p(Z@pZsrV@`8Q5a%{4OQ^t<$Ij@ZX1w?#NC7rOd1Uf9u_cgOAdCiNt)?=fFo
z&V>2ZH2u6E^TFjzSkgc9aBI%wf9BV%1UFBe@&9bA-;o^EXZ6z0>z^}MuIrsF;#IH)
zN=g=;Nq>6e){Ma8GTF(;WIuQAz4JBoh2i`gr(@&Y<RM%JBQW=v!spJtHYMi`SnNF4
zvmC!ab4hs9;`?eZr-Hcd`+bFu9lV%7xux(!=iWElJr7y@I1(pUU2y0JbFi`K#bYyO
ztvtv17!4V)hvq*2^1SKx(?@CtcRMzfH?zEF<GjZ!v`1Q{V*bjwkCju8d3>Mw3O}A8
zqWx`xh|jE;b?*gH$vM_%*MHelmA&Li|L0e0CoZzr3(x1gw|Ygwr+ZId=^lKt_w?21
zrjymvS8r#T{QcbNCvIMXwQ*|ZL0vCC8||DJ<T8KyNzgVA{mIgrnm-a)<<~q=7Tq%c
zoIdl@_mi}PvKKt*3X#&-sZ`3wW%Rwcvt~&`bjd}JgWKx#CiaWuynZh96UIs5(_SFQ
z(pDufL#8AzSX$FkqdIxPg9T;tk|Z^Etev|&!i_60`?=<{iA}d}Rd%H=iLlmV4`2zt
zTw<x9E}_E7Gl_-GGfHk%l~(Evj<P21gHs>OebD@1@`G-Ln=`E>Y|CZ(L|kY0EMGNK
z$!BhmX-JFb&#YA(lRsUJ5LD}*!_B1JdMe^~ZDY_@YjGAgudDB31SB*=cNaS*ELrj(
z)zQH~vm#PJz=!KvQ-dhW?00Rx<%gH;PP}|~!^^xICFVK1qMxY=PBu0&?wm1aVx{L1
zk#jSry7)XiQ|q0;mHsAL>D$C0i{kr5d5v<KniVi|-=)t*3nvCuo<H5{=JnK8UtCIa
z>i0b{8B1c~x^|p?>eQt9NN+kf=dzC7F?uR9l-?EXoR9#MICpxJn-|x->8aqrKP{!H
zIU`&tx>3J-a+CUfwe%P<b=Jwo=b~S`d7V2^tKe`_^7-0QW!3aK+pqObIrMW+c<~aE
zb2}$>%{XLvJy0;%;<=VqQjo#*0Kv&kJDa*@h@827uEb#K=D>D?eW!P>aF)3L)aQy~
z&-)^|R{~z~n*$aI)Z4JH-69dtvEQqUvGvF5DPU&D%7Zici#Zm5Jkw^$veiiZnZT7(
zJ~Ny*th^Pq;7{y^{Zdi25!*KN9sHM*D%<q;<}oXl-<w-YIWEqs)NdD9JM}9Q*TpDH
z{RIMRr+x%6f9fq*5SF^Tp($$S>mrV6S5+i5rfgewz+vGQQ${wfrAJ@p$7wziNYd_q
zXWeq+RP%2>#ciK%>~ro|YqMp2*|nLq3l=!cy}{14G4%P@#-^y!D0z()q3Iyz%*=9*
zxD__m0Ur(t?+wtbT=1aP>jX2aeu$NvM#aKOAF~RcJ(6`g^K7m@pJJME_%nedGvibc
z)Bl;kl~p>D0RgXDv-TF0gjPEV9<Eqf)}qvAR4((eOy=V;IlUzUiL&l{&`=_4PX+Vg
z4s(N^3g*dbHf_8<vpz_e8C>b{$+T>lHIY-deF?i7L)KhzjhBs_4N*^6y{Zac85&g1
z*xc55^6(r}9-qMe$ipj?JTzb3JUzqo4JzW%)_7%^Eiq%!zD;evj;soBIG7(gx8c+K
zru$-V`d2+-WZfUSm6>ZRpL*ZS6Ga?b^)5&7Ewn$SGR^r#zftQ`fnSS#5?0Qgx}S+F
zEA$(e#){CL+6xlG!#LW1_<nG>#@7CzaSeCWvQ=CT2bn_!8=Baysum>g346{f@@VU>
zyafX5Zx&j&RGiJbt#~B6>=vKn+BchIo1$(eTHlFY_qsN@a<OjWo{u{|_a2{{Z}YC^
zaba9n_m6WG?<cXmkDc^julKG;t?Q>m|M1$0O6_?lZa8!Ehp9!}@tWH|OfB+`({!J)
z_K5cJ&u)oFQc}~yOUpvj!=FCg*l{v+ZtT^YFk<TVt4e9w>gx{OzG;2`+`XS=-_C8{
zT)uDa-p_B}%*{`KUt?YU98IeB>53@JRbhRrrY_E+g!$=R@sTge+`F~(UDSKlMcoMH
z-kp^guKQ+HYwXqytHsK$8WoH3iHXVS=-5O=RBYJrAtCYM!9$0dTU*(=x%oj7YiuGS
zDmQF6may<!Kz!kD|8+h4AvkZp|2hcXEYaJWl@sz<FyJxUjzVUe%B!CHlo<>&uk2vV
zyTf3f*C1_vpjY}qjV2>#EayRuGKh|zdh<2MrpUy;jZ=BKKmRpp`vk>BJ$G~5X86B(
zbe%6{{#lv%vtu=iw`lKneHL-<4dcwk|2Ole^!+=-*JJssc<ztlxj#PJeLO$+ZSNJO
zo1$~xaQW?*pYun4&KF+4x{fO{(~Q&Cp4s@vGC#HN>G_%3#X9wVL5=>8?1NrK{Cnd$
zGx_IceUQw(DVDcPU;0~rH=O%>hTZGrf)i8nqa1C13g#UuJTWCd((#P11>ek1qS_rh
z7ti`~$s*-cRojfUM-+n}D+XV)J(8ok?}h5Nf5}gtX>Xd5{N$N8h+I}ZadCb8oyh$=
zHrExV|9y1s*U{hx@#CMnAOAf1@z0}*x<Z@%7W3j|&g=I*hX|W~Ha~_%dLGlB$``+$
zEqp!sYUL&?|FGvLU)e;?RbTx%rF7n!b65qtuU2lf(w`Txry}X&qt2t<>S8FI_a^(;
z@7<qPH2M75&3`H<ol&gYEF8nJj^#azJj;BRc$WM7M1tD|8qAi+zHBOSt#be6@XO_w
z6W2CVn;+urq7#Aw_FC?_FLOM<@3HyukF%M-*>Xp`iMfAg=f0iAwZ+eWJv;aH?A+J0
zt*@JxuglNdcmGb!{+&PTia-B-_U!lBv)^aWes6AmKR<86{W}W#cRJP;pRP_XeYeK%
zoHXZRtC@_>KCkXX&b3}$3>JKLM_}{R73WkQmOVfB_1xUobGPo<JgxlNr*m^(*Qyzo
zt>d4!*0y5Xw+B5BnvI)gE?4N0i@DjXU0smMzwXV!skS>dv4?+qa7u2^X7=}Q4q8`#
zI48H~^M&W<oabAA=Pj4}b!X@49mUfNpX)t7=RE(6g<_iaM)jS={+}K+R1`SaSSZNJ
z2=McDu(Ka&W`1-s$}aM>diKv0-T7;tPTpFxX`27FPpE>w=c<3V_J3DC@6LOho%bt?
z_J2+&m0NSJ`D*0`EB>(O2VdDl%w=DVCb;)?bM<w0^Vj_EO62dD+w4%UEcpKa!NEh#
z?PC3r4hcVOEN;lj%<AWPGUL0=|DS&^|NrsSe&5#n_5Z#+zHIhd>Y<sv^h2}B(hto#
zEgn8!U;qE@aWhN7UA`$Td6VT9-e&Q9VK!4}m+zjIJYmU&vyc1z%KXoHX!dQ<`$vTB
z|31FFe*d2}=e^ydZcd82Icb*u<=3~L_`Wc^D4bR2kBqvuy`7xA@YSXz`Arf_{hRok
z%A1m|zUA+l(CgK5=by&e?YGuetiIc|{npxuLpjHV(yYbi->tiUD5qtA{m*~CtI`hk
z|NmonAl|<A|HtM0ch|p}KL34Rn0xo)cOJ7l<}WB<&3{~fr*2ad%On`e>f2IQ`|I&{
z{rPqO=6ltr|9bj+y8Qmm=`Y#0DNO3x5xBBLdTQ5>K)%S89nx2?bw6Gn_{XGcev^<r
z@1y0Fe^`QU+SD<v%n`Nk3fZ>m=M9CUI_w%|9vkCceCg|b``1)#b+t)p&$7OYR}5!K
z{dG8;achOyYYAWJt7)=}GZ(*d&CxMmbyw4Tn)S3}MaM5iysHqp8Z}``MtyBVz#G-a
zvtk##I=2WJ<*>4)#-#Iz<aT#YYFw0C$Z8z0MOUx9tm^pkyB{;lYi`NTFMGaxq5At5
zC#|cBT>aO*I4PPNeQ_HK(O&jf|Neql{*1T3_}a^C7i@cda7nDb$(GlxZDo5eTv#VA
zb?d8BvqR&dEgPd`MD|;UbvN@R$(0CvUeG*){pvr7<-*cazr8<pxl`8s*d_n_-(DW!
z@I5bkD&W;K=amjt%RVm*QINg-GeB!X$U5n=I`cPw@-F=R`}ED9V;Q`bZI1u=+8zJ#
zg*R~@^t<rN%VBMnp0C5?wDx8b#=TK1O<K3Wi28$p?;f@;thIV^!A`a$V?JNk)zUe~
z-<8ZcE+?`&`|vBPbIJTxA=jH1<vzCgwjkrSMZfI|HkV802NUIXJI@tYx#E|)_!^(;
z)k?>}x#A*ILA*(-SFPrTw1-Mh<ttvCF`I9-S=Y9g8?s<b*Z+nliMRf~iNBJ0)a_%S
z)!G{x|JM0wn|0+~F}&(_vDa$ZEsb4)$3vuDZ<qLN4cy`jWrl_ryGdV-Gkh6vxlBWH
zanmG2Ee*-VXZ4z#(>EPnXngbGg_$x-)ieH`zIVT7(v>gwo`*X4zOM~q75REi@|ato
z^W4OoE2n0|(UjBOf{TA%_T}8Nc42Pv{^Ecwxo^t(y0&HhD_NX$ds4{lsl8pfu3KYo
zuDG!+aAWS`q}y&sxAk`AN{ik$>)MvNdsE;R-`i7r7v<hsmm`wfo%hv>Bi<r)<Kw9x
z4@DgNYn|7#v^Ba$PW%Gfbn896KX)E|R(LQ+Pr&0DQ)3E*YGX`S6!Evd)SDELad~4P
zk7KTtS(pFiWyy;rnr@YdT!k`nL~=o58MDn~rCo1tQNF8jYuV!yy^k)J$m|TPnboJ<
z{mP<f@e5B`_dF5Xm5)#KF3Pp)y8}_QDEC%no=9$YxRS1Cea+8*k8iKvU-$3V?fLaT
zz5Oo!`s1JbZ~5){c1pi~Esy{I>+5^_U-tX|Hr8isw!ia<KXm6~{`%i9r@yzatNHcq
z@$>cZb-%w{ULXHW&F5dm-;GD+@BjDq_ILUDburz4o<5hK|Nrsj{Qb2*zy3bHSnjj^
z-RJdD&+DU})l1E>`j=Vo>c{fiS8vR(|9Sk`mBoAc{`|eY{QmwWrVRaxPetXNPifn<
zO$o2y(Om!G1@pRs3IBhupI^WJ!jB)P=iA+y{Fnd!zIySMb|wGco|cy{b6j#oULoie
zzocgL`OSYHUSB`||E)&T1oO_P_VqtMefFPk_y6nRvr)`?^_QdyeqIjLeC*#I;m#`e
z;`rfY>FSIA?|E+Yulw?TzuObvf1CXNZ}RznX?cC-<^3-*4hWkwW}ey*n5$T5o3Z$o
z)1#^jZd+TAd|l96bhfi^%7^ZclRq|pG%S!t$A;~9F7oqydBM(BrD1Ww`Cy|Q7vGnF
zf(7kO%%ZH;-)f{qW6iZ};{)IRG}E%Z@1~k&u4TA8u=8fG*O|PKq_V{sv-|#nGRcj6
zI5TDW3coT?dN_H`?PKOF=Q|ozLC5Q)UHxAnDMDthPqs>3{EhpHS>BaB&bg1>`nMG>
zw@AOU@r-1-&R&=Atep)8={gnC>IEAoJ3eb~OsSLbfKVrR_@BQnNniZz*%Ao)WAZg1
z=%lpRls$=#C#A(~*eb&-cs{NBAn_#jq2Y<$hmt3lPnowMbafBQYAw%xzfCU=Ic`hl
z+$8%tprt8ip@O!C<F<+ktdkVDRUE}Unsfq+Rpg=)u4-%OdgyIX`1#QHS7TkzKDODK
z2e`Z%M34NBVOq0*CBl(&LvET^0MnWUTyBip5*l(t+-KgHl!rn_8~SImUQ#<99Mz~Y
ziz%|!yLChAr773kHl|*Zd3UWV;rj~9JH1hJ{k!fiepX=KwRRnd+?L5#zFH)TZB9*2
z$mxd3{k>U|1)nwszD=;)y!&x-lfk3pCWEB6OD#9=PJZ3Ifbs2=o4OU!#=B*Wb_*{5
zZZ+lapXd7f|3BaU@43W@IQ!!QWk3E*I`9AU&xG@zeqT)HpD+IN&eP(_=jETSu5qfZ
z>z9vzeEj*3kA`)7`sJ^Gd~8%Fll0>9^L)wUhEMj@t?ZTO=lu8QalXBs-Txndr|*|p
z_;I`c#zpnNzx-Z4zy9CfIYE6tI%WvU3mQLKoGbC+q8ZPZ3~9EiExgROubw*eS6N<I
z9LM)%#dK!d8mn22A&-pIIu56I9-bk>H{bM}Dqk{}Ma?t|ndP<Z|JudPTkHRQx_tkC
zQJ*Gz)s^Skw!8jl+u+6vzp(UgGkP%p_x6tW{`3D=9r@JJA0hWq@U*hrubp@AC+{x|
zSTj4hzU0Ef`xY-Q9G5A1(a-m*CF*XYX+nEwGna&Z`mPj#=PS-KAGNT#tjcV=M(V}G
z#zwh&QZF9fY?RaD5y`c_tQsy=%Nwvo_m}K~jN6vwG8(tc&dKth-ML4ie7R`2m0Aa1
z*GC(*d3;@}(!x>fM=RunQ`u#YHM7ee>tlDleeB3R$BWwx6DtC?<oX<z5y_RlYz-3L
za?$bPHV^mE!{uMx_SgN)-PyO;ZeLXKnP2PAzklj<G&Mym{*GH3U%O$9gY?_Cu9yDX
zEd2LeRs7)Mv+`$DEPQ0kEqsj1EqvU|Eqr3iJN)Frr}K9)nyf65a7eCtu|UEhdD+(m
z5)Sen$K&VQ*Z%9*w~O+Avv}(f_xF_#=KuTm`+KpOLDK)SzSVzjcmBK`Sj)NFvU7fq
zd{4jRr4A6T+#{_kpSaEFR>*<gwJaBfHcx%-bg|>67vC1cgS7!X4-5<I0{+GFJn*<z
z?OkfZ7+zr_7T!^6aE<fH>ivIYL@z(gm46rWK>7yv4Z|h7Hn4B7-XMB|>5asqSZ>}o
z9}XYfc4gj+d8HM*-+uggUcdhL)7RhU*Z-{IUjO$;?rzgdKLj^2|F62zCMdr(@rZk_
z#K*u7%=Q0npHKJu<hB1@+58XJ_rK%*%KrQ1`}_YtnqFV{lRx(x`}R+d`S0)hSEzex
z`~T<1m+${`;?rE$n-8wP7O#If|Mqu&=63zO3;Tck4FB=ydHmOI^Iu0B{<;1*+7SPJ
zuVCim?eTf{_w)VZ|MW2A<9|2z|Mds<*Y@i7+5a#PUitUe({$c7VU4McJ3hXh74%qa
zfwcL{N!1Nv+Zc3n7^7}5ZAolkE4{4fa9hXWyM08%yZQp{?+jD382RpJZL+L+>~1Xl
zNB@4^PXRNxX~+7~J{-b^SJb#0S0uU@*KLxplVG+^dXT{LrbDsWaDy@%_pt+g5^566
z)>1bF7c(a(xTk#Rb&OQ1&gVHLG_yK6V4Cskg2V@xPozjN&vz?3B5^}dQXHhpskc$q
zaDf3^*%6835;p`Nt0gD6_Z;oXEw`)RP+#-&?Qu{w`1|Mb|38n<Pfm%uy!*+|`gr~?
zALsx7__@Dd@aOf~)yWI?*Z*JnS6OPJeck_mPp|9G?_b;fTYi7--@nK8_y7I#^Z5GX
zW+wkH`__H>w!ZF@+5eNL=lexJ@}FP#|6<*r{_O7AFTTs)Z%?y$*gkRp%lewn|9`ms
z|G4(w<IOw&e|%j0`|)M_eJ;}(zes5Ef7$l`jhTw<_2BL6?|n$`esx|<HtN6p{`y~k
zRA=R#3-8I!U_YAqW`|jMz@NK?XWuWF6|v&uibc;}aQu|LnsxrczS_tW4-FUGe>kmX
z#quMidrdj)y2=;5d!cc!Gq2jLcK5IHiRWYO%zxUfT)BVF;TaSC_sIu;^mcf2Nj~_0
zquk~-jdI4D3@aw|IqnSBJA7f8+TRs>uD|+jsFHI(*W%{B`u|mapVoc2@P&*2tN)ML
zy*9IXQ<FK~I^SGkH6_<zO0MA)S)H9bRn0f4ER32Ipu017TEN+7T=i+rZZ%IhQE`g1
zn+?x;e-OSSv3aWD4aGBIX4^JxoSJTyawO;J&SREI+djoSmic(`7~hYKe)g&@cbRR2
zs!XTm&;R%1+wbc$ggEY+&1bevdcS0*a9PEX&!Tc4Yg+%!o|mCnu|-5&qjOryqzq3r
z49Y#cMAu}4avcA*r6B_Hfkuy%z1-Gh{oCj+6>xROidPfA_<nE=_>{(~>Ky*z#HRR%
z6Z`i&^=@d9N(`-P%DT93Vs3*yuk)J2D-YjoVgLK@^#AH_E10jQ*Zz8JzrXJKC-o~}
z6ym%3-l}D;dqXBJvyJL(lv{hmVeeFqsvZ$GWc(^DB}#JT&qVpPoUWylW?Ai@Y{lq1
zw_%x|gTCmh$ydBvbqm%l_*uS@^<LQ1CAte(r^;4;p8V;@cHz(4OC3{>Z@RJ}IWp_U
zMjg{P5!0l~bhx#w%N`3?Z=N}0?G@Ikwmdga9Sbwt_DRO6XU1Bab^+xy?emI9I&Plo
zUsk(#e@*PKr}y7}dVjjy&c*Kk-(7!xSN{C|_|yB}Pw&5edjIs(`_rF)J6-(y>CU&G
z?vz#L?Y7w-JMXsM`P}Kxx1BD&4HhnrzPtVXeS59r{`Fa=^}oLTy?y<D{jGgJblLtq
zuP@pE=fmGmhrgfZzpu}>R=oLIx8v)h3%({@s4~phE3qYR-fk#z-Dgw269t)nT4~yS
z^U+E+hpt_VpLs;@e)VwcR_(6)w%?O@LaH|}x;0z$>b*59zNNWtHP>FXH!?8yYxknu
zi%%?i#n?4&iM<6?Qc=<iGH$zXz4<^t{{D0O_~-WXpWDknw}1cK{`%+n)8$`3x4-?<
z|Lw(Ziq|>z|Nrq<{p||jEcdwq)~{O3CA<Cy&RjI{>Yc+k&OZL}_Qlf|FJC--@y=t(
z&WBra7C$<)z)V+L<DQnrJ*^k}UtZqS^yqqvk*>Ge<xMF@y54E8?>|*YhVxfDF;6x;
z(7Qa<dfL45<Ci|ayHQ^)zmT^m>~Hd_CY7m`k&ZK`i%vnIt_XOqPz+nDzC!Hc9v!{R
zEtNNUpQoD7nVuUm-}UpRrl`7E(?zF<{b~EWskdrn!jt;dg|oJNlb(95Zt<mC`5{ri
zd#ff3g;Z_o-6d+-<dPM3Vb2?oQn~#bmOktk&RBdoaa-60ljfUIj{4eJZkxCE{?gCk
zE#CTIHf!k`zlq!VOq6f8e#}>YmjAjZ=eSY$&5dV5%XEsT%ASr%1#`F0=-hkM=S<kE
zo*aG$P<HKIdqwhQ-%YQ%6W`8&GVOOvy0L8Tj?Tj4X3|G}d#tzJ)W}P^v+=B3q449r
zT(hp(66HEv`8zgFUG<^wrdMdi@tmbvdy=;WiQY4eUdfdo(f-r5bVhuL@v1F*H!j#x
z!MgN$_LhkoANUqu-8a#2=F{u7%E?Bj*Z+1qmNG5=dvDLl6ov3swr6_ny*+PloangW
zy6oZIKHDjljP2I5qED_l`?s$@Z^?(XZtJ_YD6afC^IL-J$K6(|@2%)_?Rpil1WLUy
z6uVjd=VQsw53#ZfMXuWI)`(hD{5IF8OU!(W#VyGN85_@SHD9%O{i2N7Yp;iByg0LO
z#kn`#ug=tQt1bCs^6`lqzrWlr-sk_zwG;U27hBdi%I>=;vu}Y(p!tl$>8ume6eUAc
zW*%mrWLcwtprT}T`})#!&xbAjw|%kao(+pV_Za-j=fAE0X2aiv_lLg;%Wj+hV#8mT
z_P6R*IrbM5e|PfT_P-)4+k3ln&zFy4macKXD*9uO2DoHbRA;Arsm=@9)9*KNw#fU1
z!B5nV{(dg9)AQp!OV@VK=%1@Mhm?F-X}v3~>+$iZE$5rfYof34_3zq#c&*)Sf4TmB
z1|4^cm0!0yzL_T-ssCohXZ7x7w{IBg?A(wXQIvRm!;?b?`Z6~3Hp*U%kY=_%_wee;
zyoBStZ+0}wns0dgEKs^SP_%Ms-`o{l54P`NJ7N~%8poU@yh>?3<Hp#SiPP=Oy0t%D
zIv!T&8uzO>@v%$XF9|ozPnV7}cukyc*XGx?=S$#vk;<2g!!$o#a-S<ClFuCaq_xAm
zN9&W*k!WwxO2tRME4uEqek==heRrrLd9{*$?H<;!iPQhxDX&t}uYHpe`ebSU-i=**
zzHFQyJ8`;Q*=CS+ab>P?ziymdcfz~=U9x=ux4(3AntDwx&#d#Gc@DNZey*ISxWgdB
z?z}OJINRChm2D3W9q0qeG|E1gY~p6NJ_nL0oA4g2plnV$haS%xi}M085;qD#IyWfa
z%1<@qGhsX5J8^+wMP3hU@4@0^lMJ3e;*TAZAMBU-Bgt%?^dp_;O$Xxw!wt%94aW}j
zW$XuqVUsko^&(z#wlWv(Jf1flWp{3PAD_~A|N6@(e8+=7vgdkOUt-mqVHd|#So68>
zOXHOKhpi%SZ7&NmyH+39Hsb60*5^45G^Qge32H)vM7H&Yr^tMHF{$j==^Zga9Q#U3
z^3|Ij*z(K8NrWu<{NQ1$qpKB&<8rz3!-qo)l;*PY>-)$qm~JW-#Qx%Fe{0{yL!Ad&
zCgiM`T<3k9Z?@C!rODUB{(t7GX`1`MsX(<t{f7dFmOIaHan%j|X9}OCR_AtD{@?p{
z#i{yy>s6ob-|k!W=lizBS}>uVpYGrGTleStw#nN6Yj1B`_O<@spU>~>Ykt1`^-+F*
zy|n$mZL%__`uErWva9+1`n>(WE1wp`)cx6Mwk!5Peck_U8ZWq(IA*z@EBkZH*Wrze
zWaGagotT~nWm}&;|KIb=sAga8?JuXMpMQ8c`A3Po-P*&;Q-54(_s{)dVxzz6?9<EH
zKTM{mMqNF<e4)-ot9U!!)XuPfpE5PPzlc3w{vuFse%mIO^Cdq`rl?l!0IR8v1F4Do
z@hOwz;{5-`e|~IF{d41Zf9@ZPKilUY|MB<Fb;Vou|G#ek_;`ADMa{qeGpZ-&oGeti
zU8ZHo!s@tomfSnBiRDYhr=^L_oTxkhzs@~T!AT0|?0nw;IrjdB(cM$4xil37IJiWg
z|F345_4)M6?*DR(*?Z2YJp5BMN%3;;=hH728x`K1BCOw@`RJ;F?;fdTo#BT~K4#5O
zi{rg~WOd@K3R9oS@%DQs>52GR@2xxedikEZ6R&sgiF;Z){d)JFX?ikwHFdImHhZUi
zYc=*?zqIz@h0EEQ@e)N<x^KCI{nj7ddjIq3>wi93?yotc|NisolZWk^Z~iHIr}}@Z
z`RcgTka=;hfA5akeqFF;`SnlV1*?`{-+d$cb{YHqxO4B@{O88M^ST*_3!hud6>hbc
z$KPuIoM_Ryk~+)nT6<pnDayMl$g^#F@oKyO>s_a2%v_K$caiwT$uAsB)UAy62d8;%
z5!m?kBI_%Q*NyiS^e3pFV1B~4si|ZF>ypMdiWWJOEH8i53SM@3buCY4_*4Vg{Iq>0
zyIhxg2b<q6fAoLS#M(dg_ao*1-!H#*{Qvj4UqAk@O|Sj)|BvPGzJEXd?^|sD&tB<i
zp_`(#-1^TG4#|CcJ>$^7SKDn?6x5maJ_(#-wO7jddVXcX!#`Q4v=(ucR+*-X23A<@
zowcb;!)$JxZ*C{k<(F5lOq+3fZk%Vn-E8aTFE6j&dsDU5e0SX2v+KgEH@`-3@9nFr
zGTo{=Y5wKaFW)PDwcKm<KUU4|ap)7<<>CAN*YBGX66ksUW7;#D<>Alu&-6U6m@4@A
z%d0zYdW4sUKlkr^VG#4>@Ajo>!G#ZgoPHl)w`INkzPi6pPp`NC`+mFr|F4hl*GFeP
zdvNdHzpuCB_sG|u@BjaA|Nj4f`t$AoepCJT=YNHD-n$9@|NkAg-xp~$@9whJ<1*=U
zUiVvvB;~0+;c#WQJapBuZ$jAw<u|fVIC|MF4?TD66R4gberxaB(-WTeT}<EdTVgSr
z)v>0=KEdP#$uW{IBx>2Lj;(0yo0zj8d5`o92~qZ>*V79h9?efZb~}H6&7Y6A_3c+z
zPX52~{l-}@md~4}B_Q+Ta%0(h-tW8Wrtgz{?^pk)e$Ux?g>|>T_WZ2<|MtK8`5E`p
z&hNN6PtauF%0*}WZrj)Y{_*4E`}n^2i<ixpMV~mA@AG}y-1{%W^Vfvu-&udJB4+Q$
zJr$2nzYou^2^P;5*PAAzH*JcZnBl8iN6)>#bRxJ!_S>!B|Mr|!{kKAid-vA}J(-TX
zRXVnONAhf^8L}sBm-Al4yfM1}>D9&?zcz+g3q;K?|DbUweeS!@k|%1!Og=s?&v<nF
z{e>g__ZN1`?{^lqud9DQ^;B?hew*oGvBSF(S0|PqR&WtpB;uGGc`AryYpS8o#Gh^}
zbYJIoy>M7O%T6HL-mIGE$hH1nW#)}vlZ8(?<ovyH!3ZRL94u@G625#6B+Os}5*Fq?
za_zpM(w%i}U4MCbM1M<5Xniv`2zisgraIC7-jAQl@8`$=e_WruV71%7Pq+QwPM^Ol
zdLP%{ANSX9++98U$=`3m|EHOozEu7lqjN#2U+4JpITydh9*@8KWc{OGXMQEPKIh-H
z@#6OQZ+E_YDYdrRx9;in%GjePp55Q^i@R^$Z)u7A@8)j3kAhda|5g(=HNCj(<YvEH
zAD8c%A}k$ra9-P99_u~k(dMr!;$Que$e#Rlid4Gu_SiMIZr^_9y3Y6wpBejC<L9N@
zW&N~E(mO0Z8GbwS=6vDql1-2O*{!FTUJw*uvpRIl!M#B8ML~CCpM&WI!E<a@P50KR
z&1vT-xm~<yv(Z<ZBW`auSIH$UK5mn}$lqIj+CAM^-M7>9rbXTS^5pQd%&=`~sogVY
zE(FsphXb^uRSesrZXR;JnRt(HpG=L_kAe^H6xy!#|Ma@HE9Jvuj<1gmd2Y+g+_9g*
zRAUhMqoX9L<<k54lXvysuRl`WSN7y<ex&%7{YQWNJ1Fz4dFDCx&kOrc%+mVfZmMxU
zb58uWpHGeUyPW%UaL=3Oo447E_wc7R$)6FopAlMT@coa3<g2uApWAvGZ*ce(+CA$G
zh}-kmKK|&+{><ANH;;Vn+h6<P@{Joa`sd0d?#{dW=jASc|LyW$B<<Zy>))1t-u~*o
z`Rn_0g6j&N{WRRRK(1ru6L*z4!MkS#vI}4B|2SLV_x;LJ1L1!iHI@HPE$lkAtK`Rj
zmswA?&iAv`+dO@~+T$>%;(v*Ul+<2d%+LO_&2?X{<-Xh**}M1tXMQt%zMbFNsBdAu
z{;l86c}3i~#49`V$j9kFe?C9HY_rP8h`&D$p8xOp?euX=Wqr-%9y;t*MxxCxzDDk^
zy>I{e@$LG*$v>^mO;|GbvC{AP^*?LhPoDVu>Bns^4eq-1Gv+Kh&R}*inPK*eh5P3&
zYgjI`b>04bl4UP`9_eE`&?y`H4MMXv2+#ifDycXwHs{>xZrQ^hcAh=nP}_F)pmMg|
zVGvH<Ro?dfbB(vv@!r^vX5~je>HfR>?z3rGZk);fJ#)M3kMld!#5{QR@loOTiNBeQ
zIX0VoJIHj)eag*eDaX%RJPS@rKe55C?A`nGA1|b(eQfuif8%3e^Gls4(&>u#Ex3Ok
zi}aA48@y!mgj<QLGA1SzJvpa5<Ik`8|9|{8;ZpsZdt}R7|M{POEqr!f{>B1+(Kax8
z_bJxh{bt<yPf_#3H_qNO?cT?E@%x@lKhc>tQF!kB3UIAhT4$NAelgc*-h6q>rq2~e
zA~oweo=R-lmT|!H>5Wr4D{8}@PuVrMYLU_VTPx1&Hq-jNuJ_cQ?8Q)SR_bNT)WEsH
zc~?$}>E*AOsvC19KzsH%P4lc&?(3#sBo>_uc{YVL)h{vtLVbwX@r&p7{wFeTAAk4l
z|JNJw@=LzV(cs$08uMOByMGM1Z*lGBm)_OS^xW_I-?z|e{dMg1t6uGszo$OA_&x3H
ztc_lNCi5R|EUWo-N7MSQ{IiCs$Awc4c&Ram8AxtmI@uuV!M4W1C?Pj}ujt8!t+Jf~
zB|Tr3Z+mCedFG+9RT^9Aj<1q(wZD0sL!A5TOfN3x_xti<y|C@CZ!Y~71{amne7<z(
z3)?1LbXl9De9ZUCDYK;KAf}o>i22uLZB8=J%oS5}dwhj$=Tt7fz22;O=kvaq$2(;|
z9bYkvn`tB8zlDDdetn#Hc>cqQZ|6TW)VFZ{^0q<hMC`+uzHM_Jete!T>!~zby~KoV
z#-&PwUPhg{?3W}CT>4oy$$jE6hH2-PZ+2e3O(^nW?i!0VhL)G|zP}ZIs<;31;`Y1e
zKWL=PeQ4M+@1fz1w)Ug>>aLy7GhRsQ?c&c$x%{y)`C5tfS+3u9+A{N}@Bi>+^WU4x
z&zOqV_4_VU)?6FkE2X;m*QAw`jO2cs&!3fYdj6`S+{|^lYi||(bGefEN_^w9uDFf$
z^X>DZgLy5atD+Y~x2^Ku|Kw}`{C{6xFFBoi_r3Ht(YyQp{B{rB_}u?iecBp3&+^)%
zS&z@x``F8TvETn^#iIK%?M3$IG+5>KmwbC@|M~X!&*{rP7g!%=H@B@R$UfrEqtK;x
zS-nfq^P->*ThdiyH)mI!f}+Xy*tdVGJ<_qZV{Lzcy6tP;PfV3f9`EeWO*>f}Jkz@F
zhK^2+%NpY=4ihFcCa}I#_@n*zLywWwlbptW%d{T<e(ryJ9<1lCn`*Ls^^%>jzT#5f
zqMoqr$WOaiU(ekA@3(aL<<>IO&lz>?_qVTJTYvuy--N%t-j*9qr_?_V4i7yx!F&<Z
zit7L079{*kXSlRp;-b%?JKyg9ul?wjb8d$8*0(E8xK*mgt@@ia?b^Du%=vpS*8W~5
zbo)W~U0c8Rzoj=^`Nx*Cdp~3Tjr#}OF8uACX!U2#{uScNe|Ly{=5g;UZc;vOdGPcz
z+tBRWazTr?o;|vQJ-z(Y|9gB#|NZP=|Nqa+$J67JgZZ2e_}eQbe*W{L*WuxDe`Rj_
zx|`pR)c2k4{k~!U@n^x?1-2LZt`xaje0|D;b(#mSbJzJS*fw>_9=F0N&(x}XuB%lo
zSu1S2EL-^N{W6|JFbl-9U6!3LCC$jQb<@#nOwMa_HlE8|F;#b3Fz?n)M<=aa7`82f
z#q7!{vD2s8qHZQly&a$(t+OngfBvo44<^>kS@`#Ja#m5Bd*)M~wQ+8lsf)t&7jH2N
zTs=K7YUYZor#064T)DL;a(4fvQ#19#wjDiXcE7ABK>K#h?URmcb3W&)vv1vWcKckJ
zE2r9S`#tHp*6EjP@#0F`{~x<f_{++a?DDB!w2yc4=ck*WpZ;?GgT%*kA0(vD7yMe*
zayj*vaBB4O(_i?l{+>GN(r;LO;qv-9a}-rA;`?gbw%7ivcG&4xoV+^m?uMfq(r)DH
z+sowa@0sN%THVgGHLvr2x?k7*biJ(IGIjHJzA$vWlX+^vix~=hCYdS|e%3zwn-zcP
zWQO&eGrJF;6fEn|kP`^BQCL*r;8M8VcE>i(8FJ5mFWmL;&u_KMizln{S^YfWsP1WR
zKke4-hq?Nz-+Z`z{QLX=zdn8TkH0;Aan#oR<@dxkZVqNFTCjMT!G$MAtzwH#rgCKl
zSlC<$;59q8nLo?E{GB2D+^Xx2rWWFJnSMGhUr>J`bAr{1skwd?d|9cpg;&l!=Xu?6
zZO+6qbDe|k-}(CV<I&6f{qN=0%a!+w_s>4QXaaAylbIIR%@q>Wi<92;c5O7*I(84j
ziRJNLenQ&B`NEy6h4$eNEL&y$9_F_PFSxUi*TjnJed4S<v*#`BTlFOU(pJ39E9R>X
zxPAB8ogWMK?cKJ!H<u~>S6RU4buAkl9d0Nn<V>i&>Ucw8L7LOmD)!JtKNt8NIL~;&
z>EBO2!>aJf&ptlCt$+E)Vfzi&W~DD--x_CdzJ&jk{q?GYHn;6o@b3Do^KZem!_QwG
za9o>HeD&diux&eM6$WVEj#0H-F*SGISD7oP%+4<Zc{n{2lrT2$Z;rb8Eb^(N>$YDv
zy}z#L^*U{)rF(nDmYl_xHi0-<(aYqv244K-=K5NC>!y|NxmQks1hi*YU2$8R^C}R#
z;M5m8|37#k@^V(nE#+*<$<1c!4}RxQndkod<##9cNh~$LI`1{AFwU=ARIZS%>3hID
zYwHruA8v1-XEE&ye9btkA^%EMgW3b>t#S)Ef4Hs90STO124)sB*~L7{Ev%SxIM~eQ
z!xU?No77v({*^xu1dG{!nDUwb&C$+zwqK{%E-g4;by0bOh0n$3@waCg&YxF*GW(eC
zu1zYs@BCMM+Iz&fs^U@qi~NLOl^ZvbX8hiB)!?@Dtgq+O{%*dxZ~4t1ZhyB!=-m64
zb7~fM>~mC=)?aaNdcdZSO_O@Xr{vVU-ofW(78kJT<Jzx$mw1iEE-V-NooC~}p8eb1
zig~;E-|XJF>qOC?f~5=pMf&o0XH`XnTt2*LmYmqtFB?`YYrp+IKWf#=^?&BQb<E|Q
zw?DmF!}odn=l3_~Ewu1*ES%Dmvs(Ay`TgnEfptx~2i2$ciCvlUVEO&!HqKrFsy`kk
zFh2dhHQlE5ZR1Zxo=?o5UzW(&&Anl7%kRhRy*KB0kpAtDS6;Hs+x6l=aJyW^tAEVF
z&7FIv6x$U(c(ybr?v!N&&zq%JRz2w3J0(i=&c^9MtDCIbrl_6Z?J1khU}bPCx9RhN
zjPk@SyA7-}6IyOB;n?mem^WGJj+*NZ->!n$A`i_pnFG2KU;2hIM4Zi8H!HGOr|0gn
zS&;(C+gGNE9l5bL)R=R*iY}|s>GoL@a&x@yn3<_oNEhEPoG5o;_w)HX<{H{waF3WQ
zq5e(qn#$ewrILx<zn4oUaw~rm`BpnaaNCCWhkG`(t~_|;N<xyps&bCxS?`;Df6MLn
z)%^eXbh^C#|KtAqK~rGw<v0F(^JwnVPjkzDo-<sM|NhV4`u+dD{QYfrm-ENz<Ms7_
zK0Up?e_x&2viQ0`AJ5nBzx8tO+f5hk_I|wC`~S!F{`L3w{rULyd41i_zsvU@=i3&n
z{!6p(VE8|SMNS93OnK%ic?2ynVE=mF@2^E>YG6z7woT5zpHE@%eBgL<RekM$qvdlz
zk{iD+$Z@`)nWlbM>1DyrC2t&={r~1ZIGN9H^ZjY#a=VE$3X>A-_8wi3`#rwmMt=SO
zAO9X7_m8iy>E?>Gwfnf^!@=59N89D+R{ok`!Jbp_rc>vr+>h<{bu+ygA204_Onsev
zb?Ubr`^rz=%CyX#=1`cBe0jzO!>VULkKaE(p>Hnd@6eWtn0>|jYut}reaCjY$>@gI
zl!MzITzMnw)w|WZx3uiv8OHd}6}Nmlw{AWnm7)5|g*#ArmQ~GyHI^PmTLV3pnOU*6
zH{UvcRp_;wYT%s}DqYf3T?!XZ(#Q)DI@%j`bIXxkfh_yB$TX!q{bGGK@wvSHzQ12?
zKbQFSyDmlf#r^&N|2+M^IA87O^XvBio}b<x|L@2A!=7CKzueyc@9*E!>)SbmZCz%(
zP(0+aJWcjXN4aD3)g3>+7bpCFIrrm}-}N<r9v}bdZ1(SM{Jr&tIx0suOb|Kjbm`<Q
z_PILs7aq?zwKH`h>m27p$G&g;KgB%f{huJGS>|?o75EmNmA!X{NsH@*&VmJJn7HcN
z*4zq_kN@`f`TVTr>J*Jalc%rNe*Lxf|6^wP>-o0p?^ng{|GKZ{^<|0a`CDIapZ0ot
zpEBR&==gf6<u+e>A5UrDS2*X>1#`Xq$$z8e>*VvNaR2@C`1f`F_`08e`|mgZeSF=1
z-?5j+FD^Vb`S{I)vd3TS`Tz6&w4eTd?^|EYZ+;eUbK&2s#;5D$Uetd*@GG4E&i_}9
z$K!1aWd3%t@B8=b^>+QjBTtP3<l2pvncMyQaosZ6Q||9yk%jx}{?8Np^Ypgm!=Fpy
z|H=NJxi7!{e*N!Xt8M;%thTMqI`aMf&(!%Vuit;lZQOK3oUgk6Qt-WP?Q3U+$r|r`
zd(i9XGq&g9wa1?MyRS^FzMjA}{r?|hn;e#1kIx3YDV)Lc-e>cMmvc3L&$>4=t@^U{
z>!;Siab|mspB7!VK7LYlkMUWT<>l)h2hOqEQ}x<P&NVQn;>LIX*|nW2lXGTW;eYHW
z_~OmQ>G?j!U5|UupWpQ7`TGBp_^mc^r2V_OXyrVE4<WS@Kb&;z^|R~ZuKn4Qv(Ap^
z%*lX9D>oT_2w|7J;cc0vGkep&kKcdz>+o9CY)Luh-n9SW{Qp1x%FF*>lUJRiYW!YS
zd)u?U+_8UBkJS9V%KU!+*N0~B8qe2#4ENu%zb5JDY4u<6b{qda75=`z=F!LL{x<(V
zbzYCR`#9Yy_FvHQ)A6rLetOSsd*82^RG&1<mgSCC;&YDgug~8<_0#CwT;|gi+C{OC
zCl#vvo^o8KKmY!r0~I3Nes46y=FH&Ua@RmJro4Eb>dh;QC+$4-xo`OkqYon8Y|qj+
zyO|iw=X1?^T6z3m=31Ng5nAW1r=9xTxAfDV<dqQaGB(Ni?B9a2o>m?{>uuSu&0MYd
z+%P*qf9bl3Z)a={iT>wvs&bufz1mY7@!%8wyW|hfOzXCpKKZPvHRCSZp6wS`GEAS6
z{f~9)v!{J`MXyNp+m>w)+{VTFd5PexA2|VD2TcDrO>yY%wYE8Qg>hrvv@@;qm3`()
z?2}AAC;Mpj&ZOx)_s@v#PH|VTHDvLK-RS*{c}eW7+lITg$Ig1auEWk?exKe6hR7TC
zXBi?T7A`h$IzBHyvgbnLq%)1M5|ufnci)6fi$v{q8`$kO_#Kt7_Jr8guAD#=>Y`?v
z+>}C<qZ>pv?VKBQSEoi+<l@mcomwaC-aT4;Q~2uJc`JH1g__wFef+p!%7LTDbN#P;
z`My;>U~Rw^`_;M{tJeBToy%TP6%qJ#!=hJ-u2&Ctt!fwDy6NS$bG=bFOS021Zg1YS
zb+ZE3Va7lSzKZgK(tzCA0ed$`y?>Ch_KfGY3WKKOj?ZOfnWJN0ihc2AdCk6ywRZ6}
z{x1n<+}6s!I5?v>%Kk#Lq4d^GFAvT*D->pCE3r9Hd$wH9%@tF9`H$sXIkk*E*(@uy
z+i;pmTZHr4oGOE~#Sm3f3eNcCCZyc9jN5m<(%(+!^_=6AFH61omSUXqD)i)?S!S2c
z$ojci?5pYG{Jl6%X<cou;Qb3Hw|N>)lDT64dbTy|vKq7Y9l?@{>z4d_K0QBjSpk2r
zxz(I^0#OfImDrc^+;$Ta-|)h{bNQ3p1gqqv5MS=aSy2+9mk+I&CHC#(^w7(P&L-=t
zu3px<cgjWk<&MqlDaSU)mE=9qeB(O5_$TxEng0)n)Xok)^V>j=|HP5`A!TRvA8b)I
zRJUaIkNa_c{dVPZeZ1n1#sZo~{<<!6GgN0io6z`W*5rh(iAN8tY=2kqYN7R<N&OAa
zye7Rp-hQqsbLr=m>hd8aJ1%NU$%agtze7jz`t+QBzr#-*mp^>IAb5f83zIdnB~ncM
zT8E#WotBm7{$kct2|wefRn~K?9`h~#Q`mla#iqlW>USSrxg>k;@{#7K@AHITH2WR=
zy&$Qzw@cJaE9}OKh{VN7t-Vn<pK+c7bvuqcUl6vfV?kTg&1Weu7KClHJZZIJsxP;S
zxwtL=n$pR#-gi@u2btg8c*VC&2g<#?z~qBT3$G39)5g719<uUPYd&wRO3+^_Hm&^4
z(kq9>t-l3jv8ErN{9A4Yqxy;`&!wmSsaZT}etyWO&%LMaJT6N~TYOM?o`15B-I+yR
z2QzzaJ`|BF_fS<xwS94AGyf@nhg&rPUI!L=IeIPF+$ekR*`_yVMdnUk+g5s5?C`9_
zH!ADC>`vabO#AP<8S~G|WF^?iz7x86X^HMK73(QF@4waGk=>T=@Vs|@^r9shUdy?B
zJ;N7jnrdI^xN_3S<MqB<y{kTpg|_Qt*@u6>nirDn5ZKG;YRV>ZRU!by(VqQo_1zUy
zeP6q|Zq3^gs6G3h?6%{IlI&$Vj}P?e+qoQTls#>+AsHkvH~09Zn`Jt8pNoW@-}L*)
zW!07JqVhLZ{5artl-*3rZbRV5#@;UeH%Spyvv*%IYn`FYaLtc-*4yo@%XKQ-jdto<
zq~5c>lCa;u^F{4L|F&EImYKfoMJ3xFTE4t}F!SFA=L~CwEwTc)&YgRuutheY{aV)E
z=E*MqWi}srdGhCT$Fhpj-`DN??Po0fYq#yqiCeN;)UFtPcUzmCF|&H{zhVe&CXzj~
zdhxZlThHtb)Sf+Ur+HTDWsstrsAu<9O!Yl|M|$g~%$e1T!_0Jx^RJv*=3M~FvO;&<
z*5(-go7?TgJDb_eEOnCfY@>6%zB6AQTV^-U$NP!(lz)K%zuXUc%Cl~LQ+vTv-gDw>
z`78FP)vlB@PY`+`lGYw<^SebnRV!pW|EXn*=7^XGXVf_PO6$&@qqXvXOz<+J>qp;w
zDD#WhwDo3_rleGb*Ic$~o2KoZnOR?U>MGkjquq_mZ9W_*{+jUM*~clXd2Le5n5!>7
zmpXYMxX*IK^rCgwc-}0XqwUBX?B#cn?b+oy|9ERYnwakJcM%NzaL_dP%Zy3lZS%OC
zSGOiMAKDO+cw@W4o`nV~`aHIx--68aRcrTcm|isREYF*zDeQW0mR`BNu<Xs!D@~u<
zmOuQlAV}cu3lkan5~)6ZE8gSmbK4#-F;jZJS?W^cm0OB#EFxRWPUJfUzFyGtylg>o
z;OhkvmjkZcQdBK?Y~B^cxlwE?&&p=8t!8Z@F5OYm$?}PT*$Gw4`h%CPn0n*5L+}-^
zIrofg|IVEFlXtrHJSmG0N85ekXU^c2nc*LAVz9A(7B_pEhLnVAsqq<JouyM`y){#h
zUr9*HGT1nM5;uG2?CC{(8=0#$w<c^!)?X^t19tYszUBYqD*7YZ{4PFS@K1Qzi%IeA
zIfj}>*P0iV%kau=RFS`yu<Nj<$lDA5ETy{>sshZ!4w`5-y>wij5OrmZKtk7MXRieZ
zO%_HfUZ}irY+1stjhZv^a=4aB?>3m!=bV;R6Cm4t_R5b1R${YPesolqw_A~aGi61y
zpWEHcFD1@vb1t6e-MZ=Jp>J+$b9N={3)G&icO<{0=+Iohse5E$_?3yy!gHU5LEJ36
zi64}5HtuJ=*5wnp_Jqh)6w395&#8zc=k!an*YIXKT8A_@l{tvaFJIv)IsIf=#l`ui
z_YZMJ8bm5;ZxJe8)Vf0O=0A(+Z}_t2UyVsQy~D)t#>OA_`cw^XY*b;B?kjk>L50nF
z+L46glO*0m2sO)kCv8a9U#i9iDyxp)-cYiq*X#K06&Tbhfm;^xHy83O<o!Ic_oToq
zpSBArMlEWS6-^}n9$Wg`dfC>PUHg;kwt0UqKeIe|eT~(|`d_QME9<Y9)E~ch-}LT%
zL!Cn`YLZ*xInP-7-RWEP?2l_1SK8`eX6O7euC&)F%%3y!Cm;Hkd25M5PLPC|CeLh<
zHeW7fHqm8l!r-ppu9S^C8+n#VAKjcMcj=8y%Hl5qAv-=t`Z;qcG0n{MaNKs)$Jy-E
zwpp1Tn=eo3y?24d&zUQTN!<CY$&#6w9!A%bRhQp=HgS@6e#oa+y<U9#0yXR2ZN9d0
zHIL2CI|n8=^V#hDd|>itew)&%jY~J|O4dC5E~0B2C{P?Lj>mcFn!Uf_y|VR<Y_;ZA
zkA2BAJ?@m5E6tI~YoByetVMmN>w#XsT4l{&7ajj@&S4T~<PKuu<+onKVkTsmrRv(k
zJ?qsm^(mhttd7oYUX<#YI&D|r%!~K>vc8B|++lkDO`~LU=swPcQNh0YCrkuid2wBp
zo8oong$#e-llzK?G+Aya9<uEI!=4)b@Py~XFYHTM@*Hk-{aL5~`@)j!oZZSlFDzNz
zZ9aWA-<jnzlR=sO=*`W0KQH;dP4#uc*$qbxzE@s$*kQPG#+`3BITiQbYju$k(s|*e
zRQs%A+tr#szdt=cp8x;X_xbhp|9<Xbw7u`5zexRJbH-}5ITyoxEf)K25tw;R`iOna
z$H(zGN4kA$0~d%NtqZi&U-e;aSKVL9-Bs#e7C7*8VI`J0%=cjZ<)U>#J*d%cBG;GB
zkOlrrm}-?oUkI-ROMTJL{o5T?8@O6O>#OV9eJietZ~e6>?EaNkv!iN*SLbJa^<BGf
z<yG^ozm|aowQ4qB-*<ES{T_z=W1S#pyunFK7nXMXax~y~l0%(g&VGqI@iI(tJkI)U
z3&YzM?#Oue+wEPwo#-BsJ+GHtVNBvvU(%?fAe+Lvv)RUBo`U{_?>a{wpP${dEFn-~
zRn?!J;QKfP-md$lzWU$EQ}%+*YqBPYvPC9hC3Z_aS;w|>wd1?22_WXJy{pUOe{I$O
z+cUlHSoeHIv8t7=aajw+b-pyMi@)$#`)kF&2ixnuTJQVy$2`C0vh@8g=kjMU9d5q(
z^5Bav2_;nqR<=e~bEAI-u-b+=@|*l-{9fZQf&I>B5WSXv$8+X)KSS&*SADj<S~%~O
zg@5Us<x%ffR#mQwn|I-~a8!lUA$66Q1!q|q6CE!^e7b#}H)c_zv4EhMXi=c4&Z-rz
zp*vS>67Oa0cI#H<Da)(&p3Nn=)+A%y!Fs8hH3yk^Z@vAox^Mrhck7R+$(p^~;2A!<
zWGgn}B-3o24N&5(-i3E(1uuT*{k6~WzWIya%YN-!xi5d!``NG1n7s?WeqR57XL+Nf
zjPBio7ca@m$j^E(ZB^gtJNyUUDR`~n7gX}fS#@L?Hp1`rCPm9bZ(H_Vy~N(qA%FkD
zU-OFpy!Yyxzfbo(mvVH+#%C9lT<j{(GJ0{?N2<gYtB5RG`P6tzRoKla=QWFLXIm&<
zEL~u0Y`M_-qSuwqZ;}@|XD;jFUUMS+nos7kEzi$d-k%+L6@iNPnLn?|Klk&Vj_uw^
zmCDT?pVB5iIjeMXw(BJGZk6|eDmOiTbVO||l6K8Hs=oHe649BKYb_TGEUFJP7Il5K
z-1K?ilDeqP>aJReXAg_4Y|&17H7nR%@m6hk$$ing<*iZI0#45?X<65I;i}*+E2rzT
zgp(9&+?Jl0xm{qY()0IDS9XMo2P`?ZmaAFGH1DdG$jZXiuNHdrRxkg3Z|?6bfm1Kn
z3S5o#o79?N6A|$|DuOpU!Z<o&a%@CSbi}0Sh#k=p_o5?MVj{F+A_8I}%3?ODNoU(J
z>q<VH;%YK4()j#Vp4F%B+&{L#VDs#sd!mn}7}OlsRo-J%_g?q7oWW(W5Pkb%W7CJ~
zLBe%PrVrJP<}dyB>dRt3d#uEoxB6GEJJsrjoO61r6XP2ZQ52W4rS;|ULtl~;e+BZb
z_c*)J;BUl?IvpQ-v1Rhym-|~^x|jO7=Gb29SH0YS@^ti5ql8=1O_};U%k~<~_;x5k
zDltm6DB)Igvn{W2t{XpJo7weCq7wTSiyb=fL3ZmJHnzQ2W-&(?^XyvH%4eX=UK+Yu
zJHd@_`RW((#;Zk>3~KV%nm4c1H~;qPn99zJ)1__qu_?R$ar?4SYNJSIeeRp9y|w!d
zovu7s-m%u*$4EKli|UJ8XH2A;3zJXe@x1AMxW8~hhK!dK`<!EmD+@nl$vl!`KXh!%
zYD3Fx89^!c7VE9`%fG#sF@N`M^B3v&IoIc|-5-3++O%-_zIRt%&E2*CvQzo3kAB(r
zUkaC3otyh_Yte>y%Ny%u-h}gg(>{FY)P@b0-iNofPEAZqjfjvG3xhH%E)>gsnZs`T
z-0^%RA?8kFhkKH4?|HVBzxwCLeK+&C_1fCy{&!wlmjAjwH?Cs&`g<=gmjC)YckknK
zb|vTMne{RTc3j@0`0QxKIy*j}<1-Ux&1N}V9<rW2IMTY=d3nOzN99^8clWm+vOaq$
zbB~{Jxs}uM-6d9`%U_pTWh|F2x2jH`{z7J}mHXOrH!e$7TP6Fazj@hXs~x?6(^pJl
z*EQv$bv<*mm7kwFvD2t%ouuVO{fqr_%l6xD%3x^Q_sih#+Hc8C53A?j+<V}{wB?zn
zFK>Bz(r>TPuJtm^VSOUn$0wV*&wZ(VTQ`?^nJ@P;C6?g8=AG|fv?cy3^FDYW<e&7`
zlH?b=H)fP1o9r=^x+lS#-_vI9c366G;?(;hnf+^;<|u9BzWCu-Mn$sCPQ!U2lKz6x
z{S`r_wyrt0&v%+mW@r|^vWLazck=2^ehHcFX<-Qkmu4+*ocZmdr?mFIwRb!uU%Ni_
zNSN?At)#$s*DlGqR@GB(9$26qe3$pxt@I}ebC=49oH=pum)}<|17-HtUROnnE0b=P
zE4XyG+Bp6QFP|W~q*+IS_sKGqV?l};#Xt54Y_a@xU}gx9s8an`&n0J{?sxida@FBa
z9*RoUyv|M^ERP3lkTjhZ_uZn*>3!w=qpPgn6kRN2>uy?i;D|+AvWmymY*s}_t(T&P
zGRlst1S14zcZDoyi+9c0d-=z`4&f&DRr*_dSd2R@6j*GPk{SfQta<U@>4Njk@5+a`
z9M1>kuYa^kU`u4RudWZL<Lrs1+hzqfD>7Z<k>wHG`t0{9iG{1H7}`4GRqYIv+1+QY
z`qU_CX|XA6Di_b&)mEYsx5B4t8Ejdf6`F9N*!Sg>!1JannT?AA&YP}>P+NnK^{hB|
zu<_yNS0S<zUp|>st^C}QaKU@721|3?D<8!f6Pv46F3wH(anDMFz3u&4CJBju+q@DI
zmg&CX4*ks1yeHI=)j2v#+ipfC_uH`D9}hlY=YOov|2Ulgas5_{H9fh8)!I#t+oE=`
zHLuH(l;O#Z^SK}8^DIs}^uU?#o8qq>6EXO_H*cAfrPgBB<~S{Dw&r=+Yr@@jU#;M0
zv&=ffZd|ls|J4)xX-^XBSAVQeOUw5^;<shzDKq2MOj;7Rf>c8cPK3FJ7`#|@YDL0@
zTG61X7pH}Ku^Ja$XkRtUYV)nTjBRGu|M3~TSWs5cuuymFab})PJ7eXxnzQp1UGbAQ
z*s`-st8wQ3)H5rKO426hCMW-YsZh;l#qmGu{A~7{lmE`uF>in8q8+Fn)$z^q1m|1N
z?-|#E<+AFJy`ONx`S+YxUoOmh)Zboqd0zB}*&om3<y^DtwO0Il>c$tf7sYui=YQ=C
zdF8)k*H4#K2mO~+{d39c^<QH4+htkotzTC&ryjeoZT`0n^Cr~Y&$NHTxzf+xHgfCN
z&L6#n8z(AVy`~<NTMMEtT{B;FetF1(W9PH}96MHKx^3S@yWX&zEv1XyO@8?<E;s#^
zuy}c$S*Y%p&MSSrr&h)u>-r+?Z#~W5Bf9?9-x{Y?ovQs$G%oeWe~Rc+%FTM)d3}b!
zT;?2kyK_16Y3Fj}`_ARaZ#$PGuXQd*o(W8!*;*-|=EtM9HkdJ3Uq1Rf`$fT28Ab^g
z_bG)_5+<m3w7s<HSm)cKQYyvc)4TIYr-AFM!yD($@~D0=N&T>h<ZRb=24gz`MV2$Q
z^FB6qC?#Atp{u-V6UUx}Rg+C)S^Fg~&JxtE(wHHr`^$R9x7(K^rwL!$P+%oq?38un
zvgB;xMIR*Qgz@=lvoD|eu=c6KhN2Y_mJ8O%bcV6rHg(?gN?^@iNw21r(yYPeT+8yl
zoO~dE@XYr`)-1vEIhTD*o}(h;^7y#LM2n7D>-cgtgD%hIYnVG>*1LlXKObcLb};eB
z!GpgJD*ip#`2V1xDo@hCpuIm125SEcVlKburLd&&#RLPs9KJ*T*TU>(rrE5#sBZde
ziQD`uFD5_EvY7VM`|793o;M~l*VN3&oT+xMQl8`Ag_6}AnxCex`YC>C#^uUm!i$zk
zr@Fkc)?GDMz*->b{^X7CUzQ}7^xycN@}~M1N8-OpLW-`nMz42Xp8Kw3x%KXwbJrCw
ze|_hs<olAzd+a<qX4T6$$hcVFvp8YVaqLo|LXkl4(#l^E9a`%yzAMk#b20JVHI5pt
zgA3kC2OP<7$v6MjaIEgqjoDI%>tfv(oG`h{@uV$6e7f(3jT7Trt>#wPD_*_XtX6qp
z8vnP4?7u%W+kQM~_b1`sr-b_23)ONZQ{8u+dNTXW8-MpV$DLnY^7;CD!#<lM(+)HG
zg)`0(5WT!Y!s1!-n$`Vg8S>{&wh8pITD}%_dKuzd`MOKs@9I6}sYS<@9+H`1m21%Z
z>|n_XzBWrI*DQm#A>OWv2A9QpbPk^RUi2!&RNLU|{app_6U(;lDsm55<LuJ@zwF2R
zLmP7*Mr<q4iI(sd>r3T6zNs}i^4?!%m%rxu{{@+Dht-PkY%BO2Y%ab^Q&^&Afm%Ys
zhwfSD8V|~67jdLLS>S)QN94?jiy?g$?dx@Ft{86FxvC_=Do;meyZ+8Y3pny-W{Y<9
zcp2ES8EYl3SozC*hM}&rujt)_7gCP>-KF6r_&a)rqu_68Ca=2%svL)Z9(q{wu;5<s
z&aN-gyStfBFpE87<o?`n^oyDCO5SJlnqOuW*&dx(=zrTYRl(}m)7Anf6Q`$Ji=0d@
z>7H03-tu<OBfnlR?xZOZH+&y&5)BP82+c5fYkY8v;#{@YCOON0?*6RzBVqaSYe)6F
zUNpauF8$uEv`udB#@%+^F(rw5y9~r*Epj6*a$m~CShqho*SM?L;ysVk-MYowR&V}#
z_hg2h+^Lt#q{Cg9ou{w;!O@nHy~kF9P4#-Y5C7@B6&_EcHaTktIqOPFiL6{WjmN0#
zN`QBp_SBAK|C_95GMZlo{?wgutT{o-yh(Dkk?pDvpwhHz%Zx7>hA#toYZKh~mbq`e
z#?|(IZC0yMUH(+r182UQy;!fspKu}ms@#E)zO7y}5^haPxb-Yy*1qTaDiz)Q&z#Ph
z&pKn9@{DcfGcIy|-V}9(EqE?Vajx06)cY%@<{Buo|8_I3T|9H&MT-_UF8>y>@JnoM
zV&S*g+QhDVu(XL?k6>Xtdp(0C?a6{?*$zy`MFF3u?()%5bNF#PN}46O@^SuF-GdjN
zMNMRBjtes`TbuUg(X8*2xF2^Jlsy&Nt<)3y*yS{*WcZbD$6G5_eNI?)KjA|3TD!CH
z6GNF?GxDx+iY(k2xjCriT*|7j(7g=7j7=*S91KxB_#meHtq%|9)X?UYicJS6YHbWV
zmbf}7+u%glNmGfG)nBB}oH)pL(YO7@GUpUkA3-aZYloKcXK%IU@sSqyY2{dUh%I<2
zOY!~HUVO`rWo&)MxXg<)?TLd4U)qxfXEx)a0|%QWEiX)tUKgu*>E89CuU-?sJ(>18
zyvsyuOU%KbC5(oALIMZ5wgxe<X@^{u=Ls`lJ(cid&nmUXo#&fFeMPk;)?{fh9!v-g
z=FEuf31(TnsY_hXiDmV(Wy!7^R^9w~x+(c_-n|U1H3?p`4konTs^VbNzVgi3AmZUU
z25Fu!18=UgI<_p$vPJbhN-y&M$hfTj+<s^M-J<hn{<Ln*WaBxtCf7J2Ank1wL$gu#
zeI|)1k=Eh|?j1Ktn|yU^->bE6c@o~`_om2rWqC8-aE>m&#jr_OIh4ozbFd&+%Y)6z
zJRFsc61z9EZe7F4#+jWc%(Jap;<l}i`wG)+gNRjOYY!%@jM~m-TvTvj{nBg$Wp?(z
zQF}q$iWOPrGbT14ZuY$2ENN+BGvmwNr+$X6YC4G(C(b5y`G_>JEW0AiBW1R9al(ZS
zny#$PZN8b>Gcx6Ek50MLBx(6VbJ-N}GbbGV4ONdMxbanW&AiTHTy!Bg%g%JR(el`?
z2byyZnfiT^THf3{`ItqfYs<k2lRKsb_eLrO|KMah>8rSMW>c_1#08NJk3J``2LIt=
zTRkf&%HVsYn_1LW$<=9hqXgINy0D6S?WwA&<g0r(n%$2$n7`)p_88s(`&74NG4ZB@
zMrj+BB-U)05m;!rc#&p!`)lXNk=!9Hz3ETg1aCz@EvV%QOZdBD<EbkV-C1iQwyw!O
zyLQDVafvkvr!o&JOx1kM+AMk|t}|i7p)9vKS9>hd^xZ*iHJQ!rDl;ZFEBD>pEp+C@
z!HTW3DnhS{8=Od7WqDAceI*}jv&^zvB0NPU$^Ch65?3W2?2*~hzVZ;LaU-`(NA}DK
z$Lf-7{yzC-d*t>?<{EbM9qh@GsrFfEFJX6HN0!Cd>Vd`OvlgEp36;(b3~0%(mF(Tu
z^DO?Dlm6np(=XoZ_BKmn&&$0My5!=8+~2v!oM(Sb*(@W;^J(_e6A2UcPg7o6Y2Z>_
zTv1W1QJ?%{CA0G{kz;GkyFSn7T0SZ6TJDQD{l{(k?{*fb&xt#BIewY@9-s4-h1$nv
zTWj6>cX<Ir@e^Z-eOG0E@}$}I8y*XlJhSm=Lcj{kZ4x}UjE{>oOIqGwKC|&?TAwbP
zanT0$8OB`)dt_vGpHZqcIFTmyve|Llv8#Q@*1qX&50NfkXZ|iY-)70JqWe3PzC3D+
zlgU*znwB_yZItkgjXb+^Lbo3XVH2LE-J~5<d!=H5M``rpZl$fkUlUwcZS9ikdf=4*
z_)-4jN6X`*>}q9H-Jh&xSb3sGO!au*L~%3!XZ>;9&><v&SF(Fw96dW(KkrC=p>o3C
zjBQG<)&-Uy<GXR}$D_34;@e&JMcl71+!wZ-Lv-fy5Vzv8b$oXYZN4CTUoGI;`ub+e
zez$;{%YOfC40K}LJJrAZoT2qycqM1lze79grd4|B{^`8ZY@9B5$w5rut?tYy&WGih
z`JRp~8Tkvn*68($UWh)mtm}p6L&dI;>1tVfZ${sHqg(1z_O0*Lv4nzCuTCU<*!JpF
z0>|E~GpFwSX1IFgKbx6d*JbZM@G-Zz%zJK%ca_tXnV*c*6<_&OuAC@fdc5~s$ztP~
z*)nHx`8S3!)LxS;G<dPuud{jP`-Hsrd|k73ryhJDp5~oua5eaJ@Pn=p|A(S3w_Zl|
zt?k-!d8<sUXy)>4%Y9l+qH)Xgz8L*{b6QfW+4roJNM?36-y)CbXk#fykHcAYOFDdy
zrd3bQ3Ugc4vDRHHG;@PeZtZD<1s>7zo0XJuYmHa`-m`h_$F%LoZl9KVZ;>s@pT@tU
zfq~7xF#DsZu#2NdMpoa76Mq)2+Njpt74k*;_?%g?T0yx_Y77sj{=faVH{*)$U!56l
z^Ae;gn=+qpE}N)6!)#yi)df%#p7ET^5e=Dy{?(lEE7&08&Kd!hX3;ZTjs_72VN7#v
zmV-SqE4o;kRjqmtFTHpU73EFiZgSMv93#MU%<wep!2}sNvq#3HFH(;q?TJIC_Kb<l
z#%mJX_@>-zlC+$lQ@S}u;LM4{!@^Gte&23dcs8v*+F;AgH~FujjHkS5w|wr--n-{u
zkIa?5H&08SIpGN9`hAztI}>*=!HthkJWW5}fJgk!>DxP}Z!gx*e;#-5+}=I5-#?vO
zYtTLS;GN<LS9HqQnwO+)+&sH>*TDqC&Hm;RI%(ftvKbd$NJ^WZXQ0fkd#rZX+}|aK
z(>oIk-(7xtXZh`&{@aV^=RLQ(d#-lZ#gK@DZ!Yt)Y0m)ZZ=EIB@X$KTkD=}TibzHY
zo!HMz1`)f`*b+9FyjOp_=2ZE$ch=f}=Duc_yP9=wHrHHj{<*J(ZNm-II<q!1^Mo06
zs~=2Y-7Fyts(I!eOlVDWTa)ItCTh~$Bc{9WSv@bD`+3K?%9x0G9LFBntSgqgZrT5O
z&hgT7j~A^pm^Sk`Yx72*Ty=?_(9c>1606nn5)8t8?@lq?Yp}ZKV2_NC{;D~QX-^&`
zgo^TlsuJzZ{JIji(!VWab^d+EZc9SO{iH8@By4BfZa=snee-iOP%qW2I<HyMas`y@
z+<LQE`pk)g3lF{7$(#1%K|tcSJ8Z^92EPi<=bowQP-wZ?B6P-<J@M?c#R&q}a&mdu
z5@#Dm8M_(Z&S{pk%rM!!6lAEIadZ}xyRF#!t$NeN9ZSt@8aSNUwqGgBTlw}*(CwW|
zw-tNmnuvx)6rGqMCU}XrRYw6aEG=-Ov0EziY5<G%hK5L0Ue^uGuP20_2XUh!kMg+Y
z*mA87JsEK1+Tx1%R1ml8d+1?-mPdP6CtOidn^DbYyYHIe!3&SGRfTzM_gymrF-?Od
z+kK0z3k;Oml?!y=HcMJcG-kWXo;h)lBc}S#fgYKj18K%FVA;3(S&WMen68WYbh2t4
z&6;!|;npq`wCd^AGs(>>r-6{9<$|XPZhRS*GbS=e@hz*Zd1_OJ8=1}G(w<S7V-T_M
z*+!{*A?H>d)e5rA{^&9BRHV0iSBT%{f-OZ%yNj5l>%5k%jkj!!MMb^K4tb?9N%Mpm
z3ExOqw%+7&_^g-O5{gYT_caMVnw;3ek@n;PM`B+&+vWGX%dWk*xp%X2&*sk+>CZo&
zJNJ0*-2H49Dx3CxW~n|e{H7};`(nt|D1)m}1{r5%BKVhGgCaKV8O*N__Q-6gGf-w<
zo8Pyb-MA>>30K;a1i$0e7FO>czSz^g__JJJL|Vg|XL5ZB6RzGlEXcEIR`aWa3ac$w
z$nlsNvtQk9xkB#D3CGzpn_q$I-<e=;V~+BSiOe_M43yb7^(MISrAQy_kvU<u>t@#V
zvthdvGU9W$GCTi{b33oU`1$mUpHIK|nfm3AQI#3HeG@o^7TbUl>^j~D32uCS5?k48
z-d)-$87An~bBAv=hcerFsjqhgS94r!pIvReo#Fc(QETs%b-Osy<}KcOrtL_=hG{n^
zNbp=Uk``({7?tLn1oGWc-p;wwLWko!6Lj-D-rSjRW2fTAV&|miZAZ@Wc3upLIGE$X
z!=^ps?IBQ}jkS<ildz4OJy<*DZpf-lv3F{^c9fM)UUf>$CzX5ICf4AaO)GN__Q<@@
zEPOk6BD1aP3``<5QPVbWrSheDn+p1`9kPw${+^+GXL0EE+nP?FeFgu%G76q?TIAw-
zy};I;K7zIqXHvUf98Ay)cHvKZvS4R~OMHY2f4gcEgcT3wL&*MRhqyvr*qcSqR3(6l
zZFaV1(Jb47Jfrf-$7GkeT+l0@{A;$y!q|6eU(4q^?Y`qH<=a|zHt$lxg>^T5rFd?c
z?)GeU+?{5gY4BsmP2XKJ?k)i}ER?d$HD^q0c5b=ZBzoq=!5PWh5_Gq+W}8WWpP4tk
zsmbw9)Fj5{9a}Y+*$T5G*?1mZJH#_%VsqN+t&#@H>}SJH8z;E&%~~6laiB-WWcJN;
zP(NYep*P0hf+g^&uYodqJ6G_-HM}leCJa}<DY{BEWPP5XRHuCHS=QdziEZbja>I{Y
zOU_+4?Uv}quMx9Xi#Q5YWvg;@-Ke^<S=$<v|L4W7Y*gANcP%dBeBXU>wM*CZ*QD!5
zo}VYPX6Dl!3GXaR-z2=caq!B<=9S6pm-%j;DT*<8yWrrKW;WxZ1;|A8=^~l>*?QAE
zTTqIj(#=t_K}+(&vYop^-ao8dbv^-tZf$Gj&gT}tmsIA^qIaNmJA@~uw?{XoB6`OM
zG2hVje9PiwefC;OY>ECT52DuuI5SAB321IGTE=L~(;#UnklF+$4obwdM(^O-ULczH
zQ0va2&>b8b1g@?*#M-<fO;k_9#dLMS!33ktT;e=x#;XguBU`!Co-8<dhOY?}wrAcb
zaHKssa6sV9iN;37855bAoeh-P+1e5&9KLd<*^w{Un4itk=d6vy69d!F5J5>xi3z@E
zK{<(Wrs?Na+z8ZTDoX^l!S&W8Y-qaKD#{ZEGUc6R#OW(%nh!>;nW?{KX8V32C`%vA
zhmh{UiMk-WvuDn#G=M1QT9ziq6IL-ZBInxQCP_<)?z6k%4V2xFJkq@S`~R<W8PS8z
z7S?MI%~h)4NMFtkMq58D=vaIF&6Y4(k&Rzx%)K_LS!tWx=F3s}0xdT)*P3Z0y*9L7
zyOhl}$2M*G)^iIu@^ZKJuoa&_`1k%XC^{=+DskujnZV|S(z7kIK<TZ(*d3Jo1oED(
z0Htt|Gcu+#CLX@YTYTle{GVHI?zj~PSV??I<?~{1-gn0FWx~7ah5E$-R&|_GW#;Tl
zL6us0ae&o~iOo>%44w}Qz8q@4#Le$@++R#s@y-YLezCl5Q9EvCJxp8OI(zM+l^dFF
z=77SkeM$4q=Lx#S9##@-Qu#dDo41}(e3`I8jNen=Mn(3Y7fbfX311F5U*ZM}?EL`d
zTnvdgEi;LaO?!s(rGp8ryFKr|Q!Cv)d*kc8W9xQH?tYi{X4h<yW@$I^Jc-yHi6sx)
zF1A8AXWA|%T-b2aK#E7oOxms4@o?JV41<gtITE~SPZqSDZMz66M1??t%Ixl)w+y(_
zo;=V<+!o1dTx9TWMsC<ah4z~!QfF-0E6*;wm@wgbjubB&f|>SY!QC^<KxW)Jn<E7>
z5|#U);-kUiqlbIM`HkZWe*acCD&%^6)c9C;`2zd;zmMn3+yDQ0e1Bcd{kofPms%8a
zIL}_%z4Y#-tCw~!<@cUHZJ$o9^hE8$1v@JDRJ^$ox%bM$-N*U+=gaN4DHHRtH@p&Q
zu=i*{`au~+-cqqMg%?0fUf$A8Pv=QF&)u4x-h24mt*!fyC8oc<mHK$Y=CZe23vWd3
zF1us##$;0Dl7;7P&GeRfwPvp5QV=s7#Jst5;kl-%$yRT4B4#c-e6DF~K8P8)9K@`4
zI-#>z`c==Qqb1IB12-+nTVd=ac8&Mz+fuicT)PjS(+Ev3zahDF)muL6#UW?!CZ<o(
zO0)c<`82rji`JoM4<03y7nFW@_u%ls<<0u+u?svlOTJnY7R=wSKd;Peon^=2bH_}D
z3vb-q@l2V|TKf7LmQBxZbuL^t{TWvmh_nKeSzvM&h`e^H>+rdzt@|Er*qpF-lf@gI
zh-_UxYwl}Z-G|RL?F?JFMeIJW>8y3E70$iOvIXNZWBYPed|q_tWGaX}pSoh=+I8M$
zS5AaYSCf9VXT>)!i#K~#l=*<jZ@wV%%<~PO7a1)$e6C6I4JddE@>gt5V5@O{Z{)Z-
zmEE=1BfV_vvjrKdM)!XxR0-|vxK|(j>H4nU^T9g+zFv>7|M%72o^^L?$-lK_f3H2d
z_v`QJ|26xv?Kj-pBp;D~l|9MhjGtG=vCnT7wWQgc=L0k6ftcq!GxluTwQS+B?A6Iq
zS$n=MioV9R?(n+BSH0HNm7GrYZkwfcJfA-*Yu24l9FrI7g*<aqbB<kc=CM!Pu7F5(
zCYiE@oBCKS-Z-Vo@)g`@J!PfzV8iAFS&@Ppkp|~)NxagTp`OQ6Dt4yWyv>UH*-L5X
zxva*M&92zLUNiTk$(7)nTR@5C_hnF`VSWWlG%TezB6ojlwtBN>F89ectL*1Zn--qC
zwR1zxip^zu2GXx|X8ca%DHU5&YX}Pde-iC;S+nQ&fZ`_Jt+_7DpX2O@30sAy?($M^
zle!)H@JK-NZmro8SvldZ!p^;KYfe7NQ-2=0NX?|VQfvk1*@SsdGo6fQq!-S*5TWgT
zx#d_?=nS(f5vxyKYLm(i4ZIbQyjIIoI%`d+bI-zmk;ylu;zKMg_XtRxe)hmALua-#
z_ri@id^#=1Hbu6nnM_-&v}NJ3O`%O@S0c7?U1*a!9rp7k$OJp-tTj`YraL9C)v*zl
z%1*sG^FoAn_c^Z35{uTZkLp->j4hPY@XCz^uN2y(q(elH1SB`FYLv*znIJ0Ql-#_=
zSXfFrW$MfeHx#nZv@AQG(mL}(#Ohb4K`tx>nZHUG<ie?`&b?b#t;<<4ajn=V9@A-O
zQ*E4*Z^j%^Hko!-70f&UVm`HYQmzf1%4<4p?W|28*9L-I`|2dfwWc7`)~JG<s0wo8
z>k}=<q9R?@Os;J*eRA~Lda>yJQJd;gR|LLJQjhAMo1t5_NVNT`&n&qcOI|kmqEPa3
zvpd5Qj4yKKSRG4Jk1D*`x^!8xPT8WZH#)DuHA6Xyt(zCj&tNSRThlqME_vqdfd6YQ
zy577Mu(*5EmW6KO;$_>iS-r0*@|tcs>S^ZPo6{Yu9q}gWVA6FivE5-CZftFuaOmbv
ztx0^=*5SUF60Zm6?^}Ma#((e6c~zfnzdqB=xX!gGIyy_Kw#?2+c>m_tg0n7~S<CIa
z*SGEJTb|N$Y1Toa=3x3#k~J8)-_}tPo^{de^of?VEoYUC7H$liHi5Hu>!t>uj5VQ8
zlR@EJk+WiB*wjAWQrYD`uRk2Uyl(c?QrWV*Yu>DW8~w)X;3P4#D;dgq;Tx}HByZGa
z?T*!qc;j_2DV$4ecaT8P4u{BXWj9z)ZQ6GDT$A{>clVm^MsKL*F1zh)yjao1*5tFK
z(`Dz%uQyLMb7qIy9h{Zeop^V{)eXB7`47))+sk8Il<>RMK$(48JgARAG_zSIRFc{F
z{KdRyN?Q^pT#soH<M}kJ`$CgrT(Mx3finBsF!6<rl9n0f#b>lYHLdaSHBAt1)>FgP
zrrA@v#1{FbC|#KQ!tslW)914*TM`1+TTT_=d1bm>sL65fvk)hP>vk)qFBiI|6QtQx
zwb@}Q$E&B3tEZ-~37fYus`6OYQ_0mCT66Yr1tn~75?y>Cp;gPJ@gP@d3sbY`Du$&!
zj?2$QoYi{3dC^q-oXEkbof*qGu07(sI91&xe4apTrBlgM&WjmZOV+p=8APlWJ8>Xk
zZIldi^VY0H9-eEf9<4KqIz49%s6G`)^b2D(E-L6SP+#37X=&kNv!gKgY3dej{l&4B
zl5bC)&Ipfl``!71sk^Z8=#B$PF$<R2Bm~6zmK|8IYu2*{Ny`^ZrJGr2Ol;N*m>vz`
z?uT&0V;UqaEqbo%ii5^Ll0(li7oT^$r}d=K@t)Qr&Bb@mxMm(ySie(47ZiT0yDuH+
zk=YV$T(-K~7}On$zB`vQ?MXpd@~^<}=}nTBGwMEMy){jr*=4ZcDNnGVi$VA$Hs{M{
zrfylibCQ`vNY1(?ylkSgwKJO?k3RFdmEgv=>PC#`?oV}ZO}D3LG<6kQw<$g0*%M#=
z^zSY0_t)bp_kTO}d+Xwr8=P|YGc=24y=IkI6L4GaKtk)SBE!wQHnN|wWzW_<7WF5y
z!Sr-mSHfDI8JbO7e_UqJu9r%9>d(9;QZbk%J2V#*nyVxv)`WgFFo;;Km6))>>FR+4
zJu)(CyChMNRsQ-_nXO8uZoXP958vq;FI^EWEwWPk>RjHgD^p(`1GNy}-COlqibc_U
z?P)2IjbAN70?kb|l3o|Ccy!WP)L(8@#V4-icl;EP7RPP5YFZqSGB4<6)-45&X)6!4
zD{b|eYAdobb=8Z79c$ZDS3O$jp*#62pJLX`HDw%ur&HECcSNaa%R0?d&=<H`5o#&2
z^4RKQ3q4HZMEP7-eO#6Av*Ml9+^AWzueYqwE51ET;A(1Kgz%zWXVzvpg-qY+>D%#Y
z)3)WBL9y44nYgYxeQVM(kJ8B57e!Wnzh-9Qnq!+(6?+A|a%X+?FOiL3J7h{-=PPZq
zQ#zi~duNY|i|U%Q^SVL~-FmjtLv;-=n0X7t^qt#vqw2=;cY>iut{t{3?OdU>P3~Le
zMLYdl&ySvn3s=xidM$YK^}l4-99yO8*t#_xYu!`UUx}Mom#q4&>x;DZ+VGTpfnP(t
zUAsc!w+1ZfSlhled#Z<EG=KJWp^2cL*SfEYt~s`AV!NHYZd66=4r>$H_*J61DAJ>&
z&vvJV3d_n$)dLCdESG$k%G_+rQ!Vw)=#B3&BbU@e32uDT6tyHla~(<1KMiI~Wd3$a
zt3_aI_%(%=jCB)4ITUAUr~Gy7c*Yf3D{x4zY2ykn{;n<USE{xtef=ACHf+hh`0Sg(
z-o>IG9j}b8H-JKw70j#$F~7QZyxMfFPGqHC_EYzXZnLAl2QHCXA1d#<>SNUBz$4d`
zFI{iySK1~wabH-q$jW=gE2B8(2i|^SwN+rN@4KBd_wCzuM<eKE*5O{Isq41g)(E<N
zB~98jYxb?I#T{$gbI-6Y*m~AYFq;4N6*+0w99y@Cw-Oe2tUaz#^BjiGUzlgTC-3vN
zwe82t`}OUXRPU*{W3w;!_nWjo+rFf}ynLyBX}Nc}_wJ?LOV3`qec#SDFmsx?ZGG&A
z=dATHAAYmO>sRc5aGEt={LeEV8#7<7y2>|aEcKXYtTg_#BPC(kxf3(}BwqE*dD*$p
z?}e74^_!M;hqfGEH+xyFZrNRvwb>hAFUySXjy0E+o}d0|*UIg$Z%ncdPCvNuYWcEr
zP08FFI}%rJPFVZV`i;&EYj&UcCq#43=f<B|tT*rWz8iYKl6Jr7e(W<XK|5sLx1t+6
zpH6yyLZ&Ql=MzrT_PNp5DtX#-Th1<)n|GUIHoK?Ic0sfLl@)nwXSFBTY!BNT8}YR)
z@oHh6`-ZJq^LUDGM448vIDGBKO?TOC$IivQ+F)AtC3x|aH!J2vC&!mw_35(Ne(c<q
z6UEtYe0prQA6s{&BTJ(F6H9b*dfAf=TeF_I^F^;tYXdVq_@Y-o3zI#+Hnf;~!gIe%
ztFBnR*%EOzzFpt$cIL*c^L*C2VYasummi%jKmYhsPUF(YpLZ-QT9#KMXC8m<O7Ho{
zZf9ri`uH>T`12U6))QOzz1^@mVeL%oH#!lo<3Vk>S+hW`H^1i}G#>u>^Sb`u&+lB{
zDpl_}-s6hnn#U!_^^PlyHTK}51)2q7tFCIb&uz_OJ(HNeFe<e8M&ydHS2AT{Rn^>k
zYvrZG<IY_9y0I|)vrnwNbh*QM5NG=rpIG_o+ji5qw+rk%rORg>9Ljk-F@2R5x8)n3
z(4}hab638*7xFl6?M$oS-reWYU-_863z)ysICP!4#4DfD?aNM;@^!>?2Z9{RG#%v7
zjAt7*KX|1Z;ab=}mv!|8zMIE;eT+-RWXz|X0X1uF>pmweJ9q2xc9FDKYv%6u<SD&&
z?yhElzvvR#vTN&<wjVxsE7YR=M&$0Uk9^kI_ItL<-@ElVZR<=c?!Nm%GgoeY@S}Iq
zOe^kZQk@IWHSuR3jG5hdB63^WzNqWnRqyPcm6Wecc+R2QZNO*ko>A9#{g2H0pO$f-
zi}y@8o@_4NAuYOgo8GgEgLiWl<fVPNbN1t_d+z7&%B;_u`sGg4*CZtM&z@JDJ^yjm
z{KuyD$FuADt{2G^-;lC)Gg>@F<`gJx96VE;{YK^-h{<>s#Jps){g|A6neq)OYwd@8
z(aGt$cM`MTtZB2(S-Y!hwVUG|kNKj7=hudAR(rQ|YgX7%+wEazckOt7ZI$@e?U!wT
zH?93z^LFjeThXUa&N_Up%CfNdMwGsKA)mG8>vuBkbEic5ZN1?+^Jqx-+$oV>AZBYw
zckDjHb*b}Lo;E-B)yuf_=dm5zGMDMym$>eD_R3S~Oeg2LtjQ}r-uQN{`%`qR`P-du
z*GATTzVq!`cj?4kk++L)N9$j6ZHj*Tqjci4>#non#WU+-m;6NHs66?2Bg%C5#^={o
z-JA$=?z%TSw`P^4fjnU?Jug-M{M+a0508IdeSUkKah<>ShOe{M{Ikf}tM=NSFM9n+
zt_g>)Wp8480ur8DowrwQ_0xIL>rYnge12_Jq~Z5FQKs3?=0&gWyB{x<vvyW#ar@fP
z%|(2Bel*s7Wd8ez{nt_cFB>ynY!to6|3&hf?zTg}8|{+!9rZia^Fz#9)A(NW8@68`
ztFw$tng8ziSh6f{fxP+3b60r3JZ`%-b62C?;ynwu?cS%q>-FzNNK|%Mlg;+KM%j+@
zqR-3hXVAV;m3FOJX4|}(qKfTn)6;xUB)*;*DOz+R>isIcDN8HPul>47;{DF8anl}y
z{NJ?a`L$J{la@;zd*8yfE$r;7J%_KYikx14Bg$0!^O2paUVb=w`5Jps;^oBG%saQd
z-1)UJ=FXQpzZ~@PtMY0U#4T*M+fDGEGcQ{HgxB7~*Vs3Oz2ES)am_XBoVByQR)M^q
z^nSzEtZQ#TcCFeA3a0JfYo<PyI2-d-{7vSK!y9%dzO&wy*qwNGgY?bi|4#JCOq|jn
zX{jX3Xk6r?#FX}=LxkmxTJ$FA^4r^c%DU#c@Gk<dt`=M5#-e@Y;Od!6(<dJ^dU-Kr
zgHM%_q|Rr9X_XSEZF*AWjv3i3zEsg0`8YMbH++$4+?;C_d!rt!=ICzbaNXder?qnZ
zMWfOx4xX?Tn>`XXIL%dOXcm1{!X&Y#;CbM-0^b>p(~pK6j20|8_d0XciM1S7=O1lT
z+Ojq8I%D&>v$?hh7Zex&_BVL3M|y7g;?H>{_j=Er&2<Njb1A=S6EaX{Kh9BX>;<Ab
z@{V=Hq$n5{83<j~3^w>K^JMMfwFwi-P0ONt?}jCBzk1_r%x9@HCmeTQJ(&n<l5dmR
zz4ln%>W#Ov-c0kZOL5~%-=6j)!;P;`VdoZi(5yqy=}IXjpBGIcr7dziQ7f5$COrF`
zad#Ih15eaSYt{n`rcU4Z?sUZW)HSnNU5u33*Uolz22-t0AS(Lk!JAX|%wCil7$A6T
zl@6QMp@^x*zOE9hi`p!=Z_WG8(Ckwh-eGi0P1`kPgKt>JiG<lY=O-UDi=3uzTy()~
z&-JCLl5v7Llb@EmZt$IUSM;D+WL|iSjnen1Roe~wS6G7@pSuj1dBRrwHb0QiI$g3q
z>zQ5m!RXGcW9vAio|m3C^$ut0ef}m!&}81`BG(HQu`H}?z1Oz}C0wY84Ps^MweLA5
z@AjDA*v;mQL}K1Y&={h`M}uS+SsQlaqJo|km)|A0^>sLJ?%d?GjN{TZQ=x<j*J8W{
zc`nV$)@yQ%D$aE?@c3;KRxP!9X0|P;H)C2n*8?;Kk+#l|GwsQOtMk&nobXbeIk9=M
zdzkvk9vK<iO-i0=PdY9p7p<1=|9ii}@<6ZNtnTLyQXh&=%6Krx=}lqIJaGDHp~AL%
zCnO$L?Ru>EeH%w*qm84S0&FdA$`(uisaM_}aB`bnZggwU<crVd_L#j-*;1)qup-D_
zV$K>KhGqXQUt;OHQXeJdIPsUix3Nah|IADd*H`uz!<2IW_L^V5S7Ca#SNz7EinC{X
zr$4+?5qqWgdgPsomq&ZUlkZeC9_uw-Dy{!`$H!BB()!<aeEiift<SkLb&0L~q*JbU
zBs&gI?`!QiJiQG>)Paby)*ioIlP`LfH<``X`+A%6mQ0l>h`Hw`=Pem4(Izu@9q}eJ
zcNGvJBi>|YzGdgavw|)y3(g8UoLh2GjCWhc2G>|_eHO;x+(7Y*+>QrAqOV;2?sOq-
zt824v*5OWpEs?o_);=u@&hqAlMPJDiQp_lB`Ly%#KG8iQHlj8ncSP542{;&hnIYf8
z(N=Tv&jA;M@0Aj##3p}#TFGPdq_&c;nWr*--hKt+q5_X|#^Nb%e0(P>&pA!x<L=#`
zd+7(aM(_T~mwx;%>)ju8;YT#v#W1Cag+eL@5ep}&7(^&;zc%@x(axpwc&43P`z2+A
zkNLEd#lBq$l5yVmD=KRri+=AFe`p>z{r;ynlawOV-uDN_FHSvE`~P5q<mcav2NPI7
zAJ#gU(0cStu(+{*>=~P@e;j-al-ZxJ<PKhb>+>3ptn&`bIkL`w$^3W9Yr%mFcf1xI
zSn$nj35Zw*B32yekqN0@8VYJ%vuo{SGA=5Zu|kCV%!z}Ei-dGRBjBB0+Yj`}@VJ>i
z2KO1g_8w5kUmAZZW4qTu5GygkjqldlNrnc>>|C<C!h){L9xGi)yR`U3hx|s_Gb<)1
zyFSfMaI4wnn%a@z_O|4B+MRDxcIK`4B&=9nXL({?Jm040)0^M?eCqh^&nJfJy2=LI
z{Wb^Y#mgm}*XKWQ?VaRjh3YWd6Z0zhH>E#sek1wE?U%+=9;Kjqp^InK1>b%RjFNJ>
zb;rFkE8kylCr6-m&wLpPm#@ZkMF|3R(_f|kd2&FZTB|tg;k*xXF5ENq&yZ*L&yd&k
z&yWxH&v<`XearWk!nb~Z;e1>BtK~sY!FABSrnT=x#Y8&Um^#^*W}X+~WkE(k|NTTc
z0{{D|as>W&oB!r!ZfnQ+uW$Z19eMt1#*H~qE2P#(Eb*9ayXsK$i|f6Yo+<p;rB$pE
z#2kLmWunv$BSzP(2dA_}RyJyT&wL`ebf@E$12dO$1v01a({|yVvv{q*)r!8YP9`g^
z{0nxKa?CPct<<Zq)jVvX+k{)0t38xY$i9j_R{FF#<IK6*2~H+y{jX24Uz}mPPFvn3
z`P+_lH3FgUGY`MuTzM?}_e!PQ?>n;U1pe;3{B4o&rT44PdUahnwDqg!#Hbx+L7G9_
z>$iOH;_jDEmT=LXQ$3+|#j;~dpEs{)J&quc`On^39+Ew?E9Az<+i}e+HtfuG@8Gho
zyPYi{TJ~q#bp@?AKSJj^hTORPZ<%n=_f>a;x~}ZadOuaEbp5T9OFizscJ1B2;^Q4B
zW80A5heb1`v$pS4%01kiTd{%nart4kz}3&ci6~}${kS`^dBxS^@7s76g~{)iHtUG`
zyQTkm%avDKC#~~X8~6HCSIFb7r`CHMH~*2oyq@jSpT>WZ9-rBNr7N73e=)z&q<#TN
zF!2xHErnV32|<?(HDAt{QsU!frKT!7c~YOJ=kX;fpm^~-`KA2B5kCJnVB*UWhphOj
zB!#W|wuTdKO`j*>@%HzU+WA}bmFg@QJ8p>!?pJ<P?((Ov<G$cWYo)rWZ(CnH-yok<
z6fgbie9F-$by!eN%25zfiPE?y=CMb2LPfN~$88ReavP7_X6oF|8kGN7#D2mr^W{Gp
zuk5!x%Ac|?@bB#4KQR|)I0kRfc)=CMTF%PP>d&grdQ1572B$6RMSk5=_DtKNwl$<Z
zaLKPVdW$`xE$d!q3FJQgv39lNmZ^`ouVcGdX9p5``+P=2iI2mgR;h)SjGRm4&Tx7t
zgzBp+G=@B$)4&qc8~!kKVqLPRjp$4Bu#>?Pf4XfgER#~qdi=)B>B_O2g)J+3H^1fx
zlumyxxX5hXyTu;4F|r;Lv+k5D=31(Kl%4#e&$H&Z%D!Zw`-YwGXB;W_d&InQ&zvLd
zL6w$|IhM#BlXO@3YH-eiX=QujF~OCb9aR!(GP1^fcHi#5Zz=xOXIXoE&c0;7`-aQk
z&$wKE=B4$sFS1Z!yQmdx>2q}^NX;qT<d9Wx?IhF6gOTdoOW4xqu379S7RRXM+kaO1
z(iaB|bW23hWmep6?Us(sSKN3NAL(p;>fB-B?QD|M(RR_PaGJv-oyL&IJ#CF4k41Ua
zkEBk>Eex4hr##(p+tfhUXVO=X#jxEBa}{0LyOBqzk71G7F(Z$|7-&m?>Arx++@|Lm
zBCacQ1pX8hcznjX<5Yjc4FLgZrX**F9FC5QPE+SI8csNMzCpsnwvW+pLX`}2lEPOD
zwj*r~H;feg>aYE*oqPW}h-lwqdxybAw`b2@#r*sex1~RQYumGzPnbK9+c^JzpT2ew
zw{brI60x*<avrAV_SjxXe>~mgcHOnvd-6V2O}ri(xBk;Fz4h{+erc}XYWu!++s^IJ
zmo3nY5Gi3@-L$)@y=i&V^rpp2m)==(^2~#bmwaXVdvjHizD|1d-M+r&=fB6V*YE%L
z?`gf={)C^OAD7!$&(_+XUu8R6Z+|X`%(lP$`esSw_nC3$mz|z<{!5iv?A7WtgW2n{
zY>j95hL_HhJhN=I)Ont?%XhDom>-h}*&?MC#Vfk@#<yjA_oRd@*}LZnh)4+qu|k&=
zUOmzC^{a=zgUPz2+P#UWXl|3^#FB@SGVeAvAGR$k*m0bX@B58|59O={LZ{Ac?O1i{
zl#@$nYO>;@Rhu>l1cu%W@0ca7`sf3rfT_OM5nk0tZ9;|8?T^ib#OHN!vrRI4=I^dG
z(d=4(AlF2*Yv-f6CYtFL9Awqoae!4k@8b$V&xs|!94@_N_FT@dGS_ZG$uEah_oU{F
ztUQ+8GwaF25=Oa*cy$+RZJP@<;x-%B9TZ)3t(E;6?;fWsyCRlE2wW0x`NFDb8@1xv
z+I{Oh)<*A*ofx(K*KVb)det?5uPhgsDk1#tS7o}QmZAEc#*iex9n33_%qd_G>a?`v
zSn}`8Nk@gRhCX~r$~+yvB-Gfsk<eG>*-l?>8~$8(|C`97`+X<N|E^gfu+?1c{za#)
z=IZwwuiVqgWDTm`yhPOF?b%6T3R}&+qkjJ0>=p7@BU4u+Q&%T5@v!@0qiQ&cX;_e{
zH2voG)xsi~vreRbd%KU#b(UJuOxp>|I%Cq-OFOh=$Tg;Z+hyX}ktK8b4KElK7IJpf
ziBB_@LPd*yEWGx#$W39Z-gIN8m8Co92zsnN{j|p+D^@SvL?^w4yJ5w#jdLy@^L4a-
zxan8f{V7VH+qDd*Uu9l-BqoesCAh=KGW~*x4%e=x(1WoDoeu^#p0P5zJg1~9(*5a7
zrz>VNN<$`D3g(1n-uNTBH|FoG+54GRPTl!adqUJq6y~cseZ^JQIsaC@KGL${-G-m5
z6F-L^ezv~tY&`Gm{fk;xeJszi@ppWix*!33cA>%d%HP-L-MF_y_}0}rr`?5q8Ric;
z-?rH;yesRMQ9eufR_yCLVT)#ah5TdqW(4iHZE&izlUO3*SL<})_6r4dmc6~_%AB4_
zFWfE|%XX{!qQdU$e4DDjDeV5vzv=q~$8T{aC+=NlyIK8sWv9Z1Qr8ND8@rBi8{GJn
zd&O?u6>G&A1u-kjot|w@I8?aw9_PUpOFiu-tE>+8W-F~khGr|RzYNW<!;P5R7`_-V
zzDQ)caALC`N0`L*hWP?16*J%0%zQ7C{DAYJ_3^8V)LBmVuXgq4m?j_IJx@UA|DvbI
zx7M()x+2RnZG9($#FntjTm}*Q)HD+|{J9+QFmsK;Gk@!-W4yxZOSIx4)cTbRltL?g
zwM18*+Wu2>#;TgxZ<|)0+P>2m#O!Qdc?yE22dY>omi|e-bD+p+gOixvv-LK*ZSy4d
z8C?$*eW-nW>SuM9!~NRN{W;p?!_UpX?Zt99T#<{XZT%)O37&XYBLj(jUU>-yHRf&a
zk8v%Qs&LxC<bHQWfD>crC-!EhRS!7XSVMk_@Nlh2(vc7;Fy@kAeIVS#bgZ;NoyA<{
zI^#TnI|iq3cRgq`-}P_@Ux9mQQFzO>e(ni=9K90a3UdXd4D}qW0=6>otP6<Lmxx*T
zDb8SrQ|i8ig4R>D2Sa9_j(BOQs8M|9V4+h%YvKIkoCmocUz;o3Bx=Mxuh(aN?w4C`
z>uuLwo;$&8YuF!=%;4;|0TVM<Y3*`qSIVtr<#WCDi%Ys|%U4kok<8cHDH^*@@}#Wc
z<^RMK=-xlIwDe5Es~HDF;<s|G^Y|M2`Xy*g+i1PV*U;=gT_N!o!<2aW9|}v!HDy+C
zu&teX^q`3i%jFLOK8KwRA{L(EE4$rQy#1DuQC1Us23Ona7kZN&+g8u{wu+;&;f`b2
z1inoTCIW)RIS(xlcpnTt=zK8t;Hm}M0&nky>`vCKG`|$_K{n+@rn<AgwPe+;lNT=g
zwaqJ2+P2ovzvITXW7djEx20aUbmV5w5mwr^Hb99*PiLE~=nLkKTzApm3`w^GIqeyo
zj{lgsS=i}?gne<@f?IF7+b#tDmN_V3m33W3!pZ&ZK^Es15xy@Bisv5N>GwEhdEvUt
z7S}W9yf!&kD)ro|w|H)bwqTTfoy*&n5514wulRA?|M9@QPd?B(;H@QF^WAKAV~IC$
zzZV`%_<k#vmu>g`-n;LQy-R-lo%7(k$L?(Y9A)zMMiovQobs~gOQ&UV9aPw}QZvW;
z1DKvu_IJKOOS<nuK1q$H4|A@V_+?3{n_di4+L6FoVXz~CwGu>B8AL2>`g_J_W8!T~
z{<dv1k`9-#u<_&?9&LMjhgZVpkchNYH*fFJwqsH8$!phdymmd}b@rOCrq_NgTI=$m
z<7h;pVOT_u=rqIPn-`)?OI&vcsHglZ2*1GjHp^zAt>aC>uwUV8zO0XU8Ncz;{znfU
zb<H)_E<3m8hUMm@=V!X+8ecqgx7RPj{5t1bH`|4Gzo;*fS}(L+>1su5wd=25(+Z7C
z`D+$>fLl|&^<7tvS<Dg!w<P|}6#bnZ_GW(M#`?6QFixk~s^0909uu?nOq*l$^G?9C
z3C0;*Vyr)UY!}-enD<#O;d~eWhUaJ5Zy3L3?pUf7E1)z@OI=uLnwB_-U<VQ3g*KfR
zH=A_Ml=-4w-$A$XApg2cljr|fcg8tg;r`U0Z+MPOnkjzXL1*UAuOQ~~^A5jdbRsV^
zDc*XXaB@}$$3;7K?{yoVaCxum5uVAyc~LBB`m3(kRoZ1M*WFmU3(j%lHq{Dww$j6P
z-KPjwsdn*WiN+q+Bi4EB-EP$EDfcEQ*7&5rA}RTor~FnWE%DgTyIjMrvrgJCaE*oQ
z#z!JYyMjfukvSKgw&sf(ima^Fb`D$eZEa2T#9P}pmAkI`=+^sK<Y<@kLT$d*b<1xZ
z;9Pk|R7Ygx8BskDVE`hGL{^@$$dKja=%|w9i&}4yvG>t}uU*a8v|*gmEdkQKz4x{I
z!q!+sZG4n*v}>`bc3+sw^6=*CDV`Ih_AHC*y7ItuV(=2S_a+s~4_fo~Ef#$!s*qJ6
zvo@k|OVZJcU83&VVbcza<yCcN^W1OS3z~2;c$0S{E5ba-aE;`)>Z6@+_Z2(s?)Bra
zdbn(J>+Ex4c~;RoUgkVpwmCyva81BwUxO|4t8K*JeeAh?uJgm(wEpL1`I-_s`QIw?
zrY~(e_z5K7tSzCFZ#Gx=PRX`{%Qp}CrgNL4a$KXg{xto|(EP%5Dr57DHT~B_4|XY7
zy<S|ZyiuuR-r|W$9rGT~QnE<kotRK?K&s&2g9g)@=7Wsdk_^qFVacspa*YdhL%W!q
zqqDg9+O}P3k>im&7(1W4yjJhd*PSVbPJ%W~uf@2p>x!+5c8TtMAZVJ(*6e=ei!@Kz
zij_7utj>EBv&=Xce9*hG=c}m-=fsWs+RPP=u3Zn%bUF4*s;t$c?CTMgZ=FJpY0ThM
z8{4R1to(w<Ww&H!y235r>9ZPZymvk0c@ll;XnI4wpmbQhkdoP&eVQ&g5r1PkZfr<u
z6WI8W*{tS3+f45>4mmR{Px83zo_YI>LsWEoa!W_g*0=E;CnDTAS~_~3SseQISvR4B
z`&q}KZ?g>(I=EE@B^G_Y?ectk=ed00xs@6j4;L<IZEq5j<BF=#$T;cjSbSbceElEK
zjvW<GlbnwHnR=a5@N;;dhRd1%O+VucKKjI_E9lMB{J?YM=)##-?(wKJED=ppU{qPF
zxla3*?s0)le{y%SX4e1vb==;*?%(JB`oBNqUQc`56mNT9|3}rq_u_vF56&0=Q+n|J
zyn3he`cGo@u%ox)pT2Qd{%+m#*XdrJV*dUK+v88%)_?Lg?A{+n*Ymm7`Ql|eV{bey
zOPqeYH8u~-QLSHasW^N3pNHP(^`Cr2mY!bLDbHQoobGx1A^2eXimyN3eLVbl`EmXJ
zwV}~2F^4~2kG0)CfAW5**E_%V{V|O?zqb6z`a4y5dy{v5=zDs|dK&lp2)#YZ)5B%9
z-J8g*%NPCr#IFs9uUT&jdb8nc-x^8K(Dv12(1bxBXzn0vitYBWv$r-qe0#`ir^llW
zTZ8l*ta8>)@t(*Rt^IV-lEc@$cG|oI35QhWt)1dMZC<qY(??66U-R18^YW+b{m+tt
z>$0Nu#hiF;yy$7tlUO~sdq~u$=e5fv-Jb89d9K)a?(;OO^Jh!u&3<WPez`Jl+2=bx
z=VhOF8T>u9vQ;VfZ|2EgEnB|6v~jrgtHe_w_pedd7Qc-7o;+L5o3Y(|?$`Y0^J+)C
z=zm;A+j3tWyO8!WX{BFJweFv$mn}9k{7y7Osh#hya#kA5oxmR4|H4M{N~O_?&nW@V
zPcAq&*>SFVv(@(R<@0#Dwh3kK+;ZKI%^+eS-^qr9T%`eQJYg#i8zpRTlAXa=+#I#+
zs@U#Ct;t#4cMYa$ZatbeW0%*8&F3w0*dM<!<+Ij|K4#lKcZzQE%ENxArhUAbXl=2y
z(q?;e@T|MV))q^xEA!Zwmjs`Gn^3b`q9<Rx`QzIpgMCl)&&=MiJ*sN^mG*nfm+E`Z
zRge3&;6)CfwZG5rh&MKdYm+yAKC-3v=sDrbMtu5lr#5sSjyrYKduN5Fxc<{eOMAYY
zNCeSu;!eF=`o{0nt{cZ5b}ygn7qyPb;!Vk=qO)fbmrtJdI-T#f-OTLFdAB8|yv_oV
z**PHcbuNg^&Yu^5;&t+c&q+=n_RJ8l(N|+R-_3at&h;uh-<{;WZfa%T?U}FB=G`uP
zCN=B%wz)g!J=?kU^y5Q%{oK24^xk~hu>p;9NpBy=w;dWywt8I&{oP789xuYqHQE(n
zZQB2Q)|BUAx$C*jD`R(@e0|6_PCW0^u7b(eTW9alyYr;<p&GGLlV11p-F7s;t|Ysy
zId7e}RSx^zh{Yzn^>GrfDic>cZ(TS~ysZj5r^vqh@U?)Q+m9w@uekI4(FW6yca?=V
z(%g6cKbn|*HRcd#CT#j=P{vqV3z|fpdB0dYH!q~@$D_>Evn`|&_7(5F;QQ{$=R2>|
z$~mg?N+(<M+iu@=Qnq(qbbq{l+q|zyFCy_$O1G*6FJ9~J*cRuq<*U-7r+)S4bFGWd
z?|<5zo4$X8H(|7;TR!vGQIE^|ZnNqPFMa8rxGcWMq;kXIMC(gW1o_+FdQ5J&mDx7w
zp1#eKx`&G2x%qd-SDg5J$oZYPT+#jy6Mnb0-@z-TVs37oW2<8B10u^0Tjdl_yd(K~
zgKU2B^fiaCt?KXo_^r_LUX}J*@vtcUHCy5$GWTw{RF$~YX3B#NTZ80E3T{Mc^7~ul
ztewJcB(p8-l(QVDI5{bJe(lsxE?v*XYtN^<?)-hPIQ#0ItM7Mi&6+2+p=RfV>;3z_
z>&W?^4k<Kk(rabCymZ4`E>?r@mAgdB_HBQ7q{MO4x`u!y+>^A==dZEZzwy(bW2Zh#
zYoE_|iS8_MEZQBw>?5wS{k7$R5=SG6H52Z+v1u<6pL~$3JehUgYAe}kwgE4KJlG>0
zq&U7rq?;aCaD0u!WXJx<n`+H+o0I(5Z0&mG=DYRVOxgJ<;MpnXncDoR>ySBa(VYpc
zYq%#L<ciE!YZiTV!9e1l+4jzf2b*^O?cotSlbf5eA;Dbi$<$!&^>d;spI$koy*w<=
za_gs4E2oD0t=&_kb<2x|`&ys8LBzsue;N*QZGFwo6Skt(K4F8?+dquWq7_ZWPdU#`
zRksMA_aLSc%<1TIxsZQN^~8evYsx1$?vHd=Y_8jE=gjtZo!kD^7xmvykEz_h#4;d$
z`8&~rTzle+p8lPr{eF7SHlc%Dk*zc2Pb)pNIn%S*q4~uGvpVg(3G63iUDywOyj|M-
z!eK^gq+-?#DR$A7iCH2cOWIZ%227M%=H}p<HACvZ$jW2K6)!r5JboOu9Yk8(Q&?5l
zS6!OsH}TavzcZRacdu4jx~|%7rMujtG~9iW$jW!eZmx0+DSvE!h%NAL?|mt0ms0b(
z%{c;Juf@C-Ty!tW{W@r!lioTH(|K3R6s}fW`_LHjSU+ozP@3zn_NjW>LBYMNZ?Xjj
z_nuA%k={8V@^k@PVDQrmsxH2v%+r-jLwTdSu7sFoXa)seov_ryG>&<i($yb3oN@)O
z{`ir27vxZ}nR9zyYqJODrY*BE-6)jmy6UXgWYLvo*^kzGY>m8}=ep|btP<^@+`i%m
z%`41~AMF8=to_X^{`Yk&u?O}(4~g!`+M{->E99~1*{~&2>pizAU9CuexnOh2g-ELx
zI<h6x_^LcvmaR-N@KtTj)ROcGKAAAfi|ulV(Tru24w_8mS=RPaN46yH<fWMx%GJKi
z<*ZG2x({Z8=YJk4NvsK&SeUk~LV#zM$<gM73o700bB{Kkd*qn@alz(_3z0T2d=^=C
zvtJBT%D5!L-{d$Mr1W1*p&-w{n2CZsVJmKMuxW2lxEVR&jgCi|n2I&`q`6a@&z*8i
zf4X3E(S=CM7i(ln!uYJT+3$w%H65&3RwTgl%y?>Zg2DWiGAzwMeV!^voH_3O#9Dvi
z`#moEJ`4Rkhs*&rZYNCV;#keY!*gq`42aMH5jHt_Mz7iCmNuW;<(PhV!REXRk>)Sf
zNS9pWU9{HaLf%#00}mcAbCU#Z{Wz7dp=o6xTeGN7uaU%>15&Bxre5>r-^y!Z4Q6G*
zf!5ee-T5ix*{R@}+Wx8QkU4JAom;*xs|xj7;Sn9L2O{`D#5;5IsSAr!U&KgSg}0qU
zVrmEoAKdQy;?BBftj+neg=gKaJ&^Drx7ew8cZ&qizFfbIbv6rs{=ZRuKFzjI+1JFO
zqPRi)0OJG(4uLlN2XEhf-{EiW!8FUULrG)Or9P+WjW=dk@7`FL{=WCd-HzVhz4xm9
zFM55sSDo+Tf3fRcr;@8auja%>!lk>lZihVmT*4@DQgG?RcHzQbFKbSxq@{+YriJo<
zXnDrqpTUPtEz4fr$hD_)^M^cT?#M{dR~AfXPMt~&3*+0osc6R3sfX9Bkx5H^YM`y%
z9vNwQ=G3YKvn{yybZ*8*UEV6HIHAdEHY2m<irtb16Pm2%FgDvQnCzs!^91XI=N8R9
z%tmY{G!&E@co;MpPBNZkVC-APEoJavh1Ehv=Dt<ZQU({YOI?`Rs@AT{IN-4U<E?E>
z>vOd~z^OykOLRjfu*iQcHmK-1{8(n*f`WU`3ywXUb9m3)FMFPH*ymdwIv?Hl;qx@*
zKVa_5%L1Bbsy)v~|I#t$@}J<m=iX_j3q6Z0UAepXG_0I126puYmdsf+XKvmGgBhDI
z^E$E>FD+!Aeb6WK%G`qqVN0z%CC;o0xz61@bKWZF_Jcl|Za*`=xbuU|bYE;=?vZ%U
z+e+&1LT9ecalgLAaBbGAEz)a^oN8w}{ZP-wSu?n+-q@aJNnL#L_a@F$Zi~P72~X*D
zdoQW1A>DiLx?8|HlM<6(PwKgh%YI#{2Wfs}-#T;NuPyal#!EkX{ufE}dK~-@WY<);
z&wm^5-Ya(sSY~ohnt4V18o?=_w>bA)IrQ?})Pr-DUpe%0-%Kzqdgl;U^z(3#NLuKx
z#bB~INF=T2>+vNTXCz+pdm7H*^Q?F2I<#kciN~)$wOpU$E-Aj8{Jqei;=G#U%-FB{
zf|`tWzuLQ=C6)Qo?_$s9mklB6hRv4lFB?MiO~JIx2S&>W%tZ&-pEU4$)OXD~v?j;a
znYZSv9e<M%^Q*lYEUC91mivLo?@1uCyqqPq<}$Bx^USgrW>XIOSYI)8dB7JK==|)x
z^a6wRlcbF5Z!)ybbo#ZLP2<eeHYua}^9-$(`YRPbPv;4k@f{?8nBkD{o>QE0Pg&L%
zHHBMVj8sj2!y?}+oM$rakp9D@%W|*F?<ZfMTYfL|`TJ?>f6X>~lk~^@oU3o9v77aS
zEtZRQb>8Z|{vYh=cY8u{R4(&Ng{|{msV5mc*W5Z!_)eAI2Sv++?N3<bCkfYh;NkpG
z<otH&d7{9S$e8&z9Rsd$`HCePJl?u&fx(QAQL<Zj()NUH*Kd|we|6@WgnQnr0{;bR
zfKrNfgT|RvU&I*#XN107|9_!D@|yjB+7I<?{P#+p%lO!@?s|<gV#;=r?F(XBZzdgi
z&M7!W*RAH6-~u}($AGZe{e=Qku0C6=#*)f>@pvM~DYixZZy1_Q*^VSE)0c`&GPo?>
z8*Vt`Ww+Zwi8EhP_hc&seSW>(f3ZP!=YE^sLu*w3y)xl4uKUeht8wPX+x4Fp8oXDP
zo&Qfy9u)B(U+RBaXdpdb&!l~MN%8!cgN0?YZajQrvhncE%%t|smyc8)=UvE`zPwZR
zoS(n-oVoMLEUazce(3vmX||Niy^HZx!Oe%Qe|hI;6<Az0`Y$WUWjwL`PRabwr%UJh
zSey~Ly>-H2pN++JJM+%zUqAcv=`PFtG3L*wzuxt(WP{o3fTe4kr*7g4J+(sX=N_)U
z%T=}e*p6rJwc~Gj9MW1_$9a4uSGugT*=vbSviD_*D%|UTPI&v{*@VN^zfQO395-*D
zbLdjl-_#p2%fJ45y}|NcsQ%N<`vqq|F1hk4|BS`Pf*E~L|9WT6sZUmWet*WvpXO;r
zQ11I5$9nFk7tSfX{;+2^U;3TW3irKN1gz&|Zah5G%yLfVWDvDy@&1t6ATO@+<x9W3
zFI=t3DE8Ez+kFRpHq0!(bFnR8^NSCBY{6K`zJJeT|1M3t_z*(ooT<w8Nz{tkH}UJT
z8HZ#;jf@|@HE3OF_Hk?FvFpzy<7cPOU9EP{dzabyAGd5CXMaAk{_IJQq}ks2wVbES
zrr-M}H0Am+v+4If2u(4blBZ{yKjq+@g(bx=#dSoU@hqL~y+3N9LHO(*-cxsuueChK
zw)gPO1?3i-SJ@fQSa9a=gW_J!Qzl2!^@XQoa{OoO^`E1raamw~7q8CM&w4y*Qjh#E
z1{_P3<#{V4v2_t&df1hRvR{L=Ulo{TTg*8t#rHlyVHZzfg?s%=qa&JOU<%}^(*o_~
zU#3Ty|97?H+WhhT{^Rb6X8-<P|Dkc_*#7@w@~t<2T-X2DnD%>3WYeaPkrzPd<>o5R
zQ^%H{7gE!BFKfD@YD(|HISa2Wcy<SZa^LM==a#t6tYqs$`?YR~_q=!A`fxvVvB7)Q
z*xV;ITPJ?L>UPe4<Me9plZ94oyK|OKw>$n);@hX^7F#3Zm@WKrr(I|4dM^9-soAa7
zjK{5iozC5Iou#k*%k<kB>v?3B|NW{R(RaD%kJPkBcdq>o)j6(t|BuzQ$5Zm_R8JS4
z+P)^aa7w98-zGkGw*Lo~1#9yKM<2A`F!M8i&a*$vvn~H0NIPf0Vdm~op_j|%S6TS&
zKEI!7_V1hR2e<sL`<H0&*6u%7&bj?ev&+vo{?XoG`JZdeYrfE|`2i;jS6#7NI_Yu9
z%Gy~f$5#gZR`F@7jy_*_t8TyELyNW7*H>Bi{hnIR^X`r$^Zavx|8kPH<e%G8q0i}H
z=_Kh?J6ZkH+qVIEv8f9gou)mOYTg>K_Xf|Bmy5G_){0LsjM6tt-V!(CSjOIt-oSfp
zlRA?d{0s`_G$!-Nq(0zaX6Mn7m|<*?ydaeOtoo)2q1^A8SWc{JE!Sa65&fmTx9FTg
zS;CJsT+I=DF9apHBl%uzlmd}~vfMWt7ap(INnt;xF-yrdQSj???Y*{It8!$te}Ch1
z7g(>B6M3Smoad-@+ap=$zVbT{Gkim@y<3<(Wya|>cV{2hFr5CbTUtc*nNjxiVnwMp
zlX+wG7xBdC@8pTmzrYit|C}dA{~u3`z9?^uz7B7UzB6x3wNKKHZ|ja|Ob&fr*%PRC
z@Y-U@#Xe2{zA!jOO5Y0RG(2_d!o0GMz<X@VT;DESB9R((rS7(hOLMRdTUykU>H9>|
zqMnF@$>|Tl?4KZ#KSgkg=Z3qpTUMM`T4eAzc>ZTk@pzuJnoCc`n<eL$Fdj=drXJhm
zc>k|d&w?KRx9t7NQ!=Z5D?XJ-o%Jp}i6wQ`yX#3Ts<pw4LQ}r@9!<Y^#+rrWRMfLr
zUY6A43+)p$&Xj0#_)E@s87A<{$l&r?g*9mj%c3Q(ukCp~t)ES3$_M-G#XW|nN>=yA
z9n-kH)=W!ku}_oa)CK3$I>f~5tIfNZ&HOePHuVbSv?MS$iL#vLoF>Q<(P!Swd*W<D
z1^dGT`p+MII?1{q`s-T(ZpXP5j4!sE|7w21?!v{a#JXqZ``fFx{3}~`NlM^zXL$qP
z2fho9_gy17vUE1M?b&xCll?^OcHf4^JEezNBu>O`_X05|gPHDy*Z+Bm-*nxbmS4-m
z#OvzcI7^P((fMNVg0kaI7XrSBthl&QU<G#-*S>w;H}`+z_jL`a_n$uP^;IXaO`opL
zyz3OU?|#F1nIoHmkE<mlSY6r5DKUeuH1Ake-*$Wc58s|M-uq{B;P!m>7Y}6~%>Bf?
zC%CSm{M7DkN-Ve5a<NND6ogtHIMA^A5+hqsz*c6SB@5~T4lK}p#mUNZYtcS1lb4mp
z#Od!s!`UChzA@fAWp^O@CVPeUZ-)F-`3Gy?Fz=aK+pv8T$UrGWFO#Gx9*Gci#rvRJ
z<(^76gY<-B2khQylzO{eC<L|jrYuqxkqy|gAj9us&!XBl8}2Vtv^aObkgd;X0>?3Z
z2|<-hpSs;xI=Ad;b)RtM-CLWcC1v?<1($3Uj=k6_;@G+FMN!uRm++E6*MLRZyS6B4
zTojE>5S;R&D?UxpqvWXmHUX7OpS<;0*k5e9w1khVzo??+uxzTh%sI<Bg)=f-{A_Pq
ze$9DaH$iYphKrwajAd`ZQ<FneFLO+P&F1=h$?0AG$sa7*XR?$YK9;aZI`>+hhqwFO
zZA}Y$j+b1?6y`Wp;p09xPmU8z-*-~-aGQJI4NQ6|dE_j)@2BLElX5Oc<?AwQ-sGs@
zfXYlhKF7`!6XEs+E=IHZodc94&$4fr`&pBbL+Ip>BMdB^DK%9LO)f@uo(zsklJm71
z6eQhusjF&C>|QsG(`(66?X)JL%*-%8M^9-J^Y#TxcxNqi4!Fm*$WS;WS#8BJpMc(v
zCyP0RdOvEGfXGfT`4U9VOpv;KPer`paYz&h#YJt4*ni%2!iOX8O9fSIKK_nn;q?DA
zPu%g4@c#F%6F#_n-^ZeAbMddfV<+2dxfu&wnoH$<0u~)q4rz8@!B!p4)8rEMR`fdK
zis+=KNog;)%~-G`vM#$vA#>V&Ic1M2C-Nr>s(3xwev(5-^;2{zOQ+DwvY889I!jLb
z1T1pdm8+%^xF}F-EfneNT3=4LT5K?54Zp0<wRS!=?HcyUqWhXpa@{|e()vDO)1h($
z!O&-0`xm%`L{_s$_Xq{1zGV$F^m3WHRXq8qhTzoKY_2m`gz!tAQCTtTM8Y+D`?CU5
zwmPdzv28AU5pp<Tndhz<DF&BSV*QL}WKPo4kUV2@QeTtvl*!7yE;??K%Wt1PnKUIc
zsQP7OkCE>uZ|^1}&b~s<S96Xe95bIgb%C3`uHcl9y?#ECzvs3|q}F8b|1Yq(C;x4^
zs*kzGsUOSqRN0KrhA$Utp80Hbbmu{z&sR4dNl<ev)is>)HR!o2+vaI6&Kya&CNy`p
z#F>(l`W~F8N>=_Ya_BLN&D!rFu-Iq%Eq&P|8kd&_uJW!+<%+$wRI_=e-pfZ*4*E<l
zsXUdS<`}ym%Hla^HlNy}PuJNDr*vp7=ghYBjX0foD9TUx+8svsE}_7kc>z;8PTkdT
zb9tJeq{S{AXgQ<f)Ipb!s!qw)nJX%+RyWUNskF*|cR8{#!ZwE|jW<wUx;fJM%4s&<
zg^_P8&nBJE6sz{ze4}{Q(Rr73zRgKn|IDQNYH9N#pQ$F@T?c)pnoI|ii@Of`G|dV>
zny@MI(_>!8P1ipy<~`Wc*y31~E8NQ2{3S?(OPKBD)L;=co+5+93C*2d)-zV@XzuJv
zzxUfEV9}$<YRmc_A<^@<y#FUpSyAz<Hh?8n%Wv|n;#pVbU0(8Sj@s7eCM#{1d%m^w
z%_=|Ev#95FiTrx!{sl|&tv+yg{aSXo55#=I;q_};;QH?Qb%HYK4<wk~B`uOBab7Wr
z&X8GX*y1Lrbd+B}OW>5?DS=nBZCX$LV8SfU*W~YR(_CY@Y{Rn|hh`;nW&5@Cz__n&
zbqRPlI{P&=ikO_>k??Rld>X{u0Af0yYG@QOSZjBD%P*PBuZrcJw+VPKUal(kd41M!
z?R8Lx+sSXq1Yyl5E?kxqo&1(8$aX*Az_lxjg=fiv*GDy!FYvQscDpz3Deq=FUELme
z&-%=#dx_J&^KIT!KI7@$!#eL}(<<K^oUU%aDLCPwc%tzirJT0-ma`waH%QhAnjO|Z
zlvZ~(Nzs}qCR%V)P=PkPHfwvNro|brgNb2We4B#`W=w55yhcPOZRrC8u+)<ucYn+5
zub%(7{C)ACceQ=@_n!Z_`@3b`ce~^7@9o~EloGu@cvEZ6>r$s}6LR<Z9T(fQ_WH>i
zPTRg(iAJB;W_LWl_W6&s?`8IXo%?wEzT!XE%KPsBI`=X9zGdBO>+k0hxDRp!m>VP*
zFqufONc1r9GBdI%J1{#m9OSrgfj>ck^~|xehSKK8bMDA&E-Xgo#6}A;X->F#AW?zU
zNZNvDi9;H5d!xvSoCgLIm}c_Iumv5ssc;+%IsgjsCbq2%%$gY%+y)bx*fxQf9AM^p
z24>9%2T#lJ$33g~V065Bx~1HnGanzM_Oa_1+f>Z_ZP4B7z$KNy$g^a@LeT>bTv8z*
z=0gz>(}R&`NdrjTk2#MIK9}LQdtULu@_6%kOSzhJA0KSa)^<{0<uzeu3%Vd+oS?wU
ztHH<?bRj?p#8hHr3p!A2Z8`7u$&YvZ`kt2+*=(Quc;{T1^KYM2<Q<2&xC7+O3=WWs
zTR}_<4uc7YI3^zA@N!&lv|+;3rnsa8MQzr-`wlpTaa9#IwyqKRa*ByNQges5F>-`r
z#x+~efmo-u-7P%joRaT^jP9tW?C?HOFnz*9y@MdDnLdVr!gr4-AG4-Fe(PZet|QS8
z4JJT@8~$D9`MX^5m%s6s`Drig&t9mXweY`*^Z%7#|K42#^5k2o1O?W+8$e85X%KS@
zW7xOF0XfU(?vHlzV>2|!Yc%BUiAp%a#LO)sc0zYT<bwM@`fL_#JFf7!p@-3k>jZ}a
zvjdkyk%N%~Px;=tos5TL^OKVf6kNYOUBckb*KMk7Jmq_1I~lE|rtTHnv^Y>QN6}hp
z>QNALCYX6vEUR>JTh!eZ6T{52mR#+X$}C;%hRV%UQgEApwNB#5i_N$Ank8z(b|(rf
zKA&aGlk_F>ZL8y&X&GKCTh~m>FancOV3G+;zFN_GAZ_y2J%@3T4qQ8?oiEUx|1iq#
z@Yb5P?4LZ>KS{28Y8-PK)b0sVxX0SwDAG}WFj0Z^QEY*PM`MM!3>#GV!u^>G_ZvIc
zr?>q($Mbit<S%REFXd@3-k0RMax8K9GZz#m^~*tVa{nbLPRgx#pu!8v6`kKZwcTsw
z*~=|iC2sUZFXct-i3__QeDVb4oFDn6&1wk>tU~|S>YZcZS>o_$|JB-=4UHlmf5O+F
z+#=w?_;|nMv3ld4|7jBc&l>!jo%jb_STTLn3)#860p#F?UY4vpOC0uagB<a`>97OW
zi}z=+I(XMMC6*wCe|{i;?!OH3=lc=~kH#O?vTR`Cn{^^7F;-``9ZlS(%eOhVa7J$T
z;hbohG}A=~3L_uv&?$%!v(V#~na<jGn(26I<Ks(PMHL^Yd@Qjzlhtx~jT<O=+Q@=j
z;olAlip!wnS!Kobr9|Y#ON|Sc0~ah`d>}FY!3O<;8{!skxMjYv^8IFNuWdZM4<dYG
zL%9UUOrGlY#tA1u%$*8PMVH)TDnHgb|D-O<+@qn6joIx?;S#LtoVjAwitJdXS@1OY
z$5+9EW>3bDnG%le2QOT(wMsakRI*#_MVan}w^0jjZ*|z7-I#Zs>Fzq#U9sHzqqk4U
z-Fr)k`{uRPs+&MeMG#XI%v9k1+WY%pv;vlLUPk{ybMylC?GF6wHXr0@Jhhsg&A@=+
zRVyP8Pk&Vs1M}g<_aqJ^tXS#JW{@!X`@=&onjc-c&n98wzqh=>vHW+7ZtbCcc6@V~
z^ovVvojFvxFRo!qj$?H@#?>=lR3cT|ApX+&W}c+>hIm%VBYcee*^D}5nNnC?J|)Vq
z`KbLg;A37mu|}f3QD_2)3<9%D4CR*{sF}g^Ig#s3yQTpWrx2VdZNfk?(LWUw6Un9*
z5}9VKJ)F3Wul=T#%&}J+CY8;Yy5;biC9-L%Zw<6xGWvaDp7Vs=;v|2;B>4v__73%X
z?(dOcao?CLa$D!2&#GvB?#85tch>&4<-W=HO6ZEyw8#`js{>y<h1s08eP!OH<aEEX
zao^{rALnG#zFtlYv&TW*3|a7gj{{gOQ|)usU+1{L%oTrOt$(5X9jNYZtXKuga`Uu6
zDRlWMPzqgH1j>o4WZ3>H{Htttls#hq-e5)ZkLTQ9&Wpd8uYbWlenI^{hyOK=|9&$4
z{mlC7IjBbBTUl?XcKASe<HL<i%^9q1x43vth)QhJHrNsVLqK86)UEPMT>kvwV_rFV
zN8@3KU{9Gti3@%v*R}kRT-bJt)wA0~OT=O83Z@m)92u?}aV&^pV+=X1(2ym`5}@16
zuqsu+K{(W5f7=|UnS4ppUw3YDeRVXq`%3q1v6bp~#8!%L*A3FoYZhZl+PQkQ7Wd74
zuV!iKcui+zGwi)mc}`*3M5|<}BbzR6zo;;6;{~U=uXvLrUxyx1n6@$QCQp*&>#Jth
zSOfYBxqBiLt}rQ!NklBz;E=~?U2#P%;>4yse-9*eM@-uuuahfy`qQ`WrMgLx_v$uo
zan(8f_ty!9u<50xDT=F4?=ssoVJqV<qfHaGs_)X<G-0dnF0D-yo>u%mdP!_!?)BQ9
z)*R>3xa|{iSDbq#wu$$urEON&@2!``Hm<r@_jZfxnyfAH*13YKrQTlmi$1cdZT>0V
zq)_D<Czwxc3SX|v9ohF<B}4Ia;oKnI6pMHJDw1zKRk*CjKC{g7#Fo>J%VPPA-WE;B
zoZjrQyGPC9M8=^5(w5B<z7kuk4~rSjGRW9#shjkC(zV%_mCE!!9y_PtCVXdlh0bwi
z@gj!EzSZ28T6_OGd34_h`!%7*F-QA${<|w3$C7nYqE}v&;EvpTA)=>sO<ac0L8mZ%
z6Q@MQ)#7i>%cG9Ga{YaFuGq#ux%+osR+{!0ELL=<y_F})a-HAZjup12?ka7QejE5s
zY~$UG{-W(2Z{4b=>~_sD-&1}~VViX0V)KSIk5>FY<rG$Ur8-q{wZ*I5n<j+He2s}b
zQMAWbF<0>PN3+%~t~sZhZ}>6XoW{O!F2g2W{v>P0l<0fM%A$^J+td4YOUKozX4@_+
zg@xa`;ny7zo0)8_n^fwWtS`1{*P@By+>w3RcaDOFoJu!Nc)H_Q%%NlA8zyTcs0SYK
zThuVOMXJ78!f@qF^A5quuckZp%m~@dlXPp%uG9&2dz!w_5@miYW?;>^KFlzoOek(;
z5-3$Po?$9W<#-l&*qUwQYX^gVDPN<GsrED6dE+FHtYf}tV|4<PT(PJ5%_-@#$&Al7
zGJK9`sMNW|^IL4AO#b0-qCv8}+a|p9DmA#_v`qWz#s?l}6NM)pR#R&Cb>W-cA!8<J
znWI#6!{y0_&H`VSBO3kIw)s<zJGxcMq#&qik9TwyOnCZ3qNs-FPsY0|J9BNTw#uYL
z_sbcv-@LYX`&q|rUtjDjo{;;O<yCjNsML{H+&``reOUYSLG<Z^+ov_>>$2aUm93;O
z?eXIi9}A!SuqdjLv9#ke?o+FlJQC$_BXY+b#camH^oI%vGB8y;@<f!woyZW)puqZs
z^uPn>7B$RuWwh>QDidRUqr-J0Qe?wskeiEQzW)%M*q<YL#O&hntvpGyZ`3huam^8~
z^*ODutyAjarU{{3z3GuBv|8OZ>!eI|N{$rU<h5|34tM0z412~~N@1F}{2R7)JgxZ6
zc>xq?ll8J$$^}Dbt!mBjFA!g7xr%M0ZDVYU+6t*7-&o27XB|xySZ%$b`+~^271BGp
z3npBZz2j<e;uYUJC7F~`_HseKO)}SGTUvFF-`>rT#qu{lblR%coW~dcKU26}@nyf|
z2{XCB`Fxvt+5bl~{@c#<C!h7leXbAtMIY2_ANU{E@c*o-pulRqU96iYJl&CZNGHW|
z-E9MI-c`~0zaO4mSf^rK(Q<gPjNIc77VYX`PivW`7d@%C*7#hu;$kDe)rX0EHCveF
zUmrMb{ekmX+qX@X%;_@cEMp30cwP0M%d;$~bh6>ge!tru@6;>UF8}+X%G{h;f2%)Q
zYR0$Y`q>gbTd(L(0g>zXTKH|fvi=2_6t)Dh?JWJ4n!mVk$+11;_W5jy8D3ZQ_e%Qw
zepOy5v)txGwR2yv+z(mx<C^>>^4(|i?awA9oMPVJo@Q`0XTNqjh&&G>-&-aaT+Mmk
z^T6V2&ih9XET*n1pHnc$E7ZLDMveU6RD-uu`8A5?d^^>?($cT`Y4d%V<$FIVmi7h5
z%D%mD^&($vuDwTU!m8DF^BWF^gx9t)HLqOvYYsbGaO~Ge5uRm1U-M-8f>*98=IH*;
zXU}$dS+%%QbLQo_!IMmm?$)>ToBeL?BAMk}FJsq&NZB1S%eij84>(cR?zBz5-@qW$
z<Ne+=5XqlzFm=`U4aPIPw*FP{<Jdl5yW=9-yy%qgO`Tq{uX)lI1*O|I&s?!5ENDjO
zsn;s4Ggs_!3!3pYNMASE;C1S=))NWWPM_(V*u%U3=OYW*$#vex3$4`tx=nmMh4pRu
zNnW4G2j4gIEYta5ox*19_?nlSC#~t+AH8`8uUx7+&TxF?(y#B>`hvY*&y|o_9`tpc
zU|;Y`v6%J7&u1w<xz~BP@K)@5sYe!X*Oglo&MCXTd!vQl?%Aaph1V7yd7D0)$7kw|
z-ybA=rrxM#l?1URL1eX_q|ee<a~H}i4?1hTWLEWVnO_V3_+3ysrv9vax|pGz;r`^U
z9M@87lIr&7Ostdf`0m&%A+i0y3|2Q?gQUhrRxa)*VkdMG_LMW<wTe1X@m?lnPdT5_
zJ!|%vX4U%sJTug))(7%PEMKu}Ay1OXf!*75K;&sK`9LR0B!LBl4zL>}9nfg_ZgZ?5
zkm3Ew9>&ECb|r$$ZM*N?29dAqIt5Nd%l(+U+VM?8bH#OrN3qNu<-7{_OdDXFogzM~
zkF8P_+myAYDruo$3mb<h$5W0}jz~#|J=qK&*D)U1%_i_(YRmPWD;?iG7*nLz?e^im
z+4g&Hc8sEomc)UDO^jG5rt2;aYgVmbKI9Y@x+j`hVYSx1NTvx-ca$GwNU^l*l96Za
z%QkCw<S{p$epsPx_N<eM0*8IiJ~rquEV1iWX6sq_*;2+ZfoJad2OW&bFKYg|@kls7
z-)x?Apun%#oF}Oy)YzOSX-9XZH0OVXSp8pBmVVc}->P5Y^|{_X&y;7`YuEExY?r?-
z5|?d$S#@zW6Nr2*cKl`4#n;-$U+Nv`XY9Ki+aMn<vpi_-iwUn-UdXzhS@AK=gzb#M
zCpR#u1}53S<TK-(RZ;%UGZL3<yR48VvGS=&hhb2bId4+Wlqlb33FW8aCWZ++UQag$
zk>bXN2~n>Pvx^z7m2JP)=bT-ul*%*X+OHL!AX3AJM<Vt^;9LoVT?Toj2i+$h+V=Y1
zK?OJQlIIBmi@A5@8+1Hew=Rk&X~*hpv1W;PzeKqumiA5Py;a3#ym}?qfrPY)p`sFZ
z)cgF`i?GNpe<9)Ou4(0Tk<pdA>zT+|jZ<kxp~`HVe>#hT2DGvwCC_kuG@F0Ohxbp9
zQ{pnVx_yfbf}1(lvAuPln>=Nu<L|)d5~*1i>aJM2&6L_AU)mSAj7>O%_eayR<e!hj
zy+zW5u4pzN?D=U`X)wd^zP~a{>Y4AtT#k~T|6XwlI99ViUQHwUpGK<P`Fj`t8aGRR
zE|ou<U{+IN4<_SzH=GmXNo#$Pb1-2Un^nHSjFpY^9XU>2Ik5f~n7j`rpKzSIlF+k?
zk$?N^SA7S4cuVFUPgusb>rwKCnXgPsQU#1RW*g|Zm}I31Y?ioaF~{*tl8bURo1yNN
zh=q<mvwv@pczHN!$=^wy%rln8{Z^gKX5hW|o3|Qo!nCTl(|x24d=fvGo?2k1-TXOH
z?*OBReOY42#ZvBGWs|lG8cxa_ywCwPBde(gf3D$ytCgH{{?voKsX79|e=BFYS!XS{
z*e8{-Wigx7+ZByaZbR1WUI$(i=|(AypHtcov{cHOpHF^PdBvuG#`DHXy)(sm^Nc^A
z$(fzE`*C4HnZ>_$M}N~MapsL2H9i*@HgeQVxd<ZfTw>VBVWi!3rt3iZUib4GIcnB<
ze39uskUr1l{6>yFmL2nw1uKFDY>fq<*ksN8EV2BzgmwGO#TwraJ+df1V=>3FNamc3
zJzsjCQoHf-Nrz`DpL%$v@M*!b7ey9Nmx^09-wc`iIc4FT<zi251T~*$dRzJzovM81
za_+NE(Y&b>Kg;yZNw0aLXWz2n!t%)lb5^;z@9H|dGOJ|CVT(Dd+WIq#K|*KlJPa}Y
zvr?HY`09g)|K_N(Ke3sr@Vt}#mt|SQ-+-kC*$d=Xh@|m;IXvain#Q^bK{Hm)m0u*1
zCe3Q^z<J7ytFA(5O0LMC2Pzu3HGVAg4A>TU;)UD7!;?POxTmkN@HxIT{!zi4KJWbx
z9$Lswulsqp&`R%bQpe+xm|sf;k8578_?2|-b3yUEt+PMN+@F)q^1M#>Xu`5@mi|&D
ze-$@8+uLyH#}n};qpjaF4OmjM-U}yjoVs#v`7wbhEBE?OQq-87a9nUvUyJ#CdG|y$
z$N9Bj^0s@T+QBC2bxp5VJ~K3!A-d=%&jE+|mmLC@vDs~JT;Ri7)5q*MQ|bqcWRsDp
z#KNot{(_}*EH51~VKX+pAYuw4Q^4dFQ?|{1zgQU@C4c|@;vaCVZvQQHjpY6DuZ5?a
zldh8}nsZKCwsRHV^3QGZT{0lD2Tb<Kq`#W>JNY0_+N)`wWuDwg*I{n2y~vV!_=>ns
zK%CxX;r-v#H7*P9{{$lEiNBlvS7ceu<HJ|h|I|Fwwle->@C@GI{r8p|7;o8it9{?^
zqjrwRd+Ry%98W%2G=)bm_~e5_Q+f1KPd<1wjptosS*Ad3i&0Ba3s(ypN3ViO*98fu
z#~i*h{v9xNttz^xFpc|d=u2Ium<tvgT6M%tw&f_EX6+4+K2hZ8oG!L0=0d@oiCOX!
zcqZIYcu;&jcb=n9tmTjIrGi-k!PE7!d6P<F#80sqUb?z;yQ9zS&)+7?ICA%v$fhiL
zt)LvsF1k_u()(RW3Y#7B7&Vd;8U;aPXlIxni*1N%j4SS_c~N#t;hL1=6xC)ApSDwL
z4!Uv+YOVexb!*Fnw=yY<cYj{paO0d~STWm8C&?7|^a+l09jBGD&Abu$`)Tij)@vs+
z7FaixY|K);U4KISI`bE{jepy{i;Z`bt2ghiG&+%fPT{#_^PU%L{`w?eaJpT8cUQy-
zsYqVi=o4mp{zg=HJG4p#ZJV$*;P4f(O<}Rl_r*48t*bN?+oUAtEa)6H-S}@qgy3P(
z8p)W;)3?t5zC!Tq;SCd>Hnz3sx}4f%bD?2Ns}8@(iQJT|$_I;|v2C<z==s94>6-D0
zobw95ZJT+1uKDZW(fY)~tXN{UWzSieV`+TJ#_bzt9=>rVG3S{9MA-MbleO!k8zmFw
zDi!8fomkP?oOAkN*LIn8ji(>3+99)U^67`CcFL?<divq3U3>oaRdqA4mqh0&o@TuJ
zD*8l`!(DbUBj%%yX$ve)yprMbWxpv^m|=C|T8Hyx$0cs7pBk9l4V}>tA$j=1nZ%4|
z1}4Q4vn+Ye%JA)YVxVYYy5+C@!GHY;|J^tI-@sJp!*t}Ee3*je8i%5Y#wQz?PA0NW
zI?ScgF4~d3@ao6FD<1=|6vkRbbp%B2y>fMN>zcSnzb-n4=}Deab>4X(^`xE@d&gAn
zI~SKX8aGGmJW$&pwYhuNi)1N=NWLE)oDNHLPB+>W9e5welVr)@=`5vV*71}fMq%z@
z)ytMKE^`m3UbVc#`XVYrnz#Jv%H?Miwi)f1?mxFAF!j~B%@aZkN^eG=(ArUM%an5T
zwd^d0$d6(g_n3~pR#sr!_=r`1a@)Mci>~ITDxPL7ZH+up<ajq*Y*S3Y^tr7%;zduK
z`eGXV8!W>ZlcvhN3p=y-x$&AavN_wmqjGjMznLT^{Z3)`lGe7}*^&$!y`wTJ*u>q_
z3=)ha3?zAG@UZ!?F(2D{VMSMS&nk-(c}fKbEKU^3u=h0U94~}0K?-tLFm9A-zna0e
z`XbMpM7D1R%+)g*ZO<H#+a=<6x^PajY>H)H@dl6{zOo5VEzTX}+az<`(xTb&1}}?)
z&hbRPa|tl?;NCr+Gl^`^E^NI}By)CQv(E90GFB(dWX$v=b7G8c>`2*AcrxMfqbWDd
zWX$#WHt`<s?QUMP>cO$2j$xsHj-{4d&675J7op|xu=<&tS#ADfJ?U>ZcHCYT%Xl+2
z^kyD=PD$g$x-TKy>^}SNez(CdzODb}D$f5~5|7K*sQ<aIJ-0ey`$OydD^DAG1fQ4t
zF=eXl2mZ76^EU2#B%gC@!)dwM*WN$+a>GgYs@0OL1$9?1*?KAb*{>M8{c@YojpV<1
z_mu<g@2q}3FXw}+gaUVC@3cdVcH1JE_gz_)yZX~AtLFS$wf*vMcC4DRruwBtOwgNs
zhx@G8zc)50-e|~PSN```?Dh9z1?>k-`^~?-uFne0x_$fqUZWLhxwmQ$>-~T5%FKyH
z(cLRCMDx<F0JUhPFAj$ivuE!s`7(9G>iv@I)LSA?%9pyhCj{nv<mhP+Z3>orDlOUA
zc`@~9i-(O~N6x-w6C*#BrQdIly>(EG>Eey1gKUQdS-yQY_|^4jwS4V?a-~m8mh5*t
ze35@0?`pQkJIjg=FYDENx=+gDYVcA1+F$dkCGEb2_x^O6WAf_%FTKtKf3H6iSP?yE
zfuv)tMB{><YwVLaqYQPNWHp<XT-mehkx+o!>uyzz#a~zZG#N$hf32kPa;Co6!DUx?
zUtfE_=Wu`7t>o=<&EIbOE%&aZ)%~tj#`d|to>>~7-&y%hJMMqXt67_$EVXPnWy>t6
z^7o9!`n#N3O+j(HpV~NTt}hMc*Lb@1_6)%*@6U3a%BYQLUC?u_I-T>@iE49msq48v
z9xj_(c274w;i28?ckKyVIGER%yuN3b$8b21{~_<$4fiIPvgVxle=v00@nf;I&Z6D9
zHjaN~9tCDUwT!#;e5&lnoEQ1G9$Q;alewAMKKJZwor>V?hO-nIvwsVn-QHljET_MX
zKkwQ0UvIXuIXb;81reqo;w!78)5~1_rj|?5aV(rmx9{et;<Mgx)qA;kgDJDZfu@C$
z3+Bzw^Xe(xdrEnG!Bexc4cEWl{jL!&^+dk>9_xfy-bZJbl;s}}I=t`o?&s1S35Pqx
zzMOTK5b&!`A)=2j_q<#FuHUoEZl(6izuWMc|5|=Y_Jnukk&ot{m%sY)aeUhBYi~Ck
z=X=T>`;_%?)^Yi_59=mqtoGRRxsK&~o^$BE@3n5(0mb`Q-qiQZI`wZ^Z{E5<;Z3`<
z3)ANP{#bmiBs|^Xa?iVR-_Iv^+tf`Dyf(RfneN5iAv2fhUbIe8RZ{L{T+RFJ*!wpx
z!e$$Ot5vvtc;d`MdnT(a`(-E4d-TwD-M&>Px0o(#`RLXs-8<*&&Z(R0%hoM?u`_?x
z%$;f1tin!jxoCJ<;rjb`d_O8RlN^kt7JX{IcsVjm>~;CcZkEEu%1>f<KU*agTC^d$
zIe77*z6EwCj{UY!UShb?_ie|Nwb$2Oi@EpU8~gtapWAH9ez_|gd&l!={`blD-}6p#
zY|NTnS!?c+>?_-LP`WI0b$j@|`x4XMs7*OGXKREdW39THZfN@QuU}O}GcUKLF=ahS
zi!t{&yo4=N<+4JrOjgSGyE)Qj(f^&fb4$*4)o(v%ovVDrx=i_qb%xTCi7w3!_SYQ2
zD73FlY^B7m#i{cjSzmkIBC+neB;zaIg$HNJhi|%<``3QQ%YAu{t?%~aUFY4suDp8o
zs<piLL?RWX%Wj@D4HjJ_RVclGbKZ0LpQ2oc-k#dtI9IdKOHeEE=CxD(50AZSi=8u(
zNB;N4#l{mKE{-pGx%mCgS+?Dkw`10S(awpz>2m$0go*vyH2&tq!rHKy`=a56%gmNZ
z%cK|aZLjHhFs+|A=Ch&F`_G58Z-~Vm-1ea^xMKOz3}1ykr*_4gW*>TX_1yi^tw(O{
zxZD<7_u||8FzLG<W~#?uz2#w8RleVOudLka^82gHuly>rV>~RmPit4aq+jcLp5=$a
z+k)$KqW!*FTU;%l)3vrNIpvH->0+gz=^WdVcQw78p_jP0yVQEsq1AD>B&`w{_Px4(
zZG)Vfb>5BS?{m%HM0u}i`}^&blid_C$8$of4)QEGwuNnm`P#y>UGG-$oe+!rzBqQ3
z`R?`icSg3}nEr3BmEhy@cdeO|qS>w#@ozk~;rfF4&mVE!O_4COe#}$6_=Le3$F@YP
zkJn8@Hfg$hFRjxJ>R;W}D;n2(sLD1%^Q2#4W1WpVE1R-?Q1^|dl6^*}T-J*2%W~7(
zCw1iSCD#p?KXrwQzFK}{NBXqThdk2ak(;%2g3eBOn3*#ndy0g5P3kU*eMYM%^Mpl8
zuGthb`>dAs;m|O))oUOEMiHs08%~`{m^$^KmUi*#HC3G(HbyD6^r!JY`#N{c!K|9&
zFRmZVI%1#pk!$V0z&%c%SH!zo=t!g;<Jh{t&)wM6De@EttNuZbtM3nRSO!KZFPUNJ
zG*#+|=(Jr)J}q+>O6{3>TKY)Wg*-;18)_+a+Jf9`ZWbTmdR4B@RVjX}+-%yX>@{)R
zrq&C@vd*M(n@XRYd;R@~xxVLu@2tpeHp#lJ;QLy7$;-rMlf&yBf0Z_zdN1^NxlYxo
z#mm#Ky#Lj2{bpa?cHin8okHQKMS*<pKk~@`-n!}l|LafXe=e@OH(%Pu^sUzXJ>td{
zS*x;B7VF2BM+N=A!v9!b%8_fHZRwXYw|5=fzExFcPq$H3-mTX=GUm-vt4(i@-D7Q8
zk)<ZNLg3cD3bls*yo%>muWnd}Ps*B8d|_JsYqNKo_B~rD?c*ry&1T(hx%#B(Dd|aV
zm#Qx|FW)d_!Qy|Ki*?tWDydP=-|O}7^0LlPYiF7K+ZM`kUGnpbR>6I}s?VL-4sO1F
z_=RQN`%=TIzWdeY<zHm>Z~w}^_G?nv`oqT~IBR+os`~E#-Y9N+{C({mC6F3HxAPyX
z<-I%SJ^tPn{Z3l@nPpx64%-d;&F{!b<ni-tXK#yVHuhtWlWa;??rogdw%)F$CpB|P
zphTvKn^fyWw?ri7j@69!9^Cxm*L*a${LkwT8}I9|{SkTPe9!(y>2>)xdv=#UbmR8u
zZD0R8a5GQF#Ytt;1MBnID<@90y7u<cwTm;39hf!6f8XkZ!S)qg%lQ6_?BfQLpHB(f
zw7yST47w-!<T))jPt)%T6=q&5Ectcs|9$<zP;614(b=0E^Li&`{&WA3GsUOavnhRX
zij*h+ytR{NDz4f1`vu5Pi*GU=+$?!$R;7T=?VTsRooc;HnW8?61hHNE>fg(9dijns
z4!fQPZ)p19KXJ_-gG0wxto>!X=7r^}*>x<MRefEb*Ko@(-rja&`c=DMt8TfUU;ZKY
z%Zrp%djFF`4eg@7Z;w08>hHVo-APp)*M7;9JAW)ole&0k>UuT*6HU?cZV4>e(USIc
zwTJPh&|2n6T^gJBTXs4%SOx#`J@GO#nE7_e!TmFB<Y(1=me*bBHe2+NCG-9!nJufX
zSje_D_%1e^-19h6z$&%o#~r0!<#moy?lH{?4{k0=zHp=L@x_b@JfGu&EEVp)58A1`
za*pt1MS;D>mU?TmMQ#i2z1=lu){9uH=z~90Zm0BUd4)Vo+~x3PvO&G_AI~|70khZc
z;&e<3Y1pnQKlAKDgGkSdn?Lv7TC*%b(M0~3f}5<V>2U=&+03#DfydYV=?jpJo#k~m
zVOHN`tA>~6f}RH^t2MVTd3faL1szrS;Ix_EDi51e<tCOayTBWj>U<>N!LhZ9&p+``
z)Gd6rnT7ZD!KIyY$C{;6dXK#QB6lZZMsCI;H;?pdKUeE2$Gr_X?&K-p%$v1X##(Us
z-tyM6U6&`@{h0E3-{FVxz8Qu&vzMGTkraOE;WpLdq9nWFBeO$SXPn+&E!4I()_0y$
zcoEC9qzhAcr`;CRZlApK>4A8ey<Tq14!QG~hT7^ziMc3NHkV&Cf4R{#@3IdM-_tFg
zIyxtoYDvEiSu^kLmH^S~&yq|;Zz!zr^PH7-VOsq0=e}EW4eGf+FG(u2&YsM{Q@+ou
zWwNm23zrwWB1KKsXSnD#cSK!FJAP$R|K{YZD{05KROn0$iMaJ*hv{d#fa{VietW#y
z)>+3(mbI<tvHmUl<_)v;@4mSw1CkTE8I?H2zIGlE%Mvm>B&p(4yhhOc^wHv|wQmiU
zA8c8%dD%=QMT7q0oyKJk@7zdPwn0Sf^W}*yY(0vuM;lFBOjp)&{Qv&9<ZJ4s_C=8!
z6Z4XjUI`v!3z_jck?Y@THO0{0PN%zGa&5DCFRgG?O6h&dv%%=k%>7#x)UsRzU2kbW
zvpU-~zw3o&|GYmYujcPN?tI5;o1(+ZI8D_(TzAemCNMp^Tc~sAnEKrRy`c}BudNA`
zi28OhN9;ps@0VGZFI}2Y`6EYpTBG#6kGJ<;z4qxwZMaCqT(^zU^KKiQe0GS#Of2oN
zfSJ$3yJ3l?-%9@!OMgvRxUIuRYp&~tsk+f}ziv$`sEKg>vni90b0+&mA#;ISUVnnO
z-MmpV-DmX)yGrR4R)MW1l^pR#&!+RZyR}*LB!+G3{&;cXjd|M*gH|Z>tTsD)vulBJ
z%cPtYC)*bNQ&ax<*7!+cg!K>J?xVN&2=V@MJ1@BR?3@c{x<VEhFY2A2d#&y4s|}IL
z)5@oPH%mYIY|D)~;<MEgFKI*wY^}Ys%0Rs{+pYg%baKhsw%=W^eP6!&_pJNl#447s
z;L5MX-=8!uG`n*_)G}G4f6m7p;{635SIcF{w1iy~v|swrTk7wUDf`xnEn$?Gy8ZEN
zdxyJ3$7zp%T<@mzyNa84?JE(`;i+(()6y3C=g+0L7VE<{e0}xn_sZnXvmqY$mNqf0
zeBh|{qG6+?)ML+`pXRPV`R7nNtEOvcs<4Q`6z1KjSxSP_inx>A&KU2jWVf<9eU)2o
z*PmFHRqrgm-haOK!z%eB(kp+&9{;w-C?=3`Lhf9vPX}eoA9URAVczi3nk72&ozUZR
z#_uv;-;vYKJ`}sdv0(YVdDHWy{)t-T`>MV$I&gW;vDvr3=LtS)-WFoFPhea9>KLzM
zt$8s&Hop0{>BZUo-YLs2%U*r^s_gG$yFR{lj^eoj{M=Q=45u#TP0_n9_<fmLOmFOZ
zA-+>B(Z&yXWN#eXxY%ndvw4_Tb%^E%_V69i(XM&Qedd0~&E|?~&E}WBSG*8QDp=fY
z7*k;Jyuf|xMWf65MN_ipt@>sXx#Hp~u~Pz%&dHhnI@oo)x-~@4p+inKZiVfa1?yx}
z_hxtgmb|%pyY=rb&LfKjEG%S7e}`CWZHc-6_Lkd*cO`Q?JaSUsTsxW<`o`>X&f|pJ
z>DxQYqBD(M-kROZYks@!X5Qwv%Z}zfe!I=yE>CE;$fKU;H~fXFL(aIJDslR5esJ^G
zy^-;=OQ+3zRJdBk{`T6^r{6AB`fWdTF#1NETmOc}9=WsmwYy7s16Rbo+jrY<b=Stm
zyQ*ydy}B9SdLAaX{g%HU&0@SM@bPW)S<8R+dX%_M2)HzN(Z_Q+zp77mJl*|QfBw@`
zw#?D9XWdOJWs{EIr0b@$AUXP#!v8JS(Oau`-%C5lHv4zqTl?d+{nE`B)1Db^dFwsn
zy>tq5wCJL|-u;iKgqmiYjhgo(PvEm&$<Z9P><2F{zA}59a`RNowJFDyjNjkwJES6i
ztopRs%8TzTrEOwlw^vF?E6SQweK%dYEbMcT?UggWrDfs`xjpxm?s3;#AABl0^-k(D
zr8O5`KKiV)x=8E6Z%Kt?!Y;v9hHr&~ooBDDX<lIdX8k<T+$f8_xK&g1jf!eA<6@WS
zFJ#aAd~@-=^@*3`f-^TByzqGYm6iA3uKx5W^OEja=^h)C(58h4Ybx*diCv$rd&+K&
zPu1Neb65SD-oYm<lWi_qv3X5y)}OdzoJT%CTjgev-+xZtaq}NF?Jor(oeqWnCJ9OH
zU77g$#VapHQ;sL^Bd*U@^IWwuKP9aAQqzaa7vJrPemteg+e_<vWW<8<do#8EZF=o@
zB{D;bJJovD4$po4_jU5uxNf^pDrJ1)>uJA@y;a9`ew=#GyXIA^PiSAW^ImP?ZQ8|U
zoBJvc&r;~$n^}_9uJ*Ly{Gu%!JQlBSrJk&j*!-a@L*bp}U8CnOu9wCJK40D9y*1L)
zbp5tNj`7)rXLn_l9Q}K@MlB&iaJT%c*SmkN5=;EbRa9|%%`1zGHdQ_$^G;NJb3E8k
zefEx!Xx<^F$lVXt)O~qh*`lN;>*m_F_>f~-NKUF&zKQg*Pg&bdR;*xcnVYw4LP&zK
ztZ=944Zf*wvQL@y_xdUa{C&IM{ZUq1z+#5P02YOu;K_40soq?DFxdFVfx`DPMk*o;
zyq8}S^!gOP`qOKks}D45#M8G~eNPPMNSv!y!`2tGy*k~oq3hNKv#q=SZQJ^5`HGmT
z>B5is)y`c?i8yA@u{2`(wtVLojOP7<ru!#3|K9%e=b{Hs?(f=i@>{0%Cb6rf_sh=g
z=aYY2$GU#D&6N)uSFYsyvqgX7ihz$ESvem>w7dg4>${>3Jd%solQh%QU3?{ahK%%-
zl*jsJ*KZz6_E{uz`a1Wq?z256g46cr*~Nd6*?-^Xm`+O1t-9N5Jf(ka{(Aeu{IeBX
z7dp%~%yw=zdwi{pEBDyCr~PMtY+dLwJ2Y$l|3x~N6@0|)kM7xQ_Um-of~cEP_Z)6q
zPZPgwu;ICDX>;GZwR703Lk+L_9J-n#WtX~j+pgvL&W&s;Q__yqNq^-IaQmHm<^AfO
zUt0zDnJHbB+_K7A(rt%8w#j*y3j$}X9~W`3b7eZ$HT>FmnyIs4VxZId*NY5&q~0zm
zy!hbK{QbQa^KWh|J9cVOd3>~uenzrD@fx+cRRy)u&u_n!mYBb|@c4$;cI)p<$T1UG
z*=R4;tL$hkB$S+ZARuJr3PtWMnKtL#z6DL(pI~4%@vtt(@0aFll$Lac*O@BXO6mS_
zJNh%pb;G%XTA!8_JH2t(`jE->f1FZf{m$R!YnoZVo5@T6G-Kf4aJjq9gdt<sFIDE+
zvTr7fS1fE{xLd!5;lZ^UG2L(9oh6hx-Yqp23JK4CXSl!KO5~d8j^EOqENzM1Aq~2s
z>aVM=zN$6Yv?YpT|B;@n&X$6oo+kBdy|UfNZif4mgEq18<zMAZ(!Ni3e`J-ERA!>y
zc0%D=z}>Ezn~$BAo%nUyZK2HV&NC+4=CU%c5X==xzx=Jm(X`n_%}DTtvf`d&e(oFX
zKU=$;IR7Xj&c5o@qUAF-EjXwVYrD0sSm;dh!}T{7{uDj_GeTu?m2}mYeI+sLFLh=a
zeX*0gb#uMZwe*_H_mBPiQkk7xe{u10KKa>QcPDhjOW8IABz(TSmY;`h>A%>c|86}$
z>)M)gg=bNg*{f}#^KA^Yk`FbiMPxX2>hRp$#;oMDEQjaY&F4ETs`)N#O4M$yH~X<;
zXTkzryIT(wSd{mtYzSzsjTSrexbeV(+-n8-fgAKiLVfa*OU<UGC)b*ZWkfhXo_96f
zv%Gx!kta_#tbgNV>z?)a&SdV-TOV?LsQbO{riPDuL!a9WlMm_LOP9zh%FCI2`o*Kc
z@Ak1k>}{0$jGnTlCX+KSq!S#a(!MOd*YxFxB5zpD)$+?F?7Lq@WhlJ$zT+X>GUcqP
zY1)3NpRcU%FRl5r@`i)fvI|F)-njRee_p3Kc~AB&r+cQg3h#f-{&-?>@_eiRh7Jvm
zEIcL&229LiZ)2Z4JeU3WS>DZZyXjNT-@TaMcz*BW6~2!XH~1>){eE}DX{&Bd$83$T
zufob}&-urM>tvr|{2%sxuS%)#t53W3_r<RCY>xOIQL)r>v-CRilYfL?iJY@|6YBSH
z)uFXJZ@0PX>~dE03r}Jb>en-vS<$w)+1RDz=c}yec`vgbbtGy`vzpAybYH4qUFkE^
z{-rOrmxU^8eXAFh?=at5(-~c9b=>W-;F0j&V3BxJIr*BaGxjd~c0>R97L~HgN9&He
z-RgC^UV4H5M0mmKe}Xb1afiR&Fp^un>nd-;oLL7I-j|d~-cLC*^;|gT`^ZDOcU2~s
zAHG}F933Bhb+d#0KeanOcjjMzyNBDLVNLus$GCK-6^~m4xs4xq<bB)~v7NJHPAiX|
z#ha@#504pDS$qjAy3;;^<6dar{Fn{5`c_Z+W$JGmGv9PWz~P^uR)w8$iDwbJ`&*%u
z)D`#FemoyBeM0<$D^CyWUM=I6s^0Wy`3+{V-=~t+M?I*&z46zSlxX&xjcb=5tqSXL
zK3w6~v--#nw=?-~`)od}+nN6Qw$YO(!izs{&iwgI@AS35d56`twLfQ{ur}Lz*u4L&
zs@=8O39k9~Z-*q^uh{Arw|4e{EQiOl&fF=#a`Sm?pwI12YyLkzW-srQT-#N-hEFOk
zdi$hZom+MsJAccL?J?7yr5od?{;W%`4|L9&#W3AF^68c@`%bn_yL;!NiA3(I4|Y=T
z*JaI{we70h>=zr)n)4d_U+g@1SKB1r=tgUMLfiiPtB&Noin;iMX~CW2F2dWIWljsI
zOu1t1e0qtV^y8JczkHwc{%maGL0?<OQ*$%p?ab#`f7uf)5%5mvi(clVKTbNY&rQy=
zP0g(;-TePg`L8)y+pn*+)|LHRn0x%P-L8hdUvFRiKcTQt&!;M=>6hu={(DVVZvK2b
zJ-qwYGxN(kFXbGY>s5SdWxr|0nQ1BO+h?!Yuk-k3x`cb&HM7n%<&+xt_xtAMl-}O8
z|G_lX`FG}&Nv^8pC_5&-Ke6+D+U$RS_g6*jDlI6lKD=M){qBq1@5+xEpZ_{xk8$q9
zD*szE`&f?XE&JxeAs4=3UU^CNag}=kZo>Rlw@>OuZ~SgHWAmd&bJhDSUgmzhCYgUO
zMc{n>@y?mEDrZ~w2L0dnI>5nZMzgR*Vx?n@!mqurx7fM}sLw2`GGjMfIz8S)Qf1@S
zfZ0c`DHRGu_ip!F))9Uz_T~%S@87;#zEO8<nf>RH*t*Z|yzR3aS`vHT=Djw_ouFnU
zv~|JBnssVB+wQQZS*c#Ii1;}5ZSv$|--qk%befLazF0Zo#q#Ky2TL|z=d|Awzhg_Q
z33qE+g#y#W8EZK^uQo4i%U!eR)O6lt&C;k`Q=zCc*5-$@vc9Y@`fx-+En`W#E{{>(
zZ@z`Ib$=zOS(_#;ZRz|uYmfZqelJZs#eiOZ>$c4aJnhX_Ki}iKR_4}vP->r%n^{e&
ziB(PNqriu&)ue*<Geyh9wO&;^BWC`btH-VRSJevRA19Qjru98~_1bxXSmVLWtK0cs
z{Z37qS9ssCcDGW0=>J!oM~ikG%jOH$UJ^C0w2}8i=zN_QHK|PnA#XoiRd^R({BKcG
zsPWSqZ_3K_rPD)K_XJj4J}aZLC-RV5>4JIUuWn?1N^$-1Lairc#x?%><-6JPOJ;4q
z(Uz~h<8E%q+rQC0$B${93t9MjfwON&@wGO!|KIw1LiThf+*Zj=i`iwq%LjB09LsOf
zf`|jxYI`lS0&C})JV`75U@}djrbGL6Qrh1pL*t6}Gi%uAB&P8mpS94tbBFPoyZh?H
ze$HyhEs;9r)UqluQzYP8S?$kc6SmB>69zJ|ksRual|bWqI#pR`SX!RU5nspaSGhm^
z$BVl+zqC8v$a?K}IK+Ql?_2BZZqK)=P0jt5ea?|HvGSbgP0@~+>y>>As-%yFc^t7Z
zKL4?Lc0=BwvMQ_P4?P9enH9RZ^YjN^l-icr#nqR2#qnf^S+{nV+`}gx|4+|*d7&-m
z;7OUVb7J$pah&4x{+o5~3(J|c#)?duw?2FpyF2rmml22l>*O!l9bx&;E*yEr=Xt|f
zF#ekWOG-}7<#WfjxMnAqSM}Y$t-@XQLa`3S;3>YxGRdfC(FbFt-10pthmXIwKH>02
zS9Oo3^x2OS`&(`(D}VFov@J^7!QS5cVru8b;xBf_UsMjN)%A0lf2_VNZy}QQW8uPA
zFD&cw`)?fWRBWvL=s&aiQ?^xA(TasVmzOg!zf$kf3H$zH;@rPk^1gjOrTU4X1vWE}
zq_aNGo9TC3zsfmmis-7di;SEPrNt&rnx@)s^>U?lermPj!-SMY?;7KOnC$JI?)dKH
zeGdt{ZR|7moo(iHblN$!(qOH~e&0&Y0Jq=a9+iP^vr-sORK66>$^M++QP!O=x}g5J
zrGDHSu|H;l#}>rrDxHbfJgHii<+QD>^nbn&`?p|D#+5Ejc4-b0?{9xMc961nZBE$4
zs+Q8DGRu%bUf(xv<NlX5-@iWjaAo4mRqlcBe1B}dmfCf;=ibud9HYre0`}h@KR>hQ
zd{lPCcU2EXjt^m<dy3!wRx@u`Q%Sreq-G`=y5MA#+ftL4+E;w0M+v?3KeFbSyTiSu
zpQ3`)lY=d7SKN)BaUx~2QBb6GqsMEViCR_{&V>1XV_&xUN^yX}W=2Vss~he}``)~n
z!`GX3bb`p-j4MYfO#{oDQl`BNOk`UT+SC`)e=0fp`s2gwp{rjdWhI(AD4ljbTiKl4
zvLknz{q);{OV??wd1$sL+E~9M`nrn%-`0smyg5=VN#FfG?v|S4!FOnv+wM+bb!|oF
zgJ}#K7U*5F_+CHfnuzVU#qQ>_9<@x4ip*vXz8fl)SfQUe_es-E1D)h!2@x^#MX#41
zEnV`(z~b=+Spmns;1!vnZ7k>KE!wbl{mC<3D)-LKTfp;BJAiFA>x6@eFRkRB>^Nzh
zvC1`>=X&=!hTJCC(%svPmMcAb?DwtZ%k^1liKkS=6L;}+_qnJUZ&O(5w4UQ=mZuc^
zpKEHlF53@W<4XSdNBoIk(!K;8z8xzj-#6)g<(5Colq1a}Q7rWI%w3(Gdz3%lKDPN{
zz#|)BzQso#+@9p4Vm-g^WJ3Mb(_)F0@?jIUPHl1#nk_E4K{w0pDf_-(X-iLB*?h^|
zAhz|O<5E)@^>f!Yv2NWE#4{~qu2;qqkL#L0v=&}%^|koHKer@l!P;F83SrF(-Lsdk
znZbBQ*U4QiV)m`057J^Iy04sCH;dEhrm3c$iukkjb02?7HI9l}@z7_6UDAZKZ{8})
z5-iW25nHw=<7;4CPpeVOtTOkR7E`xbH0Fd(>ORFMB$U<6pg*U2U9a@SH`C3Yh{|8-
zzZ~^w%5(+UV_R3%K99<|*Xn<7?TSbJ`kAUP1=oFiZFO0B)%Gp7L$C29Pu;t3s`@&X
z#GU%HB|dLm=5m9j=j>9C8!UZim-;v`b#QJylzhdK<B?mwuUqrUa)X-!W}%1gm1hR)
z=4iF~Ufr-##_a0hWlcAJ<+3$;CVtA{m0uixTiQs``cRtxjW=AgYZEsc=X`5B`)<Qc
z`9c*tzOLxM_k5Ol{-`|hjIZ;X;HS-x<Zruh9KQD8`mc<7S^L{2yt9ubUbe}R>JZ)Z
zc9ra_vJEp2a!WFpIUiWI?Wq64j#aNCXD|OS*H>&~CD*j$CO1_y&hG1-zg^?&5w!*r
z|3qKMbF=EZPH)^}(k2iT^5%VRSNF!lbu3v=qbHmZw`+aBZ`Kr>$s9sY)*ty<HT!hL
zMoBfD)+gS}?AuyvSIQjUHg)Ci%d=(91+~s9_`Pah^vbR0Xa7s=uh-i6F;wox%j$Ux
z_Io*hyUNeDx^Bngy!&56-p%)Y{r}{`#jERn%)MLo<6hO@gTG?0<^DSU)p||z{^*}~
z=9@h}6d(8d;e{uX{yF>8u5GISH@*Jv_5V9x*8kl<```NiALsx7-tXSE(boL$cHf)J
z{-+1;FTW^KS^D%=<mu!|YvzBAp0zvtgs%3&8H?<1P1<ANZ5Pd|QfYT_lFbGWy@SV|
zJg)Tq^e*eC>9n9P?opF|w?Db;H|fsgJJu@ab(|+{aL+$G)qZl&6c_Kx;&pqrvv?ls
z+BU^_`RTQ*8&eE~LcaVou`J=8(38d(Z#P#nJfB@fSXuF;k!8x$Z=1LEdYJv~um8FJ
z-CH&FwFl?zJsx%{)>ivu!wQXWZ|0hZ&zmuAf#m7$Z)`22`8+R()Vdpc-<xsQ*Z73j
zEbH*o8#f1;q*n9AXdgebsg^%O?c1BZdp+#t+>1SvVYey${X5T3SMIz^ayvciUW|_Z
z@-E4qX?tgBO+Vv%p{M8l&FZ>Ib7rhsm2>vx=ck=6p~8Rv&D+a8?Nldsj-kDr<d2Cf
zxqf_<sm!&U@Fe-M?#Y$6Pw)25@m*^5B+|S1T##k`%U6-!$+H^uUAMm8;`+(()e8>`
ziFNZ-k8`fnShBOW)u!igo`ImmZ07CLr8h1+m~dg*r#ogvH<DB&`P~k$zI|fq5)svl
zL2<X--Z|Xs{la|D*4DT0K$qJuM^}BH$<@~wULSh6_xpyLTdoVQzA@FAt{Cptbs#R*
z#@kLoSCi>;)g_akqEQbzCpJ7el>796pU~PDO}S4))@Vrcs%*Jl^Yd<$!pW-=nVR}*
zCOx0H{b$93UF+9Pa-I>F7N@^;+3v6tYj51UX!GD*y7ALlZzBvHL-Tbf{+{k+cr@a`
zedA@Pt8ctL=yG7@?6A|!haD!R9@MbR+jz&zV)3iBOJ%Iw^PJ9!EL<~xjv~L_w%DxP
z!x8&Up17Z%HoI-X3?Fqb-DfWpE4kH|=iK2}$$g*byh+?|yJ+XMq7M`1I9A!p`D_<I
zH+gTQrsMQ;^VO#>WZCr5!JJ=yQf-80BMYDGB=<<ohe4_H-kkR0R4F)UQ#pUC(20*N
z^WGfy;`BMZQI%86M@(wQ<EkQ-sL-q8OMe{Q5YxqMKbzyr?`u1Y7&5yiWoTYMKh?Qq
z0t@rYSv!jqv{h#?uhaAF*yY3Gz5ch@DaSQa9A4(dYy8$xQmJ!YqGGN;Wqyvg2h-j=
z^$~oDqO4Ur<1<4GWm1J3<L+$^;7eV~qGXj2aW1w;iR;bplig1$x7_num^x>N2VeZQ
ztZ5Qm+d4jbecs$AoWVI?Z`$Jt%9Zv3&6}%=M7}Lmx)^ls#mUtcL7(d`OllW9adFL*
z1-kq?6JAeT4^nhrXG(H}W~0=eZ2^8MOIf%d$LmZ;j?`>iX0yY=?$E=D-<f?YtM|zq
z_u@2py7gy~_^K4SJc&B<_`dX|EMjl&{`_RXx5?(t{Th>azXXs-^UorCzD;}NBQ`jD
zUE3JM#9em4X{UIu|2l1P-KRejEi<bFqUTy{oscYc(z`|XA>WJXhd&8lno`hKR~F&-
zQsrXTJh^Gfog$kZ7RSj=3y#!$XqOe?m#oq#5-%~$_>^6-Q0{5_)3aL&BNdJ?i=Upk
zW}ZXG@2M&&3tHR$_9j;HJfC4>qO+dobmW>v1+7*(@()-RahK~pnRWD&Qq=N-%=)iM
zm6KoO?r5<}*f_^GN=WPUzwXrfLpwHThU-r%j?i?BUKgR_F0}4RquQQWsgy9Ugy?%R
z)0`vwnNoLVO`LPwi!<l+)b1w=MnaztY@4$s;9UArmTgauv~JRubg5i0b-n%+?g-7s
zuzytx=QzEoms|04;peALVO|TSwV#=OzT@m!=G&hh*6i$<Ds*F-{h8^{BQzUxE2|gA
zoblrPTEB44R*j7<y4i1R?@ty!AylTM@oX|{ksa5{SqHZow)32x7~AHj*%z&%{~&CG
zkzUmKmxn(^hpg0*VVtiw>2kq^^ox2`6JwfQ&28<fyr#61+nhtRbK0lPuAi)fPAO!Z
zR{#8j@5(NnJ3)1J?)O~IJzab-pgM1>P1C=%Hg`nl?$|5i@AJLl!ealh)7cTHes~yo
z_(kZ(PuRxO6vP*=V=g!~NJ#hThN6irxhqqaEd7%?@y@v&(h)1U#ZJm*?-niI60`sN
zKMT(|hofuC3Zu>h7C&KrQ)(yHb7S+hQ{62pcbshuoWHqSiak8@<HUqFz3&bu>Ad*L
zJ^87ciewMV(i$^gzT=7p5}Yapk9jPcCbCR>^XFud$fS@uueTSE8>ilXY9Qs_?D*-A
zkR{6%>n|JM-n1^>XEXCdZ!e$M<Bvx|c2>OG6v=IKw%@&Eui*#NJv-d;ZeIKM=Xj)j
z%u~CKA#%~@GMK7=JqfCuYNf$+^<RSZO>y4i9xDou=PLIv=3lIIF{tl`+q;ytoZ_<k
zqm|5gjAuD$-;Y%)PY!Lqz+7_XTan4B0)f0b`FTp=3MV_tqCLOOR^(4|Rel&@lP_Jl
zX0prfl5b|8^k!X|SYTOj#_SWzG$W~ZZgw*?(-&sfm^^vUTl~cGgHM~>+kf-+PER{6
zczN6Gtxxv<>Hq)d{{Pg=|NrFw|9X5?@Y1ZGM(bj=?dLk*&z8NueyZ`!-x~vK>)(4>
z)+_e(T=4$-VS`N*+q$IoNiw^7|A?qRpIC6Q=$Ob))$Xe;(o^I0rb>rR+Sd2w_}|~*
zVW*cC?^<<n+8iraIk(HELTp*<=IXl}9b{qq@NVlTyJ<#(QgdHVKh1by{)MgaQg5o&
zPA=B0uilsb{_fFFr%G-;<%~ajdiB#;mJ0I<t`@%(s^o1ys!%d%zlkfK+oV&TafYeW
z?s$6~GClPE+NsGaK8tpKdb@Y)Ch=DXT`XSi+Z}T6$lm6l3|o2qN&44Hip$pA`TMPJ
zrmp#;5DTeqFAlvd60}G-yu-_K$Gf>I{VL6BH|OpB-uC3p&Jd@pYxXm=zpEbdT)b4F
z>OJ4d$^{(uaxLDcn72)jKB2*Vm+$@hiQfx18w*7}KAm>z=!zXvkMFxQJ@ONmV6xzr
zr)yt+vN_Ybw5RFmTg}f;wrg7-S<(8ZaN-`m%ON|i=vDr9@%M8#eAb*W%PMK(od)d<
zNhTA%ti1e`D<&hvuU56PcIuwa?s-Zj?0-u7_B7woJrwZlu<_GRS8u#ruywx9r1J&$
zSBpfQb?<({q4d1KyyT`xWt)e`<BL(JA~!!t4LYR|&?=pF`rnSq)r(4^E-o*Aa(4>v
zYz^Kk^VLsYcAj?Z?EA)jdp5h=bE{pMWbF0H)&HF1JHH+l6?y&1#T%z|PCPK-?%o(a
zzhje5-&|7OcOrRZL{a93D*ITK_bQK$L<Z_T{r3K3v*xTK=S$V8+hk@ekkq}p<@?DL
z%W0AmHs+iue|28zyk5e4_h0}1{$Bm$W9xzGN?%sZHFf{za>bfQa-OC8J%`f)PaQQ*
zZr=RFDrA*X&(ps-9)8CbhP`l#@|-tACw$#D?FWMYUoAB)GG3J;R@LMmqcr`1-3iy$
z=FS(-mG~QOnVH<0zT5TOk-f2EdKcbBDovjh8~I|<-n59gV^b5vc4gd;)(GDa<Rt#q
zX(wCyQJt8dd+c?>Hw7`h{8JXum%Nna@z1uzon2Ffcs9Lmd-`4Du};XcXtC2**GzGk
znHQt8eX&t$;-8<-ju!E1s~RMk>rP{5=}EO{uiq6Q=Uyzu_U`|VfIhVtPVS!x5$jZS
zC0Sly-&<Xze{tFc;SWD;DrZd<dT}TH^ONTpkB!a>TnPFmH7Pwpv+-Q5p?jXPl{rVr
zyK^@`>1eB7*cqLETG-{Bm1Blx`I%{;^7q_byXbW(OIfV8pPyiJ-ix#3-TC4t8DU-v
zirM*2a<7@<@cf(nr0~vjt=*H<(@uA1AG{bhF+=lz>^)U2agP_%5-Yn_#3(G7-TqW>
zkxpXP(fQ9#crUS@RljuU9@A*KL$Xz3RaxuismpH;Vq%Q7^v_d2WhBP&VpioR&P1;n
zU7zEhXerI#*s%HhnQ7-YXjWR7|4-X$(@`AYy6Eek9bR_F4@RkGWN7NIoz(83`ReBC
zwm(@vnb!$xy`M5^j<x$ar)?sMcb<JzyxD#xW#RX)7ZrDQg^FaH7IxmGudNy|{dn6G
z&oD28dFN*<|K1qX^s(lg%+Co^g;tzCUYuGV<n`j_juH>P1BM|je1F-WpPaa4ijv0D
zxigEnx;Apu?kM-0w%S9}Ythm>R*`<GOIeJpN>|04_2Nt^ITiAgr?gza?MeJiH@V{<
ztvHlFt@oMyy6}7S+w)VNEO-kl)H$0^uU|LuezNOTF+cC03B7mDd2z0Jnrr;jc*>U!
zE(h<OCChC5%{W{GE~;*RqUt7eqwn$ZldTpWZdVqrUm9cJ8>hbX#XleKok_Fi_{@?%
zmtpQR>2={vb0Mjf;?GVho>3Pz`QGPQ#O_sPGH0><EFJ!dL5H^8v53_>E@&WiZrygD
z>G2zanl4scF4-yAyZ!Xx9Iu_lyKI`4`m{K|{W<m1H7+M1jl<`|Ph_qL5?=WKd1vY;
zK5f+vyZQAdPu_4@II-#TX78u58mc$mO!>X)_9@d>JiFiUc^o_b{mosI@H%T#SL2Q8
z@1O1bbnl8wXsTg3&*`TtSE-%Ky;@rQ^lnI~@XdL9S3f&t?K!Kcx%#+MrK)Feo_Kzg
zhQ4|xTlKehaT@xnnWx@9n#=w4sEY5&XZ>O)ialo)Wmlbcsx<Qq{`BE7>nEQ{%S4Wz
z7e94bGjNK^cK*|;#&4=L6g0nI@_zELWkbw7=l4evcWPdgn11Yn_3oJE>Y1nd<{Rr=
zUy|`DXn{E2>7|-d)4l7;{QLYbPFcP9-pTBzKP)w8O|n*BJN5AaW!Xz_ZdMm={9|%(
z-l0_Ac_!|4Q$Hx~5@y;v`S}TLO{wYI{ymsrW4L>_rK{%J-(sgVS3Y}^TD(qbl5|k$
z&MjY8w?Fx%;;VTvyY0y?72lKdPPad~CE^=c^z-x0qO?`fOC8Q@es5fvH#Ioy{ToF~
z)6h^$|1bXxqWk<WO^N;gHpf!W^Rdni9ZMt6;Ih}tB8yyBMW+_)&C+*Yx+^r#s%rbW
zNr!_&E!Dr}Zho>s#doC*yWjNM$tJ3^`RD5WUNS4D==q)2qLfu97ps=fo2iqp(&uOK
z{`P0?Cl^8tAE%yQKTF47#V=Fjaq4;fSvvjdnWyH(8%N4{Uz%dOziN}stgIr<^WtJB
z4tA>X-?`cOJN#s2=PI^$4X0vnpS1Lx6=YbqZ|)xx*T+3i&t87gpyI39d3)K(f0|O}
zSNLN!<<I+EoZ|fVz|>F2ReW#GYYso<?m26dt^1lO_m^jMO|s|DQGTzI=~Z}lfA5~T
zOEfEgTrm3-Hfh<L-_5sA%=VnM>HYp2ZgKvXrqtF~NLT8527mi|G55)J&sjmo<!-vg
z&Aqv{?P=DI@7+f?m3#5B`|&P6*}ZbroSl2>X6>1<q)_;rNu`}<@V4iTnV(`nX=C&4
z6PG=u$^){xY^E;Jy!q#|=BJcN%Thjb2S51-N>ulKCjJh}(VP9}U(!#diM)^eE`7Z>
zL+SgnRYl8wp4BY!2dRw?ezFdvcKh-Zb3JEmI(yt_n)M_T)!FA~>1<z~ai?*MvD)dW
zp0hTsD^5S53ZlEyP8{`|6|_YB`~+@c6XkQO_U&=I=ec5!wZzrxWg*W+daYf`pXOAi
z$8Epi?lq})+KJhm8~b~vn)sieeEQkaj8l2G#{PP~m!{16^~7eU3Mc`rtSl;Abuu-S
zU1y?skZ)P=%el5Y6+)-Z_Nu=(`SWCxrOWQ!p8R=|$<l9kE>A9=Y_jxQjg@!ZtR<RT
zpTAVJH1-VcdbCr~QrXe?RnNos>ZeUt>M*3&$j#Q8zAR%-)}NwDbLK43O#OIA#ZuGr
zu}SHqITIF078ifoY-`DRXp>^^>uP^LpNl<HYwSv_Ek!PEcbXN%>mQ-@ds)UDpZ*xF
z`T9N=r<DHP8KbO{d8%&iJ}*13OH=+@l}@dhu|)G{%`vT?&P{1|)^476)30vk63ySG
zhrKF|Tp#l>-8b=k=W}UF?fvTBI}?{^{@!<8YNxGd@To@&w-zz8G&|3-t^Tb);kD4=
z+B>RoGF#o&`Gr3HcF}$P#MP5bQm@O;R|!|kJhd)<x09adr75xdlb6QKS)zHg?yJ{M
z4bR|B&(BRQikq}dChq$B3B|!CdDCldds$k027h|K`0bM?D!w<r>u;MZJlQ05e_WL2
z@nsozmed?5`_#^=DV1I~?d1DOCQmn16wUl&=K5IeX}@cn$E7JxYt%l)bR9ce;J#+k
z?;w-BTQ}=lK@Lydc%KXG@V{TD7KzGu@@IZ~Gk0f%o)0hg^Wd7&r7;sVj!pcNvvJOR
zjWi|g%yY9;*wvzJCQjYED|@BPge97$Zwe>woow=yqb4Y2y^8P3*8L(sKmQT&)%?2H
zb)UzjDN<#dPNaLz3VNm9RWoaert3%HiT;yJo@&^-K6(6;GtlDr<0MOc)vi4vN8L|9
z{l0QljAg$5^!nGOyDd&1ld+G_n{AkV?MeN=>;HFuzw&KUSITRiRW-LBFFP&1IqY0i
z&-=fxUvHcKS8d|;&~@iSS3lkNHn+Hb&ey|xrXHVm%5+ARcp78e)`&c%iE|B7UoXlm
zQsva?VNcu_JpJTzl`I3fl-GMUxtu%lBfxdh(mi`z_zwKI;4))E^@pOLn%b%yYh^dY
zd^^~1+NI*b;}@x)jKjPHbZnAlzBy_o%TiLmH|AW@QWnJ};nPolJ#n}5!;_!Vr=RA8
zc?mfEI$N}}|D$RLf9x)wbBRk?Chg0Zx#y@Cr&D&j&h+9)O~)xO7d$QEXuGC%=*e&I
zX(uaRp4nZrVXoq*9IemiBL672J^9@7+_qTkf7i}Wkxgk=&UU=#Kl!rYg46LE2ZNt%
zpFC~bdM4f<mrH)$-<B|4RP4o<pWaXHo`jo;X`Hm){8af&+X{~@i?*kqu3eF(m%c&s
z=@iAE%G#;{lP0%6k((5_Wa8zlHRmU*2bP6awTFGV5c5++`_$n*OQgk5o}G~zJu$=e
zy56Mg7iFF#F899|x9;e+5cTa_7ERtZT|A=B{lYZ!u#>OnszhDXUj4-3iT70Ag(~vr
zrZ!7>8W_pVS7)E_rRl?)!;3#Zk&uaZt=N7{{`slKHB%1Us51%gb9f!v61DxmjAhFd
zp?P9A%lqc^C4Nz|nO5}Q#&XJ3p@>V>&rXV~yj420-ln2Y=9m}fj_Uh1J0-5(W-XZ&
zv`%)?;s{N~^7RsvxI23ez4#Vv{4^uX>%d+2^i%n5iU(I}FkSmQ|C8pc)Y9#p*FMjl
zStPVF-9(|XW>bv!rv1##d+!U~<Ytd5)$Q5#Wb({U8hwjig-n=MbXjJnm@)gE#z}2Y
zHhLCit9u@u>iJ1BZC9(MmH!mu&$sxvbRU15#Ps#r+&LLsl?iL_xJ3yq<G#B+>|e(&
zqg9_S2GtpQ=A|!XQL3q!RMWA`D2he)*WHwz%~ORuUX&X@ecqyCQ&8}`q;kPzm)k|J
zODy@_AFW86lBL=2GnGA3ld-(sFqAK8Da+-n?317J%B%@>>JBUUTv92aT{L_3vMI`8
zCxUk*6jrZZHsx{niL(>_1ukEtane@%Nv_i($v<A7ZW>u~EI-%z;nocP>8DvI?7r*w
z_T8fi_g>mo+8F-bo$Xk)U4O#yNhVLfy*$VIDPz*IJ9c+BI>>omnsR%2{Q2qalT4mo
zd$ITDCx=PP?tGIm)+txtq<g3A>AJ+777v${eGmWACp*nLD0JuC$DD_YvL`Kj^Xuc`
zB5x4gc(|x|(y}+#b{;M&owV$Z!(4Nn@TI%r%3hyK+^KQ3^tqQ+*1|b+SDo59sV`0=
zT{Y7yQ`RQFZrY;4b+e1Bi()1%Tl0F(Jek=`3THo?Co^@4ruo_DU!R-+Ra4wmMJ}Lf
z>!+=y&CzE;ugdvPvuc`IxBocX{bWH%sGe2DWhF}k&)}?wYr8?U_sx0HVkiH3&I%H_
zt~052lF3qyyl9nb^-Qn8nC%|lye>^yw4-+7pGvQ;Wg<tFxu0HE@zv})E_O;iSZI;P
z?yrZEEUi_!#2srA7S_yJb;?!1K3<{T=hBo#KRz@4bOu$yaokV8gR0HnI#c+;rbjBg
zSI>+&Q?vEX1g~{P=T1EjKmFBHO8iW$?se5nuRxpqUh8~SGpG9QOW!zWs!*%-@vkqk
zc4~!Q&Cu*p4+qt>K_dQZr)h)Cnir=#9qiIAVS1oiXIIhMm>Ek7>%ML+a_l-b*J*#w
z+Bs8~X#TGI8TB)A(y}+#3$veIQSrUGUijK6O^~D1*G(0kY_jxMjfJb9$3=_$Bhjm$
zo?!8P=<MM9X?955l-alZXDXjxo-rr<#}&&@Ig^&@Jl|gY^q`9G%5(3}PGt`=(JL!{
zI^oVFP`&m*W~Zg=<FuyYwkKOud?T;VbT0A&#nT<dpPpUEW==c2{PaD~S(EJD{imN7
zdg=9UOWykxFF$2=rEOVKS+?nqn(N~`ht$_hkxsw)H_%~o`ulY6CkI=uO6+KTr5|>3
zXXh+7zr%8os_Dx!?s(<g-&#~MX_?I1-|MF?o@Da$#Lm=NGBXwxdT+eF{A8S_)bWG*
z%TDg>+|tvyS!(+E3B^Gsc`R}^k$nD_ro8=mSjtkz^YNm>>?apgd`~{-zkWi|bJnEu
z`=gcF)iUm+3hxbGcH$$a{qv6Kq<LnpeZCiWyk<W)Q?q?p#+|6guWo*FQ))aYd+L3x
zadh3}MTOlhuhULvd(N8F{@Z8r^vNcv_xtB5>8oXW+1iwK?U}Gd^XKOKCY5Hcj~Dek
zKQryOkV*16sdwhV=loPHEh=p<Po5lXqSycI$I?ywIg^}c6<vx{*sl7>H`(sg4zGLu
zEXBv~Fm}veH>uwH;*QHK$8)svRWgpv&8#f8uGDgU-1hr%_S0pc_;kN^s#jBL`tHih
zUONq@mIq{=XXJizi>3P5-L{vX-#%#x3C*+pwDZL0&W>k0CSMC&{bZiYf@`S_e^U0v
zOv~z9yt6cVr(NjO*=+wdtc{tsq_FXpXpv`A+M%cO@9q!b^SWqp`1hx6pB%NKUiRF0
zc=}0q%f*6)tL{xa{d6*@jk|r?Y4*K&V!M=8uIo<}UZy|8bTwyK+TQMMlkGSBNL@F5
zVa(3Ga|}9N+>aaao_(`9M2=m}<m1^>#XCdv(zpAyK7Sz>p?1AVdga2EfBH^8@rd%1
z$QIbyH%*vDI!?Hf@f}ZzNn`$x(uuDxetxP~kmcbgHHrU%Wz573-{brzIbXb+&9UBj
zcSiJ0{^tD;Bab<YoveIuEtG5Rvjwi3<gHTF4{3+W-3a45`Y%L9w0is0$rmTv3dXW-
zjNwaO%Chdt@#&{UU$D&O_<QAhzIOY1mj89Gi<YjLkQ|}Nc>i0Zp8JMnEWb8=FRGkh
zP;U}2eRbH0iEE}fMC-*Xnj39n5!#j3Ds$9})1~Aq*G_5M_ri-CwSWJbT4bWF+Homn
zcTiq>6JN}>@M&w3m$H1E8sAaFWt{9ObVPYwgx2wmK}{7$QoWxB?rHRYzwx@$yf~%j
zj~Qli9KYbKbbNBvA;XgWCsJLyk{hGu?F|79nP*jA3$kpQDzxHh;pwL?pt0iPI@6zb
z>b1;ItW55lbHt1D%crZVpR6vn8Yt8@ojAE>ii3W?@K4c;tp;Y*e~Nx`YO7v2ojm>Y
zX^GaE9QnEySu^Jx0_mBM`bp>FiVqGS<|-}U6m+QhlG6LckbI?{n)lmd{F0ZlR8`(E
z+L<BwD)qvY<<m~E9$CPq`!i+Z9FI5s#}c+oxIF!&q`}24o7et!aC*N&@u$hdd1vmt
z`F|znr^>^JrF_qg#ZDx4#;)AGc=PusDV4Sx4@(!`zx4BP$WGObzvK${&FWuv%9nFi
zp!oV7Nvr-uE6uIEa#UMyj@EnC%v0;;n}^=>y<{=}Mo6W)XYe}5^YgXx)ib^1>TH}r
zJ*4jA{b?t%J!ciMem%+avvkt3n2uU2=eX9>51nS&R=?Mo7O#43lir~_zjNC5%vp76
zt-k$yMSaIhJ@=eS5AghyRXMxj-8*aNfA-M@JO6R6U;ZO!>YLtcOLuvDdhg7P&~yJB
zclXNTrGIX3xZ~`_nV(r*9`VkNc}i>e<+wc&>(WJ8+kQ43Ez*`+p!4R7Z1+>PFt3Ce
z`LR0viy2QH`t3L`TE~1-5Yyp5SCV!%O%;-Oc3b?k@0uwN4BK_5EsoG^Osp%Lc;}24
zC(q}{-A}?T5_is7)Ar=l&QEgZ+^(|6ZCRAu{)F3P#>2ah`KSHrxt?J0KF#^l5gW@6
zm6Vq0zwFHX`C^&Awp6n6>r6BMU^L%g?Sp?uH}S8EDb4H>E4n#B#wSYXo>|!inM%Rw
z-0yTsgHIj){G=evYXK+!*~!Hdf4|#OdV67I5sS9!g_i2)C!cC8Q1X$lEL|pZ*o(8`
z_X(bzlGC#jw;kQh{lxds?Sv_N_d5J*S-fVK*D|e?Wis8mh3Qvk1@+C<nZCGX)ote~
zPkvSw@txcMjw3YqV)4>-3A0>W7cKo$G*9M$7ia#-8S3r1?9mguT)b~;tXIB&NTpMK
z`iXlMR)@L;<fE0u6E8(heYHqs|6HBv3fb<5r4!<2D3&MnYftLRH+~`(7Pw&B{#lCU
z8-th__lEdsRV>clvAHUH<C|`!lJxbns^sQseV<%)==Rh3vsA7xtXbt<IrYh|r$rw&
zrA*7R<eaDX$w%KaM9-s6>r=_0=0|q_uUId+b5Z?z_EV*Y$98_IYdThYYU%fLHI)XQ
z!P7q9{#jH%X<3YijIB=j(u^2^S344S8oNFY6M8<~xOCF8lt-+Giy|j2%lZ8N`Dy>j
zCVJ{=3+K!OReW!pEOj?NEB&}`KL6>~PSgB%d)^+`oe~@zy0h4xU2jV8Bon=RS0@Vx
znVc)Gy&7d{FBpF2t}4g$HB*a09Xb72?eyhN*O!Xf?Tx6L_G(x3srSaQe%_%^*BRN?
zt^K3o7`%g}i$DGJ#*+fEp2bf}eg-FH2ktoWPw?{7&mz6t>h`9Qao!hr=A_Np>tyG_
zlFin4cKW#qpM%_Yo>rT0=V>>8o`PodpMyz1bv81|7kaX==PDmp&1u{HczgLT5lc1C
z$5p1meBKplu}hxab^VmT^4X3n6SLD#zwX?7v*R?sMgBIM2}?A07XQoHY3~_q^z(vd
zQ8=jKRvrBGoJjBO>2(I7?>sI|=_>po0_p^QdwqCiQF2q-mAOxT)l9OP-^I04;^$>s
zOH)VVci-~+*G+vLY{;7)#2>GGeM!cg+FjX8=S*HyI5S|m?)1OGChvB<ySVyE+=q?q
zCU#+)o$|adO{v{q7roOIG!9~}{q&Sb?{1sdCq;`2n~qhktUBH$GmoprVrJad5I*lq
zQ+8E-FtRl8eB5NG#J(gWCgj(R)J^4+mgSreJpJ_Xk$|$}=~d$TlkYFjm?O7ubIiT>
z8z*$d&8m6%t%$9A2hW$U|0X|eoT>82NaCt+>T&<+{plCK@A_bsw(HN#ee##{_4e3=
z=gslG|C*g^-h9pHXVcCGZ$HF)`}98@1rv$u&-PjbpIdsgKm7H#nMIuS>$UfZr=2?b
zr}p9f`SvR6pTA3O*j3=+_vro9z2ZD4CI9SY+j35N(&eAkZ~x1upI-Q9@22mQZx(UX
zuYdD5W1Ecc&DHk%>#8jqHkCWw{QWV<vP;Z9Q1^ZM$@f32Q{S&oKgkZ#a5eH1XZ`v&
zwW-r;&fM3o&X<~W9VD&m{7I^Q{hPg&9`_!;pK5Q;ck(t!b)RD8tWDwZ@w@lRSY#eu
z<ND#&{=F5}J6mg`QkSehvp?HoUeeV5FH?V(^PTK|^Y_M#efC*f_iVpV^?FPE{ut%$
zdD0cHo7O%|zx=fRrn%Od|IYVji-<hGpW**)zT$hoP1=1{A66Wlw}0)O?&_njF3a7z
z_kG%_e@AZ1)z$x;oLXe{j%9Vnlu0ogo$_4y<3%T~GV4}4K1m_t!HHi_g?<{p>tB7N
zVbYu3F>+4*k)qNUs`_-IXBXV+-_djIzW>zO5C4glFWIp6<vP_OzA`KB$2XVjPCVU_
zug8=AKc#a{Yt71ahS!6>y*wElamwX|Y}K-u6amAwb@P``KlSvsQh9TgUW`)uVb#C7
z@ps%=tInU9G(9+)b^FS{CuJ%%J%iU7%$u!xeretuZS@QBy3>M%trfmc?Z1~<{A6ZG
zsNR7O7be)uTcRnh#m|2-*>hG=V!=0=N)ylEP0#P{{8TV$S;~3q;-?EmdZQ!aj8wL(
zW}e#j-q=0Q_d<__{n5=&ZKg#S?0$S`FZ1-%?i=)fe)RY;vFUO8iQ*M;SN|ScWwZVK
zl-(D<TMPZ#y?&M&|3bx;9$OZjUVd`@#m821$9&_XwE2xE9Y~rRqIdeEPq1sy*Nk4!
z1n{itBUwAAO%*cvzTs+-hPG<KdHFq2>ynqU+_BBrYSYY@RDRPf_>|)6r&VEI2?_I~
z6xT0mzL6_CE66WK*?dC~)91=VQk9&&v%Jq`d08fGy>r5gGv{pG>Zh!K&Xrcw{JrwC
zh;5hm;mfa=pP7DLX#P_9Qg`V(bCvXEP04zjKQ<SwoW4NP!vA1w@RRAD?arRXCwX>e
zsBMWj-x(HsUdWO)U41)a-mbsTS8me(<*Y5F`?P!ICnm}4#ASyAgH!Coy3{WQ_03SK
zPg=_I?B9=>o9wk!XFTeg{qz6lulF1a_2OqoOl*^UbXwq<WLiR+#GK2j%F8!h|MqVC
zxy<Vi#s1xl+b#2Y^Y!?ttGCUW!pfmK#e-|XoXrBKgaSFI1h@$~aZX_1oYGqG{q6U2
zw&Are>>t{EF4_OwX0CA9tKP{u3d%a=k2cOoR#g2~W+Sy@&jg`rV>xdNv7n^&$CKx-
z%eT}ONZcvj@732j<;K5?E3bY{yT02sBI<I$(#<!*YqY2R-kD*x=Yx9uzpdX=66Pn`
zZS?T7_f=VREudBWWbAg+k2A6lh@9TpwV6-H<W7<ne_8C=Lrl)uQ999cx%ti*pE*1A
zw6w*3wt)0mt0r7_yL=$_@D+!hbIh#x?iePd%s;p4>@lUw1+jUOv9o$xmvN`IO!u$c
zaL-`1+I!QDa@7Xcr%ag>8#%dG^7Zq+-BN)zCk|V#eZ208$@$LzpP&Ev8|4xnHE&me
z@AVW-+vE4d`uiHfeqNYc@HjFe>BSz=(=Wet^PQQo%2M;T^2Q&Dhfb{A#q5`Jb(K?-
zV67^D6;IaW^HU?;g$x&!Zq!_MNb2;F8Hz=!n|NnDKakAadnItLbULp|>i$>;(IYz?
zucsEB|1eGASdYZR$E9^V(uPW>+iNRw4@~*LEz`cizwJ|?o_%d1gM6>V!$Yo&H3kV!
zwi;i1ms7K8hG2H#N~y%SzJnUpGecG#n;D*LaOn2ck^@U6_B?)@W%a;|N3CM_EWV7+
z!wmW1ho7xobn?mDRV8_yhXb5~obJT`J!}8#b$vqYY4;cD?I)f(Ub3!ao^!>mL$F6{
z>-xs!QU6_%JlbF9@cC`DS#rEojh%l>wuEY1$<Ad=7H2<Au)DsS;n<hP^#}eqZob*P
z_m{(^HjQcdkvCL+EsF9gX+C3rL`Z+K<SxfFqXzD(@cj*C_V3h_yw3Y5?hSn~O?dH{
zsfJvOJ{2AA%-qW!XVLy;+ON44!dJq+=XNBmJfPgy^5k16)4ifd#kotTbKY21{+Rpu
z1g|O!#$*}p!gmw6*}vrV{f(O6l;h>L{N8yTEhVOR9<I&%7!S8;OzU2`WBu!;9<~yz
zBIK4XFkDi3u1#ZFd|{Ka;;p&UTh#QlU3OjWj98z4ZOLxAx+TdoZccUj^G#Pa`)e-C
zr!C1XON>ROXUw^9mgDWpinYfB?2H8xD`zzI%5YD-+$^#uJ;~#F$+nI_&f`vBuFUB%
z+#9WMKGrSb-SPzE<4%8y^jY)2=<TTyQ9QIsQSz(|_sc~ls>#~QmcRC$OHqol5WDnK
z&i_bYt%Abz1<E?olQ*_bl&RhOZoiAYyVI5bc7oA6*9d&w?|sC*uXV+K?<wgZ%9t^9
zPI2d-^C}6}$DN+kTQRQwI@hdZ_qj%s9)nNnjJIaD@mGne$xrH-5aV-z@7PwZMG^nF
z*Cdp9#~*s@alr3c@r&Rc&xHdHzu4BYV!xH#ZVRzR|5}q=PE2vB%6PU$c(<k4qJPGI
zIZ0WpR(oqT8LKVC9^HAza;D(iQtKZ=8>S~KzIyL@Ai1w~#s4PZ-yqG!es3Q9ae8w9
z^`W}H))W7`xZ8Jl2>&d%C~Qbvcsw%i$OE;*PW_u>Ivll+JMDW>!&4=>_rhbH-G?m>
zZk(WhOYm6eGL1`LTbCPVJdW^al<RO54?cg<+0Z|!U{c~jVL9nXh9`ft`c>p~9=Ggk
zU9rwm?(r|Xh^U@rMjvC>i)CoeI&(xgR`=WLVy;ccTQ^q;$2on!{jT`o#Cx+hD|V%>
z=a#k*n{@m7M~Md!8RA-U$v$P7+<VV9idM~eyZv>DQ@d=%Nfnpb_htF^%o1HBbnD%L
zDBBLzEkEx*Kakzm>XEpfd38zQ?e{M<rwMmTT#J0;{$BL7U!dfxt26eLm@`#!ow>SM
z`~96>l`BsU8`nO%)}}FyO}_4h@b)d{wRf9!e;v_%>+`+RV43;aPq*%IoJ^N*n=$8t
z^jEu|1u^=apDc1)Qcv_hw~T5|a~AQIh>Z#B5SsV%W7BD_z(Wh<*IS4!Y6-2|^CY4}
zDC|ez%IOm<ON=Ya`Qi%YU9?&Sq)NZu_HOKY;;0w*`~{=Z{mrMFD|tBY9;g+mI9<cP
z)|`)VwS@1bs$>y~#}OV4ZVjA!1Lruu?)_`mQ>^o(``fPz%dSfozu<V(;Ng+{Gg;0>
zPsD85;<E;sp$hqaKj(Dm9X|bmwP@8>cP*>l8Hc#C*R}E~yZLQhw&-q$=DH2HgbS~A
zOcXa)ebgfu9F;iN`$(qpvNeZZc^uJHUbg4ZE3YG*%4vSBS7&yFwJVAgImcM}&2UmQ
zclxICXosd|rL9}JQlF%ulF0Ep78yr60%S@bHwY?!s<G$&-76v3^*Ha1agvLM+|tDD
zg33;Y6WU_kdN__gHhE)`^u(w}s%(an;-){Uyla;QoUq<<E&4`=mzP|bwXWNJw;m4H
z=2kUjw`oD#y&yg1+@)?kKh{ZdzXqARd1XZUk&XbL=!oni9RW68N4$jH;@$<!?9n`2
z71(%Z_Kj&xp3KVv)}{2cY`C-G;qHKSDSNmQX9_C+v5|7>6;%H8N2Nnc+3iWCMu(TO
z+mD}>0^U6m51$`Y5R*1MbUu8}46`*;MNjQrdp`C@-i=Bne|?*?huoT<JY`u@dbcxw
z*^(z!2YuD|Nf|1M_zQhF*&(>FVopOp$j!@z<$ELqyAs8hfv8z3J<^6n^Xr8`HNfGc
zo)sY1uJJe|9jw9f#8b>n;@(P8zB?}thB|NPdUAEM`Xs}g6Fw7d?61t~xvthD;x=Ex
zOxjTCxOuEa#t)6kD=9a6qk^YBeYZSXPsTu1wSVg!0p?m|w<V?5TW#HXE`(1P-rXy4
zbJxxdr#l3H?RtL5PucCoYj>q>5{6Fk#+@04NiG`C)E3@snRqtHoySh%+UD+*%~#}_
zHI?0deDL8>>y>zT_?*NZ^Q0F3-N^=dM>yomjwYPxm^gQ<#2(Y67XIp_564<2K5sWW
zmUy8<@cvdsKL1{ckFm2Ke>ei-YV!4ixa~;=_d5jdmnyJ*?~z#8d)RPC_K}XHUD|Br
zJ`y+g%;j2ew?puL==|<(P;_o%(33EHwA+up;2ubiT7$7$&z*3=1DS`z1R_i1b_eFJ
zs!RENZAy3WvLz{(w_39w?~zcPAG^~c>j;PW`?CiHmECq!`s?vY8y-4aU0iseLon~&
z^zOfIJs<p+%m40?c=+t^&Ksbn2J?cuj5%gWF7v9HZloOPu!-kNSPV+1`W;ouZcly&
zag?%Uo_P57wvLX8$Kr^2rf+!?_iAeH)ZcF1QmWP?^W5avgDIKIJ7u0<IsRaYpt9Xw
zO}1ROo*!R$4J{I_bTt;0YI9$1^Hn;!$?>K{bMmq!Db1VI*xY+10*k_qJm}z5)_$(Y
zZYF8CsKmAyWU<$EZgw*X!$-I0TVF^y(owLtXu-V>!G~2Z9p(xuA2NM&pi0@T<@HwP
z*FF-FAL~vXP6DOXOdc^wL#6ZcKX16uAy_Hbd#q<=#EGtQ1u6SEY@M95j``G>c>09x
z$zNoc>*dv_eb4RjZb9WI#$vttZaovuSD4sI8y0nl_xgjflZ%NRDD|p4SEL{D`1vI9
zP=}yh&D{1vWw(|`p>oG~W=y)j>$hT$gyEs~=1K{(q!#}6Pake|2-@WoB%SD(_?JU{
zo}}TS_Ut(lCP^*)(sL`aj&R7|D@-_`5Nf&8Y;vDwbBK3}^M?bC?dRry=L+m8_?)Hw
zPhZ%jqS8k0e?O<v92>Fz|L3PjK78C+@y0;r<do$+Ynb_R%#&LB?;lU@7F4eJqbH~9
z*7M<G9{a3biG`O>R^(jsiwo#kQE6nD+0}e!+ioMN-epUkBu-4dm|>8VvRb6KO4-dN
zDb(bZkA$Vp-FHq~1(h#V3HGbH^>}2rb9eVj2!89;(=keNxlz7r!-bBCuBRI%4?E5j
zvedaN+AZ(a!%^MLd>KUTWxmgA9rm>5^KGA=*@yIgXZiBg7^<pHSCW$bk#pok-~#6P
zJrb5&B@Y%0DmxV_2<C%IB<IeG<U=R^-BDtHCuMl3HGfgT@h46buT-ern-!z+yI6m6
z!Qm-W-kjg-ai~<;ZO4aFp<g``4-bWVzA#N{;oh$DsEbqiw^IM)iy22w?DH^^@_8p{
zGF5Jm$xioguJhCu-<vY!O}CAw+gw5A-%6Yjxko&XsxP?KF|o9UDNNGP=nZE?=8+Rt
zc8sTcBqEQiFF4jAXeZ-&AV}Hm+DFb6X01*R(<(kF)qS({`xv-Zu0&euYmnvfo5Jm`
zZD*#O@;iUALdPV@WkcW5<VHc|rcxWZ&%F|YYYu;$VK`^E*3`N4(*6DzBt5y+APfqg
zYZHX;gQS}aXP6|psPG+mxcB8bw};Or>ev`hk=~hm*h;3oeA$u|<(tB-aiHXs%cCc0
zs3h|I(S_tA9RYLtok3MWp&}o+DmZGfMcPnF<a|=W#SX!R8U2S@h28Xo`qh$mGX|@j
z{#9ikCv`4x%E?V}&yP<4728+3)7^S<Zv2%nNlH2V?s)Lw++Rg0(eIB*I!B7neEDs`
z<$!CaQzPp|55zjBol5;#EpdQX+0CNBqT#h$Pr(BRhFZ6t6Q4a8_JVld1@t5h4_V)L
zdSPf05clwtF0VSz)Acda_RA}l%$_ufdGbqvT|E+k|I(Z?%oCT~oBw3dwGP4g|4hVJ
z_egwv*<ZBr(wCQuR_GT#p7HW>>hcZ8yO%ColCr#DHvd&n$si`bsz+kt{Izx=Qie{6
z+<zl7j&QW<egg&ZojoV|f4cP)eEz1ejMshcsno5TbUWTJ6IXLNxU%H&hLdNDD)tz6
zfU21bx$Xk-y%H0j?!F1CJ9O@!JSH7Ht#$h9I~FOYHs$PCmzsQI%9J;+zok9iDyTf=
z;`H8dx1NAwUvu`DB(==m&&0g!{G`%yDZW{D-f_BROfSq;RnKexW5|;>e6)EQ+r{)F
z9p_i^ExO(zIDe~7N48rJN4T#*c(26Ax7tlRQVyN?S5VLQO~O!V?P|*#xkoy-<o(!q
zutSjbLzld-TTj4eU3;lsiG|Oy>r7@G(o>kdX~XFYZe1<ME*0Lp;C60e?cG$nHO47v
zeQ#dteNS8~sNA&gO1r6B4~I*%S(t>OlECs!8}D=oHd;*XO?B(xIC3-RiiF`I`|v$0
zj1r&ZoDa6YH`CAN^v!us>wF{(i)s({Z+GkY@$Grt9>b(3znbJ1yY<Xb_?CR6W8y6a
zwqA*a8@3+^zPzyT<vgDp(<`<`+G|P$Dw4dszI|?QJnq&rhmlndRN(z?V0Y`0k!Su6
z%Cm<L*ebiVB+ZxK-XkH{wYTn$Ns^1kvQ34DI|LV6ygytTtZ`(y{;4Bk!5S(1m)~yB
zUbZA<|MJW2t3efgKYutVdcEyp%#vDW+gDypJi=jK|8ddX4#B*6pB^m|RKD})Y<Dpz
zmc#YW&AOv=#dt=i*yP!%`_pfA8!uZ@SH1mO_hpdu2Jy8ZD#NT#+ED5I;y4*li|Jm<
z;}wF+P9M#r+d*#Im?Hyn+p*1{DsJJ76UXX;HC(1mPg!(RgzM(~-ER+z1qW@iFF)Ka
zrtH?T=ze<|$Y1ODXM=pX+b+i-=}7tAj|)K!l^yS$!Nu13b~aGvl-h8uLvZ1Z_eZ6I
zHC)R4ssqxwTHiE(V_5C$dD1SUTYRfq5696&eNcRfG|TCjC#JOS6sX9I_%K7+`*+fV
zRzc-MTRAH7j&S_f5j?vVRCoECYzL*IsTtnA5`yczE>4rWc3S0$v)Hv`#^&~7E1H#p
z11;trnR(S!i2d`%NxP?Pb-1fss3E_4N44_X%L$Az-;C|`Law{LJL#@_Ja$E0t&05W
z$jzsIY^+(6c=lCW-{PMUr)PGD91B0TP`mNuj$ei0Ci9LO+3ST##tXJ{ze$~?_4xFo
zf_bK^d-Qt_`l_dgW$O1G<h`~gPb^ZPS^JIY4%JtO!;U}ZF8}Nv*|@7RWu5r;Ef<fi
z|HK;ha`$}&b>WTv_SP!?Z7xdHMza22S8L^TBxU*@cl*0zmW{Pg;?D=i6plNc>)2bK
zyua>}&pf@(4K}`qH*Y9&JJY5y?Z0TP4Ch`y4O!=yuoE}$3BR22AmYT$dz$9+igljk
zyk8>C{bh05Cl2qHR7bYB0+7%j9-YUZoX&ldD}1ba+=;37Mz7Z2mL)c9!iklW+QMbH
zEfs$n@OFO<-ucBP(OFII_41;ZjfZym*j(ptJ$0Q&N#*d%8}sd@T{iCVJFeH)8sZuH
z(&E6z6{{Ybd!$;Gy%3zFujCRDr6cns;)J+qw8W_kA(0;XM}OoOy4(NLFlTZTSpCUx
z(R9U~{05VzK9jieYP-)V-8#V&Av)L2aBU2g?3VvktNg{N`=3tDg=CNSn|91?m5cQ)
znx4>-;?8r;$a3NO+&tm0v*UiAsBICPR%#e=e51hib-j-E)5V1jhZ;tl-#9^Hok-}$
zb<2~gPTts6bEQyc30L&we!E!zqhEHOjrF@yth0pc_QNBpZd_k>p54Y`QlO*aww6;O
z!NmQ&y2Z=}x4zaBpIn&yigjG3+3J}T>MY@VU9xauvSR6tOm(vYoh5wMPmf6Z>AU<d
ze!OvFvf{7#g%6ZVN`<#?ae1h`xc<@9XBs62SqrDf#+4-sPo8XV`}*af>b}+&`){|N
zmEpel|CfZ1!K}sGeYftuFlTvafSJq2KecbpT(FzD&9L2e#`^7iMd?m0kIRhCy$+l<
zn^j3CUuc8p{<uqR8q+Sa)LhxCv|ZRGf=?~c__))eJ^Gx%zas0RLis8-D9Ah!?0S6v
zXmq{-qdnul1dEpkO>Hffwz~XKU%Vl*+|%-{$C{7H-DPIK%R7=H-yRR#>!h(f_i&;7
zwjv!BefQcI7p#SfRMie`I^Uiv!_9eKPCl+c$Hc}i=Xpd&Hvf+e5&12rI*Y@1Y;@e8
z<)|ofyvW?`OIN(!cNdR&>B;Pqg>P~2rY?@tw<~hgIP^(J+S*bqh+}?AGWTQATO73?
zlf{oaaXn18H#3ZPSv@uQfMQ$gv~TK(GA9l9vZ|F=^;H%e`;jo?&a0odnlGnKkl5W<
zQE-Z9(q%ijIVL;>+)rj5jp7eGI=kaZ*?E7tEmFagf7{wu72M&`TC-taMZp;!tyLTB
zstc~n$alQ*<e=AKmCijM?ydTs&?*=llPG)EVXI(pP-5@0#iu$17urs2^ip<n`BuR;
zO~SB<$(os)cZSi=SZk*jMtf94)IM*v63jh$$Ka~mpX+ReN6+30T^S*KB=z1pw~JFP
zGoHT9l8Bw#{CH0+@8s!E9`NLci<g8weP9Bj`<^6;=ZAmR$}ieDdHd?bKN)&_J7z{U
z7=8UIzo=kVY5c@l3nk7St(&&bV`q7tfcB-x-H+lWjuhQncgI@4W9y!~^OkZX@#crW
zTl*z>-nQ+J!z&$+#@DU?zE1to(m3|hbKlngtey4D-A3${__`Cmuj@Nato33puNRsW
z?WD|U>vyzvS<_PI|GO0Sy{??7{ZjCRvcBI-fuC#QSuV}(tgUi7YO-*x;f?j1PdLX4
zo_JN0bLoBiMr(;zi_deGviNMBapzaPt+@D3?Yz@(ZtmRbSh8d5wrTs~J}C2+f7LUr
z-SZ$@O7F#dW&IN!6LL0uh<fjIOW^izv1c!1Ybslh$;Z#>O6+T8dEuk=s&wNCZ!;ww
z(@cqn5gyqwGu!lceSc~yBRH)*Q{rjFi3tT3yx%Rvj8x`KZ!<r$Mod=dpMJ)(J5EYl
z)OhoEu9+aP*6Xlb?37RI`Z-RwhV&FhD$c$5U())^yGq5qxi5CKI^UVX`SFw?ckZrm
zu9sW;-F0Q2MRbJoMXq=p(GeZC{2|A2r#nHj+x)BK_XfmTt&W(bBl9%kg=^i)7Z;pE
zJl@ByK6l8ot@YmSu&J%dRdH`36<9Mn4p#nM{I<$jb&Hw&>aUHRHYSpdIi-tI!#ccH
z9PJdYnja>&x@SX9aT51&r=%@MJAcb?cV2WA2jv<!@t7Z{HcpUuTb3jZlDyoRS|zK>
zpYIlN&w9h$WJSgbq3*9SaUKl!EH^YKE0+FlVP5_9kOqH)Tg0xI8z(nTC|h>8b?UDc
zoxObDX0XryGHdodw(2X*-96!_JkRd6VcR`pjz&k)%D#g^vHy?0npt{ulaBmuOR=D0
z{j<l#_CC{FroU1D{BgOy)+vi-)#yBk==c}@ELs1!)B822j~g#rFje@k|FcB-<4#}f
zC$`(piSPLLynW+*P=R&wu%VmoQ<wjzlL{J=6@RVoJgB($-8A+_Wu4E5EKY0`*n5We
zF@y0|r!BPynpanGPF$X)^wP%nXjL9pyT&U|tzTd7oGLaKoF=ac3in0(mOq%bF0ax^
z{m>?B&aX1ufmhC{#9U5Kcye<t$LW76CIvb!fz^`@R$PDgO=eco&dEJ)eXS>!+DrPL
zX@1MKNa)x1jh)`@D^jf(rFWEcMD0^ga0*{%BQKJ8b8<_s40qt^bt*Ni_T9@EO678{
zFV*$`>N07kP<xu~%u7x|kIPyM-P%w5-641)!K}<USbfXpZzj^cyPiDhyUXFdRlM3l
zEa-`N^+vz)7|XY7c`G+gPgbm}e0H>MuaNfgy<5HXzZL4Jba?NHIJj|w!a5O75YIHO
z=Vj=^ns;i4J{{?1mf>D0CRcUw)X!FvO7+HO8SczaQH|Wc{@AYNG+&aaY+UNGCun}#
zbe}mdpVUYfv^7t-m42Z6uus~{Ex#Nj=5P6~`{F~6U#scNM%K3JyPnSM`&cr^H0P)8
z58la*Kigi;VlsTP+0EGCe23<m4cAg1?tZz;u2Q8oS8Si8;Zf1BSh0OlhM#zX#r8=U
zE)~7@)~CiK>6F%*e?Bz^Nl!AHeSAQDoML0c%w2*(J2sr}HC`5Q!t>PLnG(jTs?RmH
zr#)ILsO+>+UpSv<hLPpu?P`MRJ;nu(E?-xBBw^pWByGp4zcWtzKU^WXPPI<w;oGdX
z)u;N8?uk8H@Rl!W(%gre(q{x+IJeg_I_>?zo6<+B%i2!gYuT*bp}!|q^pLG%&9k>!
ze}CVsIC%Ri&-KGOHqYN?wY`orbF184^u9T#=R?`kd*2;nlJBP5ci4y?2?dpNl1J8-
zoZGAQ`*U!?#M1ZrTGem!V!9u{`Nr&VnrX$UgtuMwt_)N6#O_S5WYDhI{p*jF{=SX#
z)9n+s-0QA<^ZxV{n^${hoWAvzeOeY%#JSs3tEKn+SmhrecA3{NUiQY^lL1ryEV6r8
z$iY6zge%h2RpVVsQpo#fC$uO3|5RPQ>4KBJajWz9iD#BP>U#KgFH<F3LN=G&3@yXJ
zjaQaGUb0eX^6%f<mp_>2{5nnSlH{iUQoC(8Z=Q1M?W6Ze1rgREf3gL0-Q<rvF!?UU
zklFJ)`NFdD4DOeUYNOT8y_&3jwB-6JU-oM+4-5TdOKVxGF6RA-XTA#m-}>%D%_(!2
z&G}NY?nqLIyq?IVGhb4YVy-vOjh{NRj$O<++3=T==WJoq%}#IrO%iUdy6;=YuB4N1
zd|?&$`U&Um-s5^074SOvBIky)c{vxV%Rj9;%Hg?QIDLnQuxD&(QbhX1>0*CBo>iQ4
zb^p#5JNB~sjaIgozIpHJdYUQWUYyon(rBZ(SwZqU@7w&9HsOY%&5Jd6C3{HpFHa7+
zJY~*I^}Wxx%ay!ZUw_$s-R{jBqW`N{yKxmMx2)aup6lh4pL+$WzMAiNBkmx2`l5->
z<5#<qezShRCh;Y6`|XqG70>;ZJ5pJzxvatOfQ`qIo^_Vei;9ZO1=oLBSlt+PAy6k<
zSLf9AKW?_Sr#G_iisE{4;%|)AsxPY+H}I{veYtSHrb~&--?P0^eXTpbO_tWJYT$a&
z@hit_+SBZ<>v#io{>y%QCF;(2H}&(?-ltVzTrUq-^BWa3u3Y$HclCFpfb$zC6qTtn
z|Ml7H6aRk4)W*d!Eqs%VKE#xJE7k_B*J75Qxd&8so;lEAm!KVzyCErZR%=>ctHpLB
zZuXf;Zlb1h8pY<i#u*AV@>pCH`mwX;g5S;+G1F8YWo%N5HC?{&)28FiGtb<N^m?i|
z{k-9dtGBNQ{jxX}Bfjm`E#0N@e@}M$-9N9{Y4n#{ld1WDcWim<7tP(NnJ+GKuep0b
z>%_{4E3b5pmK^()rK9y#DB5THTK*%8qQZR)YtO#!X8!!Ovp?vU#oJo-Z<32bwESO`
z9(lOup;}*1C09x?M{S#8#7Z01O?Fay-FjZ^czg1&sj}OX^k}(tujcYszN~n%dDUct
zmDfL-xmczr3Q5(>`j+AR@kFz0)mI(;%$^B%iV`-j)2?(+Zb`k+xY$SI<)1gn>}i{}
za-FY>kJz}T_|g9~0hLL{AAi(uO?kt<H^k_z(zU9$0WRN{#Fi%ZuZ!EgdX++Xa=`NN
zv`<neIG%JeUoRD_{G6b&otdxXRouksGHwc`ep{YTRG(U(z4l7MoA(JOUcWca+1rtl
z7^fEZLio#-#pZW+EY;`IY<aZf-LaN`ON+vkoIcqKi<frZ5IFitS9ot#Zj?j(o11ew
z{rXyWlmx3~S%^Ja&ph+RqX>_)o7IJ<R-FxYD9?U(;)qhLN>PBQXGXME)sB{qE4vmK
zx~#9v>F9cRE#%DA*ZMb~_iWJdahn^@FZl8QvywY$O&)jdPv|rDG5WINXkSQ7+P?OA
zi!%h1&qXR~EqoSo=j!SvrmDUqZ?1JkIx4$$HE*0ronCTv-qMFs$DMX@$?JYO#}&xg
z8-3&4k(p(;)OEfT>bOix7Tfkpb#Fsor(JZ#s_&b)UL<ebDwbEkDHyqKL&2QSdJ~^|
zahuPqmHx8v)_>k?OQA~-e`h@un=ZQOl)l)qFSWb3yxwmp`?u<;ntW5IgVZF$gG-#X
zZ&_>pSP*XisdG9<ibcoas<jI1HF_QRb{5<*iT-r^?+ncyi4u=b7DRRH##~JcsoU*p
zF)5^8=iQ5~-E*bC-gJ+Bah2Wm)4nSlDf^jgZv|i9a{ov73&xg$lNY=-CP!zMKUsJj
zRGrLnesQf@=+mz!9%b*2JencrExl;p?=qcruTrm-^(O6<?tXmh$MmX*l!|DscSUk)
zuhyJ9o~dW-GB@;R#--5Y?en@)*9jLdHetMc{mr%1N1btNFCBjqmi}mF+=uR$o7?yo
zZ|pd!bGNL}QcT-tbNVNqPj@ozTuX8>6ulP7eVxbKD)rN;xvv;jea$*CuP;b)-;_?{
zGYi_fiW|AznPw%wDBdq`^wG6kw)XA!TD5iQ*{cs8DYUlTG3z+9$l(&PStSRjUU>RQ
zywYN^_5C)DY0E1sPH(ty>qD;1JmaHNueB+E{IPC-tWW>mZK;V--#Ki*Rw;2Gjymf2
zNS(`lb*uI=fzztX>$qo|Ed675BDiv9;iC=vT;ja$C%ox)y1hnV>iyM$FRpG4@%o+J
zY*?|3eJ0C_%gu7OCsb@^FDkflo;iel)#2v%w|1?P*)S<2ud2{xwU4sI)PVWo_ao#k
z{onccXkcIayZ*U+kCeI<Yp;Bl+fpoXEAigDqhY?h`}I{cW>qg*V&rQZoAH0X!HW6r
zx(j|E`&WI%=&Xh3Q#ZDEuTxhvy!#O~u}5()kLV>`@zsZSoa1qQIj#GaLD{RRnTmId
z3N?D9Ww-;M-ZPl@dX>(SXuYy$kJXMlwd}T)SO01kq<FVzqptjR3$aTtZ_nzl>T5ms
zskLx+vf|mpD-G;k=SC{Nb<aKNZhzcq%7sb&etK?8=2;6LlkIC=@w7yu_SZCStAD%Z
zx29Lwy0^!F-&ElGex2y0&Az)o6;40N8Ow2NV!w9P`MneL)aUX)mOJjWtMB=t9Y-?y
z^g?yIj@S0JPPxkZF(ci?U0hP?(??L#F<z#Bx6hoHdbf|&*gT1N@yJ_3f2PIdxohVq
zeY99{mCMX!;~!Sxs;9vo=G*el92eHpcJV)(X!G=vV%4wp28+)7UkK(cwv|YF;<CwV
za;tgIoEOWk@+<NfRW1#^5yGMC!}0Rjne~c$QX*c=+bgGj%#tIq^7Wx3YXqiF7Zfiu
zT(rcSXRBw$@r?qCI^Ku4|0=AX6%nBF+oocn`97Clvu$>)3IFT5U*Mvh|G`w-^{)E`
zmVZ%u+&wS+r&c^mkvU`b%%=`ksu$)r<i%e9bax-elG~lqyRx2cuASJz78`M1#bx!5
z<AxOr#GQXuM7Jzem3v+~E$J5HJn{alyQO9>swQt8y6vo0=0|imlpTMxWL@s>dty^B
ztaRCvYPCFzDaLVDkb#}Hi)zUHCgrN!C@1k5=1UWrk`=eUJSHM@>1cq{`w2H69J20f
zJr}z3LzsS->K5a_Dst~F#gy6@1Jz&W=5y|KPRrF%vlP2DdquA+_i?8q8}!72Ww<pj
zWG5N+Co8h<I@!t(;`Zu&d8N9bW!~R9iT-{W?ul3aR@}MF-C0wpbjV1e-(QCNrLRrj
z@mxRsB`h^Phn~l*{kC1P>#6-Q-@V_K@7p`GRdVmQ<?G&_J|v)Qxhrv@A#41M^9x@;
z<<;DA%A-%O*+=D}_HiesEqbEz63Z{VySL8e%+>Z#Gnb7y{zp_kyOtcvRuEPHB5~_=
zAJ?Y?otLZ5D#n|2IH<))JWyCN$9LC;(DR_${C&)igBvFlU6bn1mEo54K9)H3-^EXb
zEgYwJ2;csC_8lml+|GEi$*JpRw0c=Vq+(#r<0Dn)cB*vA$AUUa8h$%BL|t#HX;eJ4
z$riNcAn44tW63+?o;;Q26`U6P@y6Mmts<NEA1bT;+c0f{vz*SA?VgvJiY_$o^r?Aq
z=#Q;BpTT@*@n`R?3VRB<WbNMf9(L?&b*T)O=`*NYDE!I&k>O#DM!ysNI|EK{oM5oO
z!%=*)_$>$iqYFB{J6e7g$?UQe6ME)dxFT7_{rQ4<Ru>O%oM2#In8f?|Zjw=CkuINh
ziS5+OyHZQ}+`hc)-*erHY3JMHUV9%at$*I@@QwNHFY%MNE0yC*l%?N)vHfi3vN7iD
zG0VT*PyX($cagGK+#VQrU)nRnkxy-BONYd>rw_X0{-5TqQ`Y%hY%q24RAcEy5lk;G
zM^{!d7qmP{HnS^;R6O^0LhoN0?u$;l`Q<Ie7PWHQ>0F-v^<_*=sM@xdsq^$wbj}?K
zioN}5)<X`@)$;Sf9ZAbq$C+b`J}EuoIGrkQSFGbQHP+51{fo=_D{|i-b02q#+LK&o
zllmpZ=Z=v%d%UICqv?|Na>kPuPyQEP`{UR~f!z3y59O3Ct%T!e_P&?lp7?)J&Yp|j
ztM`Oi9x|HTn+aOH;cm9BKxfOz&yU!TJ3aY-u=_4(z^lY8%|dL^|Mxe49DCXNqTbW&
z*{fJX>7;CP>FzH-E3885=d`~4b!qXQot8{H-yN>m%WmENuIA)1F}G<Yk55nG-#zop
zrC$BXb~#BYp4ackRcvTaR(w?V&XM`CMbxwP4AqumO7Bh|NL-e;e4aJ)o5v9yJN%hf
zTZ&obd`h%G?sW9+;p$~tOFk=9@3lCvQK0bs$44U1#g*Q%9&qey?Wnf9aME>FM|HXx
zU!ji6o!grV8@o*ezq1N5^tE>UwkhzFHxHb2KJv!lkasPQlf~Zs*s@dJX`>yl`InQ5
zhc@W(wr^E?*1uI_r`=n}^)GW8Yu+W4tHsR{yZf}5{kHMPLoE}vlbJgs(vFCPM)(T_
zrXD$Q`@V2s=7A}v4o6(}<C#&$bn5ky{YMj~3Mzm4Y|5tHD=~5YOcou}q$jrulvedh
zJbYgH=r)M@`RI1<j89zIj!I&ZhQ(TIT00|FymeVRgKM=VuQ@OG%%z{KKDu^BBzSqX
z)fOr}1I=#Tt^AR6gDFsJX`v?ne9(}5?ZzJ|M>+yx&K-7FcB}b)EW-yhH!*wB$9tej
zu&!g)pyB&s6SXZz6S`Jz@Qd1!$kketyGECP@v<dH7!Fy@Y!?Kn$TevLnHF4Gkqeqx
zJA0TLB<jy`9whp?Qo<;yrT*O0hciJF394MoxA^j!R&J=Wd0}*=HFZ<m&g8^q#id3+
zFC8xCZuVsBsS!%d<oeYsA-LAIb4Tuxjz1wwA50Te{<PVMwbret<4Bjt7b(L-r&k9R
zT<s8ytDD?>^_GzBQI3QA&pNK<ROWslr4O2(wqLzB;)JZI&bEFjv*QmX%O6*5tey0=
zg6CJ|ZY!-yCARG#`lki!aiPfFR;PZLu)0_7wraPS&=fpp?-TLe{1bcJ_ryM}S7MzW
zQQi3KU!y=@k-Mii*OmAEts9%SuYRA;n{1sQe*eD2v8;RR_SHK}Y~2%ETkkCKbx*A9
z*Bq|JecM+rUiMf~=TV%8M&RDXp)+>~pZ|MjpZnWb4(`aR-^&hn3QYS{COU7Uz$#Gh
z__~eG134Crjrmr8=C%La6T9=fx7@0V-9_)W+k_N-Gx|LvyOzywlX`1?;Je&24$`18
z!P5tRS{&P3_VU+*BOgWHJ>T|A%BY}kseZt_+G&k@_uQ4!PEFv?4}T|n>Odl>qdlY1
zx$s>}%l==p>%Uz8|3Lr$!TZ0e>u+cE9E#XyuIT&M`_B{o{r<a5pD!?d<uA5-)y7wg
zFFX{Vwts!3)S?S-{XGl*gt>}FgkF^B{G__%|F`rf9xb1aF1DHTJ=^SQyLIJ`2kPzY
zb(?Rd@0|Oi-M*Zs?*7%qbuqJh-v8p**>LZ1i4E&zt0f(IH#5&2H>_QIrENt<&N=sf
zJEzUz@ggblO7mV7bAP({(ZlKfqz}IG7AMV*D^Do*`~j*hP3E=q#yCCcE>lmMm8=-Q
z#Z>U|9r1}D-~S5vb3t7^rz7bn|3_E(qG=ZdN+Tkco#(nO;Cd-9(SEY1)X~>s(_ZT=
zEoplZW7GGV`FfDm-^+6Si+^p2(46}(a!QwUj8jU>9tBb1Ut4C>a9JMOWFcy9DQ09A
zJEKdl_Su3d>nis995v%}`t!+3bo<Pf7hT_1hv+<s=+MiLdhtBs#FewW7g?_-hj@kW
z*{}YX@3_;F->Q=QrF*Ab5`BLv<j&KGj*{sd9KxBqw0`SKezy=)y1V}5i}WK7;d|uW
zAKD#v3Mn_|s_l_@(e?eZhRuVB4uknFi5~iwmX;i?4%Cq=(s7ybIk|9Rvf`l{kydvZ
zZoy}NHB4UL*3Ddae@a{FuPc$7vFoB`x*64Ecg1a25PL7_dXe?5dSS(xjRK|iJ%`KP
z0=Ha~?Kv#m*Sg|&ri`6&*CNr+4l?tKbX@NCKl(5+P-N|l_$5gbk`-UoP3!vGvnb=}
z9~;s07Ggq+7lzEa8t(SQ#=GTdiBOw`*rIonJu41v6e#Uid2D&y>B?U%$zsXaOLrF@
zK3Q>aqd@6?zhhbFcDvmD9Q1Kgvf`_Go(I`#ug%?+S}(QgYp3U{skNCuPFz`0QvTvk
z$eyGkr}Fl5zOJ^5V|H0@G3H!vDYoeUH<dN1Q@qRD<IWy7IPUc2{^a(lK9NgyJMV8d
zJhV}uP`>Bri!}mMFZ_!LF$`tDyR*!eKi@)3Xwl(~71r7=swEm??K||9PTiI3HSB9W
zu}M|x@n)wGC+S5OPq8+fD6;D5Z1`5euB-T8?U$tE#k`PsxqF6|3-7LoV@%ZIyVbjE
zQu}uqZow<lGD?ao6`Qhpj;S1XV%e%Eb=y)*X+@{OG7GVwg?+6XJCYS=Rm&e^?`zF?
z7b{^dsd+)#`+M7m4$bZ_^Y-no{BcCp<<TFlp7kKR^$%5?Gg;?S<bEk&aeuhi%hDxZ
zH~u)b#993Bwd0HCt}J@F>b{4>eNZj^&>+e}Y*Fj=M4w_E7jFH-GgAKehyRsI`q-ST
z7`3ANsEM%BE{W}D8vT8^9mOASYccS@|03I2<n%KEK7*qjddIH1C)*u&@+yfnIcKQ*
zeEvLfu4KmJPFmA18h~QDWcTL{6Ot7d-JaK8StarG=4p=8>uhwcMdoxQ-JIFpTNU@@
zuvGmM)fTV5)|SF_j@MsaZR&Y<bhVf3)P3rpURFKt>Jrzf7uQx?pB!*Dwe`eObI#pg
zwt4Jh5=z`Tv1O?Y_eAgc9v#VwMVVeMyvLoM1kLYA+`DY@cSW}dDdCMhAPJ8niRbiP
z^h`S=&TSOf8KU-ROI<_Tx4d(a5$nn)W;}nkrFE^(jH|KMT}!k%wP(z^@VdfkQbAL)
z;-T1C9aCkv1@lUk`d(jklH5Bt!IdejP-lr)^sI*ORa*nx`d^!=E|`|A_$lAVfnPX%
zmuF!pQ&_Q%%fIf}8wWQE{54le;63j2C4PRxQBa$MOZ-}qj?1*uF%kCKN5rOZfB#y2
zKhu5t*+X1z0V*BpI$I1JbyS+N{GDwl3$q65JilDf%$cNk@{}?+uMBtR=LN0HKw}1s
z+|e_%rpv|WdLL%%Yd!MQMQYiMPd@o7H(wre>uWtyxm())%e2is^Ez%nJEqpxdZjq}
z&W}@5T&o1Krc2MZ6bm~4w&1a`xSP_7cNHeZIxYcoKU$n<op_~q`=g78HcqH|=Vo*6
zwX>sD(XAK9yxgWO3Ck#XY&coCD$~>7W}AWK!uN;EjwVmYjC#3OZE@j^QyV8J8Hu$2
z?0NP=ahdza8^<<I(618c-|ExyVy~KT;f-?}1^)IQPZa*{>hgc<BB95i>42RVPH0Sz
zs|}Lvo-4zBu|8Gjn(?Wnzw`NbZR}1~{B{4mn{Ke!%YV1Gi<K4YxcooNbm)uN3${CZ
z^WHp+==fK@d*c+4s^`bz`dVMq_e(3^dbc9}<&646y`eq-UUHo6UF;+6Wd7iu_hGwb
z*6!vH{;jq+v}4-4{kBhz)jcbI@$WWs-kiiEPUc(ke2%CDN4;#jmUglAk&sf=tvMau
zcg%%1>UbRV<8wMvWX<Wmv!x><Pc@PAxKonFjF#g*@x3CO%bm9S@N|YByBl#<#kv1^
z=&}V<PwK?3iI~*H?xVT5TlBnZd0(=kW6iXdYM-~x;@W!47WRUsX<JS1q&)Ij{_XzK
zM?A-!nk=Su@%QMw-1GNR$QgrKi$8zM4=U{Q?&$jz^s%>CR`Z<jN{jO%N>=&*^&fWc
zdpyZ+jcJF4v6sTBb)}DOFE?H2{ChGaCc&=V@6CSyql(9Vmn7BKE9n*KxNuer7dB=(
zzBuyllgO509T(24nia=3PVjmctYu{(7IfNoX%gde@t`wy%N9*eR($%#S?ikNt!2W$
zX9rz8x^aR;uE)`|cikP^W(Qq7yHOz5e959tLA|**?$7Cx>uWtxpRDyt;^C#2YfKqq
z5@%^yZLGKAQcrJPF_&R0<C?UtMNKRI@>MVX7`H#`LfZ;i)!&N@Pda*7MoS+E-5+-D
z)XQR-|0Zc)^7WRV7g~Gf;8B^i3odGJ*H2sMsju|3ge_k~T>q=Z^vjZa`0Gkux{Ky?
zBt^bFE)=`-X$jl=IKEw$VnJPbPZv0b=UL7@SUtB}uCH~+(_Ql6lG`U}t+C~<{+d5;
zv0uxrmp=-e{g1b4OcS@8b5+@--7jSAr-y3Cole#C@-P3IKhIfx)w%S?V#l3M{W+Oa
zbB)=y{anc0)5miAT0Qdi^8fxKR>e0rIqS<qzT-|^Nt^l0(_2@xnEfn>*H15g!Ez~7
z|6QSuO3(DY5|^x1OW3OY#iG6}>o`?kF1F4vXhE<{cH?m$zZXXxd=CV(Ik5{IZ}`sB
z@~!Sy&5Y&mmu*zk+I^kHWxfB~#a3LGULWRB*0{A*@^ez-G=aOvqZ8+DypVl^OP9Un
z+GYzK^W00KhizYn%1o0obQQh!+9E{SP*wEWq=*nH!%wMs-1a`w=})g7&DW_hPdrj^
z@Rjdjab>rf>rYK;jFP&v*YI{$q#x;ce``tyr?4B#{ay205|!PKJc<*FlQvX3udl;1
z)8LbWn=e=1r`@_&^N#O}s43V~w)OT$H=Sh_yKlX%uob&M=S<#lq2014UF>(yyi#|4
zr@2^sWYzE3=M!!AJbK&p-&Zc~Q`uEUiF1de@2!jaf6->o!?&wm-hXg}`QAFS$$3SO
z?DE6k-F<OH_+H-otEG<&^TXfGEqXAgyLkPtJ*+b;o;;|_v)B4^v2pXAxa&f{0~dO-
zTY*}k?Ce$*yS?gl#M(hkPZu4&Pi0T<eT>)wqPB0eICpz$HNW-7*6rHg<w_TUslp`j
z*KxlC7y8(w+>Kszr}{+aT%Empr&e8Q+zM*Mu4T>!nbg7DyC?SP`(9?=J+V8tA8yRN
zx9-mErw7VG)Vl*rdFu6^okjV}nLmRZu_~r#^SjWSyLH$i?}R;>6!i4o)twT_o5NS#
z*=-~)U$MI=KUZ%V$kekLJRpbNzF2YUcIdx+m&c;{;qUf}_D%=cJd1fdNa=iLbC8*#
z?C&<e3q2X{c~IeXT(x@DdgkvDRlj+s^eNoB@nV|h_cGSPrtR9_x3L%YZP)%@##T6O
zyY}~QtQ*?5uYSK<@Ic<Zb$6<58=OI|H*aVLneQebEoAXs>({}OJ$+B>;?~`%*6vW7
zwl8Mq_bP#Cu<I0}K-49JFwnGDYNF2bx2N`AO<eQz?YHiv#5E7!zWQChZ1JSrU8km2
zmoHD|1Vz}yzNb0o9smA`w2IsKZtmhcyR~IcNBpuZd-~vD__pVU$Jfc&{5W)bYIS&p
z!Sv_h?_!@G<oRFy^xogdio>9&NlTovUHkjH(}$|>t@~4~!2KQ+0$bVpA6tIc`aC_x
zr1<>Tss9$;iGF;L!9D(0cTxUS_Vb|73F2=9IW?8v%HsR9e~a!^Uu`Y}`S@h>={<MX
z{ju3`<o49+`%52NfRd5Val?D-{+LhfyF91r?XuU=dS;v7ZS~)_$N#wIw0m}UFU@V7
z3kshgwla{v*YUgo`P)|V#_`)ztJ^nSIB<Jvb$rUgdE2$W+nqj?c5mIC-!|N{LDom|
zPb+@4HTvKBof%K!f_1i@UorQ4g5+wu-#xb^`LBTtE0$aH?Cq(&^2P?!w`+f|J9mKR
zdLc+%?WqGvZ%yySbH8kybo-^n`um}A9^!?0%N|d#yB_G#ZxiF|-fOo%!0CJNx5$W<
z?-y=X{3g=Udia-!3+G+`pURA@EyN~G&*zl5{Ctbo3)?0AlLAj~oKX3u`s9lXAdzXO
z*K0<c-8f<9Mt#j2hc-^IT+n_p;xI^su;+~P8z&g}El<!r?xc2p-_iyC^KG;jTD!0Z
zZ}i(gb>2FLElU=5-Tddd|EHVWyO*D5e^RJuNj2&@UzL~Jk(AkSOg5JL(~63g)NHwZ
z1v*b=&;DExyZ_+Sb1UTi4ja|3>d!lAKc#K%uf?xj*!gW<oaNrYaP-6W3yZ8>_Sp+A
zydio>Db29?-nxCZEvCDipS%{Xw@_(O<>9V9n!J>oxyo^BaIoOC)tMFtHe85aux`o1
z-k(ZU+*j_J$UKSgDBM4}E$mtM3*7+SPKzTOE+ogU*O@a%bdiwMrVl~!sS~C%x<tf9
zSsc|(m{j#N-c8-ziR*YU_wt=<CS*PBY+cL4ef4&hq1;7%=_Sv(`IaU3u8XYN^T5IC
z+{@IxJ$aL4&L1>Qi|l?kN#>km@4j0h%f9VhDfa6A0pGo8T8n2-k#c_V_>yALD*lHV
zYLn093rsz?zsc=gbq8M`Tj5;q_7@K(NN_fJJ~!|1dVc?q*|LfYyxgyucN|>mJY|z!
z!(=(Nx%U;c=GM!e^<i9e`k}P*j+0BBuSYps928Mt4Sbo?e^8Cj>59qAqq=&EO3S~;
z`Mm5`xFIn0f_-0m)ne`UjU7uazd4$#C%Y@*_0d#$u>F2|e1%QQXAdpU`BMCHLRzAc
z^zI!cCnmj%Pgb4ImuY#gvasE|UF2z@zSho?y5<!nT?XsqcPz-Wp4PY3Cs94ldRCuz
zPsYoSbI!)yN&V#2|2*f@qWPLFT#G*avVUL_%<+<OUX=bjLsK@}1IFzBGmKUUXw57t
zDV*;d9=UhN`C7i%)US^egV{t~mIgO;`$#USy%rv4^Wc(V=_&7tQ+3p2gO>ksw>U52
zcx&}s@dM6!vO&ww@IDX=W)XE+I=O$ckKmHO_j)TUjx2S4HRYJio=fv5Y*EyjThF-H
zN7$|USah?*gG-8`r`i;D?I`h>`ssXv{B%A|>C;XXXO=p19ls>7tx#vlp$f5M!hNkF
z63vM<PnP&=^|Wr3IC15)rswl&t81-Wi_5meX*sJe_Sj{#*OI^4C+_8?FUxFd(x<Ff
z&|3drq-{ruhhyG}!^V2DK@(no{xCIgU(<?`f37@6r<U)wuKQtlWT~^)Cv$r~<5S@R
zQ_tOZwq0Da>;K~!{O5O;czD`9I_#=HnNRa%<&C3?9)`L{FRbA>8rXFDSHiBId#^3t
z9{1K;zhp|?_hiN3DiN2ZpWi1;@ot}TNw#j!qf3fQOLoh@-YGFTNa_gxvXl$Grswxo
zoL%bd^>Tsu**W(;4*xF?JXy=H86W>w;@Krd);E*orDt4ocMP%8(@BYvuUeA3T3$KE
zYRSX=kBjG7203|{?btXk^BSl7Wa+n&w{}ea@pT`2?XSO+j;#J}b7Rf){Y6$EWcZUp
z{)<U3inzzN(XGG0(stf=wGML`?v=W3%{NXvdc=NEXxP5lN#yijhG~TYL6^=yNy-W9
zNV1&SZC>?!-JExGtE3KC9CzyawV0u=uuf66QmKQpuXW0kjSA;pR%tI^{%0P`hrVRR
zr(X&gmVc4jJLlcpT_VTW_fFDTUcFqe&G4wIi%X?qkM^%+HMg$L@pGQ5mvig#pLuP@
zK7KDA?#`PSsiywrLu|Ul?wJa!I0KGe(u>O7Yo6sS;%&IfLTJ&crIkO9q_(cuWW--C
zp?YC+dHUiHGm{lp*$B5T?-6=&bN4Kpnum5BD>e!AODJBb&Ahtk?z2r!E|F8)*?o>W
z_TRJRdMth1$>pa(&+V8wo}y*iJ@4<ZPxN{tzUSKS{HI?!;`1dg$AoqKSl<e2z<#{X
z_n7^-(~)}Rp0~dedi(0PEn7TK&|Wa6*ecJ!av?iw_>;u8lbp61J7PK}1<KfJ>`>z`
z{(AdcZb#D3=Z60IL8o7CezN+rf&cy53}+F~T)AbEM=vsWZeMILKUwk7e$m$ao<}bl
zj|5vr9M~xEar?6e9E&Zg6bh9ZT4lHc|KDb~Ww~GA>-J|!g2$b%)a!_!2kk@Lyffm=
zMuD&M#W)L#7T%qwFs(qx<=_0~6_59LKdCojpDM#Wu|AqF>I-vcNz6V~S>B#Mol#Mj
z3Mc%Ot5PVmY0y$r|FR{~U2j!->x!0h&lfdLRJG0hVcD=$hWp|jAA!?ptt(pUST@el
zTwB8b-iP6|rP!jKnHRq&N-0%wY|!cu1u2`P&}V%0(0sXv9EqyOolMNlTR!_ZyYMsW
zaU_a@`1&oEV;DM~u@wiN-Y9UE_sOFrbvYg9F5W3xJn^E;%ILfu8=Ef5*giC8sY%h|
zt2&auoj-fVnM=xbyK8owVwtG7F6Voq?s2EC6Jqk;K$S}QuMK_4it@FZ(pP1;1;3}s
zUn|yexg%Y><1|OtJdc|9?y|?7e($K`F=|@mpX1ZPD16-Mi0!-M49Y9)Z8tu2X9jU!
z9(P`*wZ!{T^rs6aHVPDOf3k4?Wp2UpU=CjyZozVAj>A6nO)VKqrG0yLc(zR2`Eg38
zfUSIiN(Zlx-;19OhaJBdxVFsevynLB*4O&tzk`4^X!Ku|G3QZ4$3JnF4>Nx&E&Bge
zG3QxC$G_`s2J<uPR=)Q8`LWfr<6K+Z7tnwP%T^`!%fCcCEp5%e_%E8QxbSk-`l5>`
zYXs)btD4un7PKa8l7L>J&XPHIKR)i_o9XQCdpFMJ!g<Gwp6l8pBbsjVvz+jDTDvhN
z$Jj<&;l22VxMPW}FALggo-HtAz3$V_|K#qk(|cxknkRB;tyvoP#~_JId(G20pU<~z
zujDP^my9@)e|%&9nRAD#>#Y0sa@&L2R=0XX=C_{b%QK%;^gI3H(!|Z_@<kbE4yjMO
zXZOTla_93su^-Q$J|vJIZk%jyWO(TI)x0G;BPE`_%`(5V%_Ju6Zn}KYjfrjEdt!H%
zXG^X7T=w+d+sqe_-=5m*oHr-Uy!z&R^;@yszjxN2c-ShvJfiCNgu}(=dY~3>dS1+f
zw_1Pe%)fy&?aq4x(o}xO;?(V_)%m*%TejbRclJ=)y}aG#ke1anot*T$*{cut-(6Gv
z)|v12rVYJIxAR--cpR1ri~Xk9?wT2K_V(23=gSv1ZeRUJ*T>m5fBSYVwIo9bB?zV#
zwQav0Eh@b6%E!xZpO@9Eu+RO}SDY1ie~%@*Z2dm*zuzAp^R>+Qe=|wm^whzRE0(nE
zxS!{<z3S7%=YAdm*O&h<<E{=CIrdcdP5qq}|ElfIb@?5d|32!!t^D$%Ka3u$cYpfz
zQDIlzzur?19|~Oi|3`Z2;bg}(r%(L*X;n6L60>pOEKgO@{>qE?@mKQ7#pnExUVB!1
z!RE`aZEh^xn!)6>Jat#&yE~DFUCU)}%s86pA)e7LK7C8@l>K6t*1hZW>3J1<*Xh>P
z*txC6clP;SGF~bAb>qFOTg&UUPJAsfdHpw{`ulRP7hzU<PqObaS{WLjZ(jaO_;5+w
zGj}nm87Ud+Tg_#~KX1(oQG6@$&phHx4d2^iah}J0_x6W)?A~a9CnNoj+wP5*^L&bQ
zRNA^PT|BsPg59g8l9F>=8>R1*ta&1&v~TaUKE1wHo&)E0UOelN(Vm-Z^X)~|rue#W
zo#}HT#4j~@JeQw!w_@@B<8e;QS=}BRF7e*o(pUK^_~NR5*0<>#B2T=9&b>U$@q2E@
z)PPv6v>7cg&hlv|8T#Ls&2kQLGTnG%@##%1dHl8F?LTF>e=gc+G3V0ajQBU}Bdi`3
z&b=A#{pioNUa#5(?(3gRls;~s7x~+%&edp6S9Dd{+w4iAr>`57z1kf5jrBNJkuLxG
zuQQo{XC<-T6zdJH5_s#~D*7Z^;`o<N>8i%#tlZu^*B)`X_1o#ki66Z!9}=X@UM24c
zveK%OJI3=^G|H~+(2qsa`G0>3JG$vk<IXep{B~K~6mn{QC;I!46YI*dhtuZ8R=2P7
z%Gk>NdPd8Oprb<KuRw*S*v^9R^*V27vxq*~)+%0QIQe{rtI(}iZpxDd=KjksT-=<v
zu-LjgsGucrVX$6yaA6K;F|L{DcFF4(mt|>i9+wpRb;HqD>zvW=nyc%=ul9dP44S@w
z@5|7MJx>>e>${j8(OYXNky`d|Whe90bNkz+jun<z|7)|cNxif%nA^8<#_60n0RmIc
z*|&%HXk~InzCO-vJGZE+{`ho-d*`_VBY%px%+|B4=8f7I!<jrOabY=cAM1v|^XqK$
z^VLNTM8$GG-C{ah+NIa-Y@+iUF0F=IpSTxS3--pXNsD;Vb$pi0oW!u3FD4zg@Aj^m
zbNZ#oH&**|MK34Z+4ghe<YdJ_o9CdN=pjk6b#tC8EZMN_cVT1m1PwEmi+0*BsoU*3
zlB<$a{kt@$ol>y+lBqV!Z@I*TSG+B@k5j{o6?4+AIBCCoZMoyjlWFgk?V8rCw)fZe
z=VwJyvPEu5Y`xGMVE#Aa{E10<#k*b|XY6aODcLW7ea9Q2^Iv~nwAOY}z4H3F#b)2;
z2_-2DE!X7ADM|jE)A#z<N-?3w^-TR=1kNv<-Tkfd;_~z>Z5osA#?84f*Q`BHuj<|5
z(!SO!+nViSuB0Z!CofT0zWL(n@Yml=-Lw{3$^Y8f;=%B`c12>ui)&Ng2JSe&;lf(&
z+lxN9T%T>rxmrOsj_1YHmomEypXz-GSYHL2!8u+qom24c^h-~VD(h`u^6KT6q>%Mh
z&o0zzio4`liUkFouPU6Gtf;t(wX9IUs+QO0p^%d5SFsOM14Zrz1+nW~seV)ZV&0_m
z0}1EOGglhL#ze#=+V&sTy8NZ|;T*2lt}<a#as5;KU#}}$e7YhvsIb*krd=yIC}~bw
zZ^R74vs1boXPIUtTAiFQcM(^1uk_qyZ$!jHBCcQhaC1t?#s@FGLDQotJMB3iyZJ=6
zmKt*=yY>87v)fa`G|5Hfol4@7FE0<B&UTHz_T^>Ln>^diAI?mfA~7?%U)RPo>B#c^
zzDFBCJ9Vu@`$7Bllvh?{9O>|v_dm*fd3m?a`l7|L)|x&FO2=Q{vB>|_S5xq-lrK#3
zeyivs$&&}Yl->5tmOfyl?B=q_s6pyo?aiRyuNtp~)I5_ru_Zrr=C{OXsqe+VGisx?
z><X{XnsO`su>X=r?D^qS&wLE=`CN82_Qv!s!F%hTx$`ecnz8-1xc!o(rtPbLzSz4`
z;`!SwyDERKb(=q5{I=HMchH67w?QKj5BPRRES)s}W1{x!xZhzj_G-RJfB7~#ag}b&
zleee#nu_Uxdg5B#wxDWjWozEOym+Z89gFwG*51GBBJ=R=slC&M3MXyX{vPM)0-C0@
zeR!<w+TO0Zxy<cBJLliterQffvb1;peXh&Tj>Un-e_kDv1C2E(F!Qe2^(H!zFLlAZ
z?YCc@J&<-UFFtxwqwk)(Ve4C^?&Yn%ekce;I_XARu9&~R{DA_*ePUr7zkFM3Aa4Az
zd3WftEBi#3DGOf)jX*f5iGBKWYVX^b5eII+-Fl$J=fj^<d%s^Y1dU?-zWj0GcJ2Rn
ze2=r=TX!dVa-;Q{>Zz5dI>WvdCjAbZ@l^7~bG!9t_KButvdMicdwMTbvf{+;snynZ
zUVwZUn^yv=c>T<)9@pjR*6S`PX1~00$6Ee4?w0~@wsI>w=1y?i?xgqW!P0%3oWAqQ
zc^=QLbqI3FU$sxJ+1P5yl)gi1NgKlDHKtsu-F!Z|AZWg;?(%HWQbiN#UuRf9#hrg9
za;NM}pXSuRug+=Q@%U+}dFe-Y-7!t>aM$vu=S_+{`)j`cZ`}JUW6=aZgP7u^i23?k
zl9zbI?bvYeyyn5Ut9zy0vUj-!I$USJrm{FxzWZ{ZZ?@Pw!$dX4U-MdBe=%&IGO4EM
z;RHDa=|vHCI}Fyf-`w{o)X{BE##P1ElIzd9Otu)k_6_-?y}7`tUF1UP4(ZN{Mbr6j
z7jkXVlYB41Vf*F3nV@`$hvMB2RYwjP+UlFR)P70i-n*-u`{k)?&rM{WN1UiRvD_r)
zn)}z*FBJ!l3(PBQQf91>J)~Qk6Xnr=ZXaLL%z1Z}b~*mq#+|)G{cz`!SC2k=^_Q%i
zv_0vjVE^7-OHRFfl$<P}Yx$G&pS`2U%>Pft4f}3##cc0U2z=%L{6Uwbikp#maly@5
zdw&Tm;97mL`BsH+U?aoa(+5?PvkviJYnAyj!*P+@>(j2wB~^}T?cMpp;7Rm8t+chm
zwx?3It=ee5;mN|uxBrEH`^`4ZrsPA}ZhwJeiudxqa~`rdaXWPOefeXB_tr^$ofngn
z`1V`Ft0KLqirtegEsc4Tv?{2oCp}aw?xpLRhIPHVXO39zb<<ezTQo0yf$|#PIX4BS
zUijbl^VgebM%&#RjfL;VSgB}tPcH0iyiir!EwT7jZZTu*atWWqd3(2rUzqwcUu@lL
zPSJ~t?o4XgS=Acg$N%o?vj<Z){?uEyp={}cWea~!ySn|WfuOd}45OPzmM5%p&i?pf
zO>(f+uCM3ymYoi3zL;^<Tt(#4-`(?_BTTb%S4FrrRV=-J()CN*l>Ci57FeHeThX%b
zNYd=M*<u&FZS0OeQabJ=wWxoi#j^D69dSwqvfX8Uty3ng-?-!OMuE(C{f_L%op$N&
zPX5rDxbSt@>`xaDZ@5spn)heKvgtRJcIA~SHh9Z$Yxdb*v{<%&_6>nh>v`M@g64nI
zU9>vBcjt~XsxDtHP3S%w<K)7V&b;H$MghY)JPCToosJY3HmsK67CiiuVcv^UXTi4z
z=JA47*d8fRYneMIzC*%~<-+NW0)|`I3L@%_8p|f|El=)wd3e&CH~z=P+_*}l{~U|?
zVraQ=dvwl;qji0)S4vBF?l`w`!p4KYV%}WU{-IQ5e6&&K&VvY#_*{R!IOEoN_pA#Q
z#LX?mf?Ud;KhhCSkGlN!{6XEmR*{LdmNyP;6!<HD@@OuoFOs0Q?{)LCMfP(QI2M35
z!tB@eGFfa{rGDft!@B|<m;Zkm?_7#*P}&u7>+SK_zSb4%PWRjS>AU=IFD~p)R{Ui@
zr91mq-ZJ~Ssl~~2F4{koC|Df1|9oRc>TdS%FTZ6vg0@u@c=s0?#un`rJ5u{MI;SIP
zr;N+?b+?jsJ*l#5VVB|7bbRhqu|j+4jC;=uEF0QE0<w&Ao<zKO)*&F*y5-(1(871g
zSC&FS4eMA84y3k<ELg|jR&r77@@tuOY#(PNE3&@u5(wUv(f!isvuWV^Vx1)nvAhXR
z;<<)*Cls4^ESKTdbSQ6<xU9W1X_w-2M}cOL!1v}G=T5d}{GTNOniTyL?rgDczU;DM
zw&2Ja7q|s%msHwtGyC|xc=Y?JK--ttHD6+$lvv#}Oj>AZ`Sy^_-uOtL^BL=k9?5;S
zbSXS;J0r=io#R~xdwS`?vkT9@Ymm48l9IuD<JrM?8y0TXWi!2YmS>My=?QLctu?(f
zD^d?kIaQvSckE%qLyz#48CTZG2kuCYSTSw4-rCBGFVfj}eOr2Fb@Pi7<H^Urow|@B
za&CkD|Gx`7<j!q;eC9rX*OP}j+1uau%zJ*+cKfrEU7{N`g_lbzUYd6%m%Z<Wl-9!1
zOB-2YW{4(vt1#~V(&F`EPmZt2I|J*5FKgMZUfi+zx~J+FyXI#Rcg|kbij-ay@lW{9
zr;O>-FHXDG?{9b6relTLTCoSq66KaStTVpvaj>RYg!|N!Wep-{E*liBYrWzXELT$a
z-1LRn&2l-Nv)5+D?7D8i9b7dz+GX~g>6$+TOzL)Mh|g}cJ{GAYSeDIQaQe~|cXi|W
zJ3gBEcQegB{pb8f=l)Vb+2`>ki-Om$&FxUKeDAXLUXjSfm1k-VL95-x6Z3hl6)1aL
za$V8oZhqWJDL}G+>M!w|TlY1Uv=)T>ub6SJK8Ew*&Uq7f19fZ_Yo0}Tlvz7f9NakJ
z&9?RpA?2}#cZ;`b^-P!H&RiPSwsG2N?v-|{4pki8IN?=tqQq*8>l0rsHtM-9!@bf@
ztbOC0mpvD@wmWl5Jd5!7TByJnT9qB{Q@&5WJh3HN@s-^(r_FYo^p;s~53@2nwQ<6%
ztXARA7GhRv9tWiRTCd2m3x5cSzrHZs?aqlq*30-EOv-h+-M_qAIz!Id`sJZ$HT5r7
zB3E<ombicEc0<-2(5XRjtL9o9TG2X>J>uOFi{nmT_Fw5_?umL)>To>S5Hw{k-+R<<
znaIV+JI#!HK(p1~*$vj1&p*25r;W$~(1zLn4h+wCNC^JbKYdgMG_^moyB9Qx?W?!U
zLTu6hqdRA$RjvPGwO}!04QT5dKYPK<%iI_Lual{H64CK*`_l*8?9^TKt!JJ&RPFZP
zMOQd-Md}`>>j%o8JrJHOY}LI_nf<!t*^8_jlNoD3LlONf7fxsN-IMsDAr)^S_R018
zqKk(!`sDm9o*oGAYkjf*YNNZ)oR?Q!ayNq3LjIlKaa0o|Y$|piw5TO{V+P0(&z~pH
z0&TFH-5=;yyrp=vhP*w<t*t+Q9Jmr#ziXC;yfJ8X!k(HvkH0v5u@^oTv$r_US>$xT
z!LhHFidDYnzv}qBQYn7%<&8JL*^5P+*&Dl_Zj`s4DQ9P!SZXD>*y@Pfl~pZ%J2y06
zRLx!IXJrt7ejRV1PA=aTqrXB?FS6%?2FLbq*}0)0tV1_eeBTSso3nXDQe4IKUd4xa
zY~S~#eZ%~T+;h*_$U4oQH(e+y)~^4!sO>_bsMtK;1I;mMK9M=^!*aSE^P855M$LZr
zb%EFa2SQPrZ#)mA|IPNwSi0e6tNJbh(1MaDho<QL6EZbkcrA!eZIPS)YSk~-mY>y>
zNEBMM-7eSTVA$U2srd#06LLRRy!>6+@$L8u53^@srF#2z)Gb>$gDYEy`}15jsmkkT
zzRBz<o@u};daWzQN5UXT<XV8uG)cD&szvv|F|of}daiHc@@;D-*Uc%KZIHC3=2rh?
zw;l=I`5zabZJ4R7o@ZBmac0_qGlF(9pB_v->a*)c`D&eh=ki161AmnIacZiG*JfON
z7w|)QYLMhgenX3-Sx%GA^ZKhSwsf7{t+C9T`M;*zYuzBux%2D<52-t+ojP(nGPKho
zXU1x+$-Avr32!*xA(;F-mGegSkq&_?Dhd69%7^yaFz)V^5PYW<*eh-5RA;IOIzXmp
z`mPPW*3&;_Gul3_GH0FqYh6>&t@nFnT7w(s2M7I5QktQ^==T}F!xQ{2w=*pJ%C)@1
zuQk@stv!xY{G#T^eIYgJVV_=3smRy5_VSeGqRBUzIYH~Ccl}Cay7yAF&~Wdqhb_YH
zmK-ZrzfRiFlv(+r>2RdD-Z`#7&bfsPdo#^nM11SuzCLr;tiDwK-30;f+ooJtc>G?(
zod*g_UVqh+`#!VfMb5d6CNfu}=Lc!EStqfq>|QwK+=4g#hyOK%xq`OkY`?hd_x>q-
zY0r0GSoJ<{vtn1uJnr%tcP<^w31#vt&{5Iu7A}b1E%L1YQ-lJ4T4>acHNF`^XXbEa
zceZ+~`OG}<MLo&w_Dml`(Q7w(WQ?{<GkSfEUtMnItIV4Q+ua|o6I5Qc`g7n5^CXu#
zKbMDQ+a>oLxtC)*uW6slwRPXt?)7%eU!>N?^y|Qt{~g`C7QOKf5qY#mI<#sDx3@=X
zxp9kk)zJiJ5$|-9zSpsG(u;nD)hg;d`Q-F!<8#HBXF^J?g&HktYKps7-JRT{+19!)
zt6cRlcewN<zi$CtyS}^;yLj}`M3I^+!kZMko`!O*d%bjHho0Dd&jadxtu7zKnAW{A
z)LPViR6poLWV+@;PTTW`ciOo5rIv;7HES_{^JzluhKx{-$$MgR561|Crdyxfd2(F%
z-nu7yF7_Ya6Z_U8|I1_6{BYZ?ymg>Wkfphr1_$mQ4ojOa6UAop=<TU`(*pwe;r~{%
z&HGsPbib^Fe17=9+pJ$cl|9`**TEjd;k)zf?Wy|hMTL#qZ{Ln7E}XYr`~Tf@uIAa>
z``PZEaTU)G|M&aZK|#<|?AwEh_tyRSez(~SL|ttb-V^)t{X+h)cMk3``kis1fxi?a
z(8xc#V)v)}%JOC)Tax2+p1yhd?dJS2{Z*B_Kiv=14+FX6aon0GZ%@^~-eh?8=khyt
zzk{duzTXr3Ge6f%?8Bc^^{+P@&fl*6fA81CInTrYNq<l50r5UQWX|3`zeetJVi$<Z
z{%+IH&G|QGGrjvz_H=*wqJIzGo~oY=q1gX`=92&O{k(Yi_SFC1eqTI(d+L9_Ul&i`
zp87v+kHyhjZ_VdEPkpQc^4-IutRUZ+OFsuW&{O^!$bpyb-aLJKs(yKm#i83%|KF;y
zICFdI|93w(&Z;)rb7$X@Z;kR>L7rVF|8>pXeXZa2$%Fl#WF`jE+?S*C<n6bMCvtS2
zf~k<tWl#6BA5gz$|4nyGe?vb=r=7vs+f)C`G2I7Qx<UT%n!Eeb%C7WZ2gz-fe+&wY
zBmIUThs|tJe3y~Ev-a$pb`_h)Z%@?+drE-f?ET~qv$kvh*DYKC3ae1dg4rNm*#pJw
z?e8b9EqTD0zkT;=&le!2`I9ajy*>56+vI}Y?b`o&)gNksJTB7?j!08Jbx?#Yx0nMm
zJpNI^wC&peYdemsZL8hT|FWj*xEm<C4drfE?7mxfB>DCK^Eay7k4{~hInSTvAxF~#
zHh~wShn%;*f9W;hq|EiQUDfI7Wo7B*Y30k+%(w4awQJY5>{Y*3o|^aWrK$1+N45#f
zmEAg@?nOVD$f&58Ke1&(xkCQ8L*HeWYCX2i_;=#D=h5$bzu);h@4oH#Ilu36RK)z5
z{r)hEocMpSUk3AzAKlMt=ku`e<NAB;CvEip>2f7Y^mo^LA6oIC@MC_V#Ny1D`Wp#}
z5{p6Fq#ev<<?q&CVZU(n@uT~57~($f_+hT!5N@OQZ|(QTX1DX|*ZuDAtt<Jo<Hz=M
z{p~h-|8hS(X6)~-&!1e_c&zmQM?1;ql`-%4pWJcm@uT~*Q(~SLetaJ$)c0{m+<s4s
zQ;#3TrwjRg+VSK1#Fj8Q@&D7<H+COCx__$Un<s@I^IZjgXU2S&KizcW_~S?S6WO^n
z<#+wJc$5G#zg<S>apA}HCl3b6iT}S9Y}k7I=zi&yGtNAIbbo8enMZ{m-&bj+ecExZ
z{@vHpkK$kad%UyyFUTIzV-|Aa|L3&^9)A2N-koFp%^x)jfA^mgi2JzXN4arJQC-c)
z_hB5)l`(%_>m76c_Un!KuKyKrJ?u7m|7^A0%zIz|TfAB#)@I%7{Yo*93uFI_KGf=e
z{cAnP_KKM6^{y{KK{!KPy)x#H^g~ze{_c9wxH*ptKj!ZcPp^zwe_!d%^1{3IYqSp8
zfjlezkfXo5Uc39kk;jkjXWCB-+*k6y@LK;;8@+#9e{O6$essTa-I`~GAJ?DWTUQzL
zr}|mkevmVKkJd<C|Lb0^^$H|7yQR=Z@84d3C*J<<`uCFpKtVNI<q9Z5jGKz&#Q&GM
z8%#NVbbqX12uMu1X`+qZzquU?tq(og_1{9$S-iiyUe|CB$Qsi&P`t)=JP_;euAi<1
z^33}rmhY7@f2`#X+RBOl|8~5f@A%RE&4zQH7Jkf+=Lye<`7Y0%wByX<NAbBL?w|xC
z<0{<WT|aq|#lgpq;$ua0ZS?-hb|vu6l`r{!QRHBjocMn}_YIxLukPnC`?TYSxn|E#
zlXbuQcNx5@jQPvGxbgVW{hf(A4+}r$NAr~0=>2=!v2fb)qx;tyo&kkyHP7YBm_ONE
zizXdEx__}_&y&KB?>z*rf=oE<7_#(P+hfj;_g>iPulw0`nAy&yx~p*3$C>LI;;IVQ
zJU5k?r@Xk}Soh;^GLoI)aVEEmQ%rX4kr3VX?1eC=zT55Ml#qFqhR5b9D}TPzz44oj
z<Xllni_4#t+il$4R#^3I{wDKrr&?dJ?f&H_imQU}Jx$C$uWx=y<gBFl@yhJrT??e7
zk1v^5rFZ_KYbIOPTT3GmcjLhH^Ai`Y=t|yX>)!S#S55Ehl$DizJV#&q#B6(hB4E$y
zBcj!PJV$@~#B6<jB0#4%aaO6ukwb}=H_~LeVyBlbOWswgQIcC`Cu{u1a+m$tBR<uA
zJg&(i*Z*FVxvRe9L7T06TT;G=ImoWxi(YJaej;E^UsB)IwAk%$`;yk#y0<N|Wc|Fx
za#!7LVb{I3?lt=+HQv4!>2tkswd|dZ&pXbC8y8%kr)(F0;(%>+-#SmD4HsT5T=$x9
zdGbV%;wg<szsY?3S;RK&M)8s7f`0?&=Q+pivOC!S_u9#T=}qT98|;66*J+-zbKu-=
z)^9SBUG0?-8RsW{etGV2D#)RweCuu$e{q}8z8WNX`sR+b^Ai_VOlqHLTdzOi`H29V
zvxjv+>cZvHzW-Szc>bmD%ooS)UI)Bb^(jK`S;Xv1Q=cxjnF9)$Gq3uKL1rAUw8%ey
zae0sBj)e0Q7fSH!ysr$M1`0X$up7lG8uxe(c!9z*AYm#fyk9YtSZ!N4A$XmSQ}@?@
z%eb$s{abkZ))c*<^pwYaAO$BG?to0UVHf+lXXokS6pc9k14-3=U$QIArh&{W<8^2T
zse8(><#uri^Mu~lAV+8)=$(<P@ub8}b>U4bkzLnnO&QGB`o8@9t&?%h+e*pV&rbw|
zux>avZ}IPS4A*Xhob_wt!FkHghI$R`-(+6?7Lu2{Rh*)6jQzt2kf>tAageFHbvf^T
zZuOmadG@ANEQ@XSi=CS+BK+^=`Tx(dzsuKuzC8cWgWvJI|6UoVZ(_e}H}PBRY_p5a
zhVwnNi!UFmzVP7X>pg5%*KJn3GESXv`JH3we9h*$+vTo&nQ3&S^65c~{<H3DqiuK-
zz0a@ivvH5uGreW)H<`pjUxC|iEDt?eEU*hiJr>yY*7DG!$pZ6k7PlPTt!T6TIfuer
zxi@brAJ2Kt(Y@XFM(TM%vG)}lZqHi$wRgAN8&DFuZd;LhUT}VX#fMw-l<n?+dC+U?
zUi0q5gSEEqHFeXwYOnomy=J@4ZNvR}%69wxk1|&G-Q!$rk#Syde!r{1m9le7e~Bby
zNj&`~Q#)-|7gu%Pk2^9)466Hn*jRQv-zFNc(vEe8&=KS6z8^I@oZH`8KKlGorQ}xe
zk>{;-F&mzDobUc)k$+xr{&uy8GfdsL|F}J=;0h=~cPGVmnY!2H^(TScv2RXKD<~y<
z8Hs^Xa_Y(%N#`$4jnz`SU3}zuxTZwzdBOSd%M+%6620KD<m|q8_w(C6o|va>_ul7d
zX?5R^J=&sgzsY>8jMuvL#`4kUe<5==J?}X0e>eN)JY~Cb*TMrJJGGB+-JTP$>i73=
zPCDD4zld5WQM|@-SN;8{o|_=OWrk`X%Po&efE+wuBxwdHgrYjQs{8CVX*unD>zTUp
z-TnKbi7RZ~Yx2H6T$y_|YSr)WlkIFk!Ti0u;PR}+vp=)D?npc@IRAND!L@nHcIUYt
z_Sm{_S7dy%;ajZvbA6^8dFKV^%m3bRYM!#4{l~<mw(d3e1P<ANykOX}6BGuH43pPb
z?)qFOali!>s0Iz!L81W+>)%*DD$bRA2MUs1Hg`5Z?>L_u7Xz|-`Q9Bl=LP3SGg*La
z_Gh_}bY5^izgWWLuX4+8zj9{10gBA%&leNU3(og{mfUIUUSk*9APFih*D_pwYx$_y
z*Kidm=5{27+$=uwJXKO6b1z$I^j;fgWl(4?sjEn=zOr_EH*;XjcH470S942q?QOQ(
zF4DW2`*oJiosFPk?d+jkP*G88AG788iGV9#4zpJG>HYn+@M2bf-s=AEhvh)B;cVdi
zw##_Kxp~UY7pHW(D&7w82xecrBT<&?Zm8VJBTm`DyH>wBaVP{-Osv)M0h#^gWxLku
zv%6O3ylDp&`d!m!R-{)q#jo0ZDtu-|_IbhjwA6<aK_zXO7&zl<ZMq=0fwxuWS%8T7
zTIYq*=My7$q~&^<&+|^QNZIOcK9AQ>2IR<+CCRHnrAL_6Hc(-5Zdvk95I4qZ+Rfq=
z4YBmZZMN<<F_T&&LD_$zq0bh+)+I81iw>KKr0z0b+mqOot8uC%|JKB&hu>uOY6Tgb
znWyZ$(UkXm&ev&1cW38$J8uU$s#MAfRQzXODmV?2H|l!)t$5nP2+^a4)qOu=-X?6%
z)hN;Yuf|`TQ##4$?!IdlH&S!Gwy!@PwBg!3W#>X0*6cTyMk2Q_Z9D+dZY%p4Y?`s*
z)vV2V@z+z6x@_Is4;_@K?&E81z6f&Z-@-i~Z?-wj-|{4JTd77#d$mH}^=sPh<vX4m
zeE^wJXvh2=WX5Bo!c(_&?>_81f5a0M+6PNyKoyjX*YP?K_l!>ts0uuD>WCVMd)7zi
z?azNU=U@72o_B0sn-{z++|ON2YK`y934E{ng|8`I-PZQqz1!AZex7K;dN8%%BB=N@
z6PE^gAarL${`rXucg$};3UVZSWkd?7h6rrPihdfhJ8ojL>a~RzYCoM|3c0B@HFcN2
z-0bG>*DhbIEehqkceD6OO_<1ojiBI6Wt0QOj$Yd1U7*McGP?!x%UxEBr1!gdj&5c$
zxmo;WTWpK$wS^P%Y`-Kde{1MHGjFMO_lCQ-rsOR>FPc2n)?Ix?(i%_#kU4qSrn+y=
z=ZiLHK-EmodH2-w@r#~X8i@#hGQ0$G{9^mKTel}IoN-fL|BdCYquNJeUk9AfwEvVa
zy;P%Qdu()v^|fUs+ilg^r-S@hTwDP1av*cehPb7>Zp*RH+5X&P|1_rKAj#7Wi$Na0
zTzMn){KSP8liTNlxaEI09Q$V1cy4;X?TuVnuDiMW-?v`{nOR%Ou<Qoc-PB#T_Zcxv
z2KjOJ@8m6@5b&<=+4THGfY0e;*}pBl?=Me(46aNsGThk?s<Y)9szC<lEjatluJPWq
zc@-OuTZ!ztUB@<OQ(0{J?d;-$3!sFg$Ikx7vg-Y*V`8A#-H-#0>^Bk(sUXdBnKxXS
zr~EJG#6gzVe_hINix(T*o42_8W)9EhXD`BjIhbjI$_3R0x2w+Wn!1mt1XOBNTkZhW
zGw+`-I1DP=x*yDX8{1`c*UP^D!75w#8ad$uo}gO8tRW0ktl2mH-8QFjmw%nWLA&a{
zAAfH3`+|zOUU_LyLDa2~c2n;%NLmuqr24VvM0?>knU9ql`AtEkmSRs4sK7MoSqKuW
zWAI;Fd)@a#6T>`E`R!YGXVYGH!vOPxv;V#T)j-aNEz-{m&Od$FBKy4H{P!vkJ3!UQ
z>=reU8Co5(ATvq@ZiDO%WdFQY?iJtn8O>E7M;eQkf~->(-TF=Dsb!B>b>AnMV;&$G
z>z3))_Pyr&?#H1I3W@HdJKI5amhaH-E<8VP@#kW}brHoSzpEB-Ob40kq3;KBnwNeQ
zNcAhFH(Q@~oX_sM04kWz|Gb#+HDG%3^S=?Ga(n*rq&85!GqLL>$YCq^uU?b6JA2yi
z08rq5clmJdR{7o8W^NzO%~Q6U-}P{1?qB18@5^!x!IjW-<sF&l1?R7KDFDTRd>5$l
zsj-teoCykf)vm+WWbRh@^*)*assV%!YOQYH_0dM^pjUODT_0!iJX?49<1L1u_U8MZ
z#7Ur<N%Szd4$|om1Vv7Tz~Nx-!+#_D=J+la53iZVV>x|qT+CM6BUfS%N7(ay{nKIK
zJ8`jz`oj&Tu2vyW-6xy6{@Q$Z@Ak-Gmf2qRZObF9RNk)9I5@?B&+=bC!{=L?%Juwp
zx#eKK_F8l4JiA-cFW!7ha*LO2p2IG8=8bmphT{9pk+rEjg?W_*x4&&${jSOFr=il(
zX-eX!Gwj`>f}cc5K6YPf>Z&(2vCbqa_=%U~yW?@$T>++t`fIO=EX-OW|9h>b(XIEj
z73sc$tJ;2jyf;fJWa@82lcbOPpDQ@ev5h;k_2>HCi@EGiDQ^?`_<ygsdhXTKyVAVA
zhcvUhe#FmfF#}N(TP|M{`S^dUxT&rE1;5}U|IOoiHZSY=pTAck-&gSe{Yt}wvy}er
z|L`c|Q~9Bt^<O{7|9K)`_iyw1{r@koulw+M|KFR}?SCCTUVkM!n9;BL*NIE%s;m~t
zCx5*-UOT;F!~M^<9}3NTdX6FQS$ce}s`75dhWei`V<aD)68Lv@dGS+~X;mNWYplX|
znQFEC|9E+)(XZFcKeo?5C(2{S_F;dGRd_{2WJmpf>4%5*6;}%_fBN|RPl1{*mmf?n
zE<9xvApbw0j4?_#QvPq#`!6+HzD!l!XLHJRvFhu`_F<tNkEgBauQRJJXY8x$%Dm=o
zfAx2Kz_I=-vz3*tjpf;O-+oOyX`y@OxFBE7`=?8o7aZ94;lcjjCF^>wzEb(~eg3(r
z?{q#th_45^&8nsTbM*W(QyV`%tpD{TvDEeP!T*0=9_Csv_{X~I_3@Rqm3RMsNWahd
z{Ns^%J?r;<zpFntbLS87`6qt8@lLPO`}adQa%sF(aPi0XnGdhb|NBDS{@?2TKYrf-
z_h_~JpEudhLj>z)N%z(N_%Qwc?-$~8%PT&fa9))3%}-yh`qSaRc3r3V_q-Nf>Uwj=
zo5h8V358~_Dq^DUUzHEF(R=&;UH?WKJ?1+TyD!`5Ew0iQ&$U_SZ}O>d%JHXWCFl2A
zRm6m!dN=j_<09s)b4TUk<1Dld?@8OeKg_8=Ir~qRp4sKYv-O#tBnkI-?_GQ-!*fyL
z#_dKuX>#J#+r=L;^uIp*OzF+@!j0u#T-!eHxM6L|db=X#&062XQF7N`g-cyiF|0m1
zkK1lbQ1#cJfzC$vE*yTndGXSd6=xqO<=0C1SH!%TD}F3lPQ2RO^}@-=Rs6l6j{V->
ze|MaDob;a2Uhm_M8`k#x=XcsCy>GJFv!Jk6Z;JuHer3#`=qc@>k$|%&4`<7X|9|%E
zA!uyj^QRA>uJ|vTJ)i-N)%>7wf!l8nGs}tp|Mug<gyTo|yUEK{O3u5qH`=h|^2tzn
z_T29e4Q=<>aou`&SXfTHy8PFNDaV)ojkPQJxZ}p{cZW;m#H-i;E@(b}^q#ccohOAG
zzc1sLwbA<)_w}JzfA`+&H7}NYJN2^s*oU55tNj~aO)i)%7dM~btnVRNIq~ZJ%EG?m
zNALORpZmOH&i!0{y$?HXR3Go|2I>F)NT|PiulXLZKYjJreb{m1_QRv0S?lNAdzRC)
z;JaMy(u6?6XO~a9$E&Z<KgJ{{Uj1G?NwL3suPkfCk;h5z&pPQmE42Jy+!}G{ank#y
zB$E$2ZtUJ5;awT?K334@apA`8dQC6C+*^9yr`T}Pt<~!}efkpEZ1?O_GEi-rX|wK&
zTC!Nb_TI!Z&k8q|JFyglB0}U~jNJ5pvi!+1{oQ-dCiXlp+_+t}hto#y+dJOmq#(VG
z;e7L3Q$up^ZRSrF>hIp|et5;x!ouyklBG6!@8r0XjrzNHi%&9WI)3!7W?j#d!ou%!
z`KQ|G-K~FoSVK;{T>Yovtm8-TM%f<=sBpE@l{~#NMn3cEPs3@)kKQ|37xHn(jp%t@
zktMG#sYkBWoN@MX(tBZckh^MMCFu2c@9n;1(0}~sz2khKA*GoQ672fB_a2tfSrELw
zrF?3_z3ty}gx>Y$eN9r|{eEVCk-58Jr)l24qj!Z|b^Bk>)9td76aQT9VmR~o(Lc$7
zJ`W2G|5u0vSH_r&uAFh~@ve05gC%m}pP#2Dne=z>E;cf3J60MTHotSDjo!br=Uqhm
zyX)JH1I|8vbpIgFl@B|9P45GZXxVlp+VpqVUzM!z{&`{LMm6U56)}ILr#CMA^W)L|
z4?H0T6=$cf@-~<+7iXTDY;4dY7xy((QsUg>r1w80+&=8M5iNY!Lr%Op+Nf~G@uT;4
zS<I@4d9zpIxS*VPwf@n<DaViA`&=mVxNzflCz<0b_g?yIs~X|(_Nwje(>x}Z55Jz5
z>&F&V5z}{H@VK7bbzfhZ-IX!nPYd5XEZq3LOs3aH@7ubQ2jV~pcv-SMD6u`daUPU#
zEcgPdmsVGMZ<uf^+v~^68xH*ItG9KP<UA?dSRP?z_HoA+dmGu=l`(JT${!X3<q7K>
zryeK0|1Gf%lo?HAZ&$|1cO2c=bNp*#gv{xcdy9VU_TJEOYjyRutA%S8v|qQqeaa&2
z(~cX}Dt)0gdf()FlTG_i|I9smL`qJ)y8q}#P<(GbV6<(O`TY&^Sam>!N3K~IDEaD1
zYv26rHg~s__l6lD^FnV%xPlya^=1SpIn2sg^RO`X+qdIUpv;w;EZ2YfXL;J<dB>05
zyM1#8$ZvCVbRHDWt^S=1$|&i-lLdQUFME?_CiWRLdfTgNvyT7BCh#z9<jonU9#?%$
zPnPQMuIJulIQRI`{X1{YIQaO{{XIEnKm)s_^`H^j^>)&mGh?>L-u}IK*72kJW&Z{q
ze*EbE<vIz_5GG>+DE8GGIBoR)nKBDk#{9W`O@4Ak%%9f`S(P#QemgA=Kdvf1bu?1y
zdhOLiGIJgm#?E^70Ho*b&K(CHKf3=lCI&P-7+4?ksPJRHQ+>>{!jJFM?D{_L_%*%%
zF=$xwgtKvfcm40r7Y;po)_vsqr^2S=NB4iL+45<}k8)di&=~ISiT%MK`=2K1_jlL3
z7j5i6URr3v@EbG;dG;71XfX5XBLz_UEiUXhR+_z~f`RYzjvwDG*nMsE{`sCbDh3*V
zeV(A*|2lbcOwQxNkMDi-wt<GwciESH*zu!$r+pd7Ha+p(6)}IT=Xc*VSyw&ZTW(Im
zdD}DBOZ9(WJoWg|{SOA95pr{-9*|pX{hg%yyX&i)EY3cD6z?jL4$2i`$0Fs#|C@<C
z1i3}JyP)G(Y4PTj9POY`i)m4l6aRlr3_RBSc@JpJ{r)Z`8BiHsE7%8eiyo^r$dLI>
zb8Yng-Tj;l8WAr4bHn%XuIiP32j%3%|7QhVIPrMbcfMr({@3@cC4YmIPj3T_&-VHs
zu9Ca%E*+Qy8lQEN0uAbh&us&F|LT%N<LWmn5AR>gvijzyTQv_$Q$(U)JS_cgWYe|Q
zM(^7-^~bvX-FrVz{@8c?>7RG3A3<qNm62!Z<;m~jw45}a7skq|Ep9q~^qyxN&*Q?4
z<?Hwl-+bq~e%@Qv2<Nv~@1CAxFz?d4m+w;ZBw}s!zVY!cn0@@{J!3~3klHYb^OZ5@
z>dPebD`MW{@;_4Tf4#U@A@1Xj8@tt-ZiBLK*8{$#;j``sHf_BUclS;X-y=QSJ$ZR@
zEd~9@ON)9RfJ(sEN)-nlC%ym0A@^y=jope(?lyXVtsfl}l@qV#uP&H+{OCQ|x)_jc
z%jMsiteZW1ZC%Xs!jJi_^5zvWe{MfJ?kgw$f7|bi6J1s@y;>(89eLJa@7E;h?p2%P
za=r@`eA#vD+0lnWV$(jyJw2Mnzw*e-(5Y=|TGNE1=d`E4shk)W<o)S_?_r}S?6o`G
z4;wvVui4>!xXS$N!ntj!d*1&lIO}aQd6{|D#^%ap;+K>4&uvP6z4gnHZetUjX?mJ&
zw!0^N^~%yXW+Nr$pYCojwe9F-OF^H7i7z9vB}`3p=FQ_+Jge>K<;*&s<%u6lR>>ch
zI9&AR>blG`!Fvzvk~x#I?B&<1Zxh&fyO*mkp5bx$(aV(@Y8N(C?6MJFoDpFYJF(MC
zO3eSY(TBD&pI^3?%<D5FY;yY_3)t?csIu*A?g`$TSy9+08$DmcrYl+9dYfH9h0Jk2
zDKY=!OOkDQyO$?l-q_c6^zt-|X_q!sR4MhbNnPVMkoLZr<Rq_}bIOgGw_CS=v*Gl%
zqnFih&UmcN`TRsV|C#T6bx))1=37p-iFl^TlU`rkbMMT($6xX)*sTxzvNLY8_`7l8
z^WTa(&gQY{wH7b8E`71~Y<uuEk-NKoT}bnt=x6`+(H31-^MuQHM3<SmPM9fo<bq0c
zSAwx}M^Sdy0^_^=T-jYEzjii1H+x;O|BBdA?(8nRqV9)FbzRGSWexXiTK3|YZC|Td
ze98L~)jrp2A}>oqt;C{(pUkq9JT8>omFKiM@aE^UHnWsGa!(x7$nM&4dqVHUYa)f)
zH`*VI2tIPRduK$t_eI~!OJmk-U)Hf(y=ddXSxWEX&K-4||J3X9-C(OQm77LK?(nSH
zlGd?(W<=^)f$YZ-xsSuj11C=|JU2_}oS)~h%IvOto|6j?PFwVQX>(mn$@y*e-V>X1
zbPrDQubH{=%G?*nDmPpSPO!h=uv~uH_o)Ua3O6iT-*V88NBg&z#G{rCufpFa+j83O
zeew6#k)29Bn_JoIUTzUvct`BtAMf<t;?>2M4nN#q`2X+Z`CtD$kI^`^ZO)9JFE-EX
zKPUFkzScwQ_0K0Mf>QfErEYw_sK#ISPcbv#yt=gQiWix`r`La-y#LL|ugC3wrk=lU
z_3yHO-H*fb|Gh9yUn?GM^WW%?yNOQnr>Eij{~YzdTlptiGyead{Hp4Y%*D$iqMCNA
z)(ibx{>{1e`)NBqr3$_858_Vdw|1{#|M}|p{r|t*_pW?xyCeRR{hWyvC*E7tchBFM
zpz5~8P_d+k-QD}2!5>!t-}#oeMdj}3{oMTF{pUUZ%U(~LzF_l{>xX|{v#Di@dw1~V
zzRxMAq@Ep|IVt|Nw*RxW|E1G^`%T{Y`v1Y5`!1(2{jM+E_ugdY(SP<aFRXoSeyZwy
zo2%yh!Bp?-T(#m`_a7GB&ool~YrAgB7uLQT*4LVoYvp|9cl>8w_sufu*PYs>;@5wj
zyS#QC``g;*Ckxhod{A}2@|VrcX6f4JCmYs&dysa&(kLn0_QeZp|F)c^?Aw2pMDB`y
zdGMp%<t5t}+h)8o_O-G;)I81Z@{;w=wiXxDXW6a(vS90Zlb0_G`2D`kR4eHF@*w17
z`7aM)i~riUyzay{nyyw)pTh3`OQM;7@^d!+cT+@K+5bG3|NAKWyW8)x)6J{*&r^@t
zcm8O+`0b#S9=9hC_q59{`(Ay?O7iJL^*084HGk!p@SDF#tlF=r+rIMr@&22McE47A
zol_IDuwC=_GXw5VAC=2y+!L`pbEhU|QTxlkQX6E_d=={s@O{2$-nw1%fz$c>A0JsV
zy`RQ(XNm9Ey6F+KvQ8ZT4l-re$3-<UOMJgR^9b(#e9>|CtG}x@ntU}AkKcH>=F8lt
z&v#}lZ-4n$Z<9<~h1|ZB_Mb0apL$<ZI9G02`B~NW$XWgGHy-}7>_p<T=a04CR^<1U
z{PEPwyIGu4He+)4?Qb%*2j+GA^;iE@ee$L5e&qWLtGNPaXZla-+k4VAR_*m3m9NkC
zoI3hH&v)Xv{pXJUKX&ft;{43@dPnqbt-mMpvbtQa>~`^z_x{BjkIz#sex#?*ce^;n
zsb)^MT6N!<HvQtlv-6aLA9lyYlobo*yqo)^ZdrV--uc7-ucr6yE?R%$aQxSq!M~Oo
z>&w^A6#Dj8yS%T!toq~Od;iO)e~sSrqx;@}`7cX1pX~m>`TKwN`j;jZAM3yT`#(W{
zu4>$$<M;lTU;lY}&kz2+|NkGIelAG=UwHY;f6elLzbxPX=bl3O{l_sJ55(nbA8q@s
z)v^EY3*qfw%^m;L{x7I{ul{b%zpMQ9AOG(E9rsdU``?{%e|m-a{(kr!U;lr%?em)t
z4l<r^=f5*~?xgN*`E~`OySWNJ&E~&z`Q53bo%wbJ=WA;fXYK!a;O&7)H8Q`SF>e<)
z{#1BkvKZgjcMqy`^0g1z|N4->J$Sj-hu!l3-j=;g;jDXpc>mqYIl2e)>wj#oo~G{g
zAzJ?5+j%M8oOPcM@4s7|qjxaB=EwGGCU>t7bNT<5`TwlotowU-|6OCdsHXVhLno{3
z-f@~uUGQW!{~cqSn5IV+7NOr3{D0Q}@9z9>CCOdv{Z*Gz<gb1{>HXll{gS^y|IY0V
zetPiXoH+$HAG1H(PWlz|N0WcorSzRYgyl+NZ$&lOKXSajY30u!%yK1vXHV>|Ki9l&
zW-sfH<u)&@thMF;&tQ+8o&J3B6!zHj=3<YE<x1ES{2AGn*BV@UyF>o}o7wfdYkd#e
z|9o~o?|ab4qvrq1szr`X$p81YOsUK9K2J~ey>8ndZ#I9(|My^d{okwo^<Rw3PySl}
z|Brm#dv*KYPp|KPUiW4CyR_f0*Z+Hz|NqnKc|Y#|eIkEnWpX^{yy<`PepuIP?mKxe
zzDZnlzp&oJn|rH2=TG9FvS4+3V5(<X|DTzk=U>dt-)sJKF|(Y`!OfEn71U4qdwKr<
z2kYy<IHylz*RKwnQETHY`Q>W5-(2>~w(mA==r{R(@5@Z%tIfaspVnSKJNv=G7ydT8
zBp)pctv0wS%#mBYZ=<!T=9cX$_IKWgKVNr#-|v}g&dxD>m!+wEUQECAA4l<GAHD2N
z^Y-`E8XlI?fBTdBc5!fIj9ApU7fdmWsv=V@r?SovPb`eI{rbmn$>|V%Z}oI1`z`95
zcj(Nn`e$@ebk%gz$(OJ2hiCpz-TNeDXW^>pM<-oo;PFi^j4ZMc{{2wu>glS*&)Hj^
zFMHa$S?G~c{)t11Hx4betzVleU%Wr0O!{2rb=hmXj(E=v{<}fF_2|~0p$AG=UH=x&
zuzP0p(oOpd!kElg#F>fP)?3t=+t#;kUn`#%wD-{Nt5@ASY;XN}sw0#3F7vf`%=Z~l
z((w~p)s|h`Ha$JDo{RH!>t=0c_Zd;^XUj@TNUA07&6&6Su}1Lg(9b%uzh#83bw8_R
z>oeRMXPj@mp<(6MNjGOUKK5A~er6vJPkPqg1im8|&s?e6`=<M`+UxCcdcPlMBxdc6
z`gW{P+0<O-e_6zl!$t-B=c`7&nv$L%XPK{DH@#7KN$TRd$&J!2qCf9$IzJ~|G9xu>
zuU}l+1GU7b#>F#_6i!-sHdM&n-H20rx%*>_qgPI4PJS&pGw}>dy>*}2vM|5=qZ|8I
zPQ9#LI43RZ=@#WaR-d(V;!YfqTo&f%pPIx{>}zQ|zjL$C+Bx%1ANiocslEL2V~gWg
zPQAQh(YAcjh9WC9;pa1>EM?!$t5lij()u)sho58lsZ&2CPH`?z^QxcM$-N}icyW39
zBZkDIJ}<J33n#AJ`u6I~8}2s7mw#^diAl<Ox<y;qe8#Oa%V+L5b0y8L^mzA%pjC6`
zojf4=B<j%8bMi@wj!Q!fV`p`)UXyuri=r_1jZW=lvyBUTSBhTtT{mk%(5e~hPP$kJ
zYcE@Ev~hxu&eE=zOElIQZ%wK?*8JBnddHcW0!NdKZco!Xx;?m{SvE#<-_)kojV^wo
zZLKdWJT$_@zNIagyCr6sh=0!`wamyvOKtO6`W5bK1$SjHdXbQ|bjK-Mi=9oUz8rC1
z{zxqN^~`>+ql{(Gygnwg&-Ga=Vqdgj{(*pHBIQdTngweg?Y{)(O4$1ymR%OsBfsn+
z!%mJ<B9F~8cbvY`^>MXH$fi2&W5JgS`d5lRw)%c%=JT$PPfYHphp%t(o@;-=NiEDL
ze)&Vj>?p(9nQel8N*z{l+?PIfB&<4YYA<{JL;-JzPy6zRikXpz-aWGAl|8blvFqgw
z6Pu*0qa`6yd7HNJF3YSqbEWGgbGUDS<chm;)7sR1*8bHiKR;)IQGnszc}>fG*3O9Y
zI;dMTS#rgUbsUSkSBhRvO}wKb{MX@VNu|VHpS3gec$4jewU@ostT=Y1>!oSAS8~?T
zEyk?pXGR&m^*HG9Y*x`O(;jh_BF^bn`}+kix(HnT_*b&R#~}2uv~fW%&o_mmUy8Wm
zCS9IZu(H^YRhc8BS>&>A;vSG2izSpJZ+E@iSF$H9>*y9kS$0mXW|7NXC3_OHj+SIt
zeVZ)(S;6$}zUJ*m3OJ`*{g*MH8KHT1c3=6L%%vs%R&D}8Y?@1-zN|QZ<=I9THZegE
zSJ>EK%YNOMS3TzsIF&u~dZ}05dg6-L%X1Q9n;wRFy|-MEkhS#7x@Omum#dcbc0S}h
z7-B0e>TLb;Yr@fzI;pma(^O+#o$)>_WHg&E%;&nR;hdGCmrVn8l)T#xcD=M<SDq1N
zxVFq-(n`_G*Yjqq6uq3sF8k!4X@ue0f`FU$g25nX@~}s4zxwedpWDV|S3j-f<C}0$
zZq1B!y-6n7QHFn)TR7;wJ?NFWv)K^jixthyIa{V)`owW;)3T|T>YD=BTz@ugev{U<
zEl#%{th;zZH{N=$Zr^g2ptql=S*)8Znc3m=ON;gDl2p#!-Mu0@O3t<}im|i0q?Uzw
zOka|u60E&s_hAWloyi3&Klc}QN0_-t+R7cPxVFWp%A|*n>6Ad~mk0^r8Bvn8^PBjb
zP6@P@WUvU&j8d$9zD}G&)461in5$vu%F+X3E*DR-`Dn&UxatOLFa3OXFVoE9?HWrr
z2L~S43HRE6fKe^XtGv~4X6MvP=QnET3Od`q6_$LVG~rdG`EK)?OCO$dWtMX-n_%a?
z<4WbMCa*`|pL1o#YArL`>ST4Yb;_MuyKs-)K?c1mMK7tplj<z^wlip#anDPiwNu`4
zES?17{xV=So)M+FcYc#|%arp|FJ%UvNy%DT;w8c!v483%cFh?_uXw$*{mzw`CHrn=
z;F+{6+4m;{dr}^+opR3Wu*|YBuk<B}hSK>*OVXsC8Es9nvgPeQaVTVV-bn}Zhau7P
zW_Q{?*|R^uFMUapTxR5<ywfWqTq1rtS+4bUwGGx@E*!jZ#)eO=MYksP{q$KoN6yQg
zd8Y6F0KeInHa4uBdb#;!gwHkZc#Y+y@0j{lPQ84KC&XxL(XBasuHeG*fNqiSPbW*+
zr}LzOwU;YjF6{UkZD~8FPc_ABa=}Vt7WSJBn%Yy>Enkz_n%c5kJb`)H48QXSf|iB(
zEKhq7;HA`|weI{8<EE8a&r_1(qWmmAM+Z*KJ9DVF&gO+)=4J!^!WCZoS2vh1NsN4C
znZ4sm<eavPooUl&y}I{OVw&;RZME0GY8Ni>_APx~XBB3!m8tId(}tCzAGS$^8Es{%
z(`xft7It91WyH}dTt6O4SVis^{SeL<X1tZ@-=%|VT~2xVmaevGGxb^9u)q9j=ai|l
zUR|3~vL-d_vy8Uv+|J<4l`HdN&mXW_7ItWT>VvXJyeqDRY}ZRounpE;n)|MG?v4Zl
z{(tFdk1CZOKHFIztEP3La`vlhFL`nlbZ5W1wvtE2XU;Kidl4O@4HH*Rz5H1+;>?v(
zFW>UyBxODQ62|7X(Ky^MJT1XESbMqlos}jZw?${kt@b&6Kx|o<-|`(<PP1;l-Ikt^
z{U~L{!=Q8nH^B>M^inEhZbw}1%5;@!=hRB8v{37N>$7%7U)N)W*V~eQ=`dT*h%$Uz
z<OnXbn5{vDR)Meb3@z>G#}$qSp&@0*1O1}RdicAO#WQa@#K|2OYU;|Ya#t%e-nwnC
zu5fqc?XJvnwr>VolYU)kowFdREBdza#S>S$US9LjQCu_Q(X~4zZ&I_4miSpsoAmNZ
z$o6+jljVc8m&v}<oqOkyPwDDo2kVxF`K^}eNy>U!lEK41Bg(S&`GU!J+<Z!3udHrQ
z&U*T#(28$!o%V9wnHEQ`oXX6VX%~tYGdOIkFRahG-0D`hQJ|mO?J4W$?NE1%GJCq(
z{hd^I&o<3?Uq5%@VD05w-yP(9x~yia3a|T&TXQO<jtQLi`I(h1)n~M|XqPH)`-zH~
zpROJ=kx9;aT2d=D&0y=zdP|FQSJM7JopkKVsmxlbSu>+7V`Y!#Udw-4;w%+4Bg!&%
zdYhlwy;CncB>W7v7FpeieYfFbnBQxeEk;`(UOD*oNbA~}5osxpL?4D6&)!viTU~ed
zuh3W-b<fkw>P$8Jte?oGyb6E(*upihep#I<$J{6D{x7T%b(hYLGF)4t@3-t)Tz~gt
zli=*_*>|KFgSD4c8y7CKugpE8vqqmWTvqIQu|4;e4cE_|x%8``{>`$g&6RKZg(V{&
zX5{brKKFCn&1Vd&Z14Zuac1V+p4glB|5Ys5tlDtb@LEUTInf0_AG=lA%RS2BP4L(G
zclLOd*J`F8hx;d8J?_gGQt4Cl{`IoG`X}-^9`w&G_%#3jr~QB4u-neJvOm^2r|zN2
z&jrs@->t8@H}&n$w+r`Lek@p3bMNzZnd(`$CN;m_cqabumMf97oBw}U%g=84y7+_q
z{;!EMH*2#0{}wIJS7P?;LG?SyKfm+m9(*SB=5(QdsNH+ZtNUHD&ugA!e>SD;`D3>?
z(ern`zQ**%^WU}Qspr~i?!5lL)}P(#IoE|BZ)3jy`MmLWxcytpR@<K$r+;Q}lpOG5
z{qQ?ayzXmod7kE>rxAyqzdL2duK!!TChnPx{(;s1?#q2QT5i}dtN-DvzZDxU3mjVp
zV*MyyzKLUc70=IOPv!~VpVk~~dEZa&MTqFbnn#j#*CnG{v^V~Hcw_yZJ@;R~d1P}%
zZO$2m<-0h<lkWff!JY0Ss8`psCayJ`=|^+Ea`d&&;#$ws>$z{9-&b;u<%9dpXVNmy
zF5fF&sd;E8zx(xoo6~LUML+usnf<lOjXQPd>k)M(tIT;{9ys){pPthlIYT~8e68G1
znSwdzbgDiWJJ?=*&04*}{@JCO?&<r)|6cIwUtj(r{cpgzxF3H%+{?6|v!rUTyZy`d
zg>PEpH=FP0nX{;BuXj94+a~e4v+w@I+HVQmdvE#u9na6liJSlayxG#i_iNR1yUER5
zuh!pNwfj>++pDi}wyPMNg|BPOpZPF$Zfo-rtsJ-V60vWaubtKV8^m{R)3vi^f1UW&
zU7CKjv~A~%=Hf50^?ODBN$g%3qrW4((!ziC_21XKZO$lj2Y(JbG0&$bE%9@Rv2glL
z-Pn^>^Ev}l%G2&~Wu7@=xh!m5+3u`Ob;kLvKHZOPg0(|~A4!}I3*@?bv?L}WEA`c$
z-E-1cYq-90veGl!Dzw#xxm{@fzS+GGf9UK{TWw#uM~%DM^YpW=6E45p;Sy!GzIBe5
zyL#cBJz_yq`%e3;)#>#;uCa;5czvsn$8ph3WhU!ed0dzskM0pQvXc#uyllnUS8TrJ
z&5^5{x0S>sXPLfTvRZA$jO$Lbk}}e>j(!Q?;bjs19OdDvn0F-iVbJp}?CmGgq7J2=
zyWpgwTrKhWnn$c+-lk^lqm#KV9^hLia$Rgu^UA4@UjLLhd&TQxZ_S;gtff0_<+lrS
ze|pAv^rpo<fv&5UE*HL0Je+w?N>krOJ@e)s(@*;te2*A^zII}T)19=er4=cPe8LiE
zqLrt%?hy80a$}EaP}|`a*3Xwt+*7)f>Z^UU*EK`wdP8OAlN!NyhFgPb)^Q|*nn@p3
zcbvbHRq4ygr+)94*V$<0WbY3RE2lm(RLw}pI=W>s2mj0{!@Yqm7eR%(&Ozm6W~NJo
z?j-&V^T`)`Xc(-$?0R>>Y#X6VdF97GPD{v=Ye{`5b@0j_bE9=E{vM~F?Vb2a=#JW&
z8Q<OBi9FN{)?T)~yP$nT(mm<ONABXm+RM8C?(ohNes*-?^rJ7*vYwVql;3{RB|lE4
zGO#DzXYCw2{bPyC!u)o3eVns$>gDA}GZM07H#}3?laM95G1*n(a7?gYzv@HPojcBU
zD=(Ee+ZJtD8{ZSYCiCc*Ttm0Xl}Gnj6z$<yA9=a!WxB-VA6)Y)A6e;fzvoz5b?s`T
zhI-#jad$DXN4%D~pC6t0<0PZFWQMrAn8+hgn~VQb;f#p1IGLGo9pyf2r}!18XDti!
znlAbXRMYadN}T<*cFMhT$1mta@3^vae*e-Ik<X7#TymOXyftXok-Z-`8HanxKU>_i
za_Xh(pBV}(W{A66eKzb_S-K~GXS&}r(a(=g98qX9-kM~k*6}pO%v8V6q}8BlrRZg6
zMIGNibsd%4_N#Nh=Ujg4(>k3i!pF~cD+f1Act)A&_xbQ8@kFkfA@076C2;1{iprVd
z?)$o}pRlFelioSAClKWKDx*Gu(`Txc%_kYoT6xyjzD3RG#<RT>wYJCmtex@h!{Sb!
zZI$^;R9iOs<d#J!$|O#c_Bp&FDeLH$ebQ-v!+hjh0?%GyyKFFP#`9CB-Wp`hxV6Uj
zaKx!AT`ym895dXSw9BZ;FeP`@qq~t3T;5x*+??53d9kJ4*6Y+NojX1?K1=5G6sSLP
zaXEcva#QR|iTM@hq{`f_M9YQm>KUJ}`@TGhDOmeKb#S5j#7#z@D|VYo3eSu>|Hs4V
zok~zxnq1y{pQ9?v!VZ0RFEj@!Xvj)t+3K^lWqtpHxJSGz&e+V05_Oaf)_$n1v}1CG
zu!b@J@^fm*M!~bsMjFkU5yfdQbW~(n*rC~rB2HcL`mx$znbB6Ee@Z<Y&zQD;@iAIv
zxHah3v>wCG^8!8_i#B;DDLq^kW_e9@&W0p8&$r%3OD=7yRJuB&%g|@-oP9jWY{A;g
z&)<y`zI5jE3SPl8DOpdy#7ekxYA<`V(Y7hlXYHK4-UXT;m&K;V$=nn>n6oU*Z~KlV
zhFgn%+4We0OyEuCNj$p8^3trXojz;lY+I5f3FZnNwOJPC$G>~dCgX5F|0Rp&t(<!K
zS%^;R<F#|l+zscioO=0jppJLUjai$1%(T$rSboYYTIOqu=;voAu5gG=n09uya<Ec_
z<Fnw;&rb9jh#76&c31A0k<s+o;hf)GHg>F>dRaEGCpGKo7UQ0yK5OTcwG^6vyceD(
zCm`36#2Ku;ynT_ysb6d7yz5)kxN_>{w}CQ>i*vu7-W@Y#MwI2<m#c1eXfEHZ6mjgz
zsh4Ls`h-rMeN`09ae8Ky<y`?M)7RUJcImf>`mCMP$N$LVQP;se4Ig#d5?g|0->#DY
zxh>_6%ptE!n`ZX*w{Ga^*|yWgtZjo<VdCnCLC?P%OzZr*$6qDcG&{<FkE-N%(8xh@
zbHw2*T`ylKyb}sJ)2&?X9C7+e*UNbV5N^A3#PKU#nXv-jIkcV~o%o958Ys&sHT`~K
zmJ}y*Q|Kt$vM?X>ou_4urp^|3zqTw%S=!*}7x{-A!rDv258pU4E6i*Cj?F=jsw>j=
zxTPmpEDUL1ZN&UF#n0${Z%$v5apuiE=9>(=w32pI=BM~-Z8O*!w985~`K0WLJr+Tt
z2g8<y`5bpMnAVUMClfibE7xc3-?({QT1>Ml3zrx*f!euz{SPD@kB02<Yu(tqQuOjQ
zgT4)Q+RK!cZ+He6imtnVG*&Il%fD@7x9Qp`^9sbHSWJQ++?w5U*Jte%Gqq$(>Aa&Q
z*+!to?JiZx(<dH<$i}}<U^y7V8~=JiQ$iM3%<f4SJ#>s&*WEv8<kYn?|E<rlxT0Ij
z_H8}<)<p5>mF;0aK!Zh>TI<%ROkSy3u$MEzK3IF{dgh38yVlN=^*YA5EbKC$%Hpn-
zQ!h22v;g(P>%N;g<SEJT`z~`taaowxefEN$uhE9F!iN%<h53ZH7EI}#krXBAA{?x}
zOu5<Ol-n5_RgQ-o!LygMD9U)(aG$@^Shg%#<zR^I>T?d(AJ2qr*!H7v%9b3hh}nNv
zD9)S7b<In^&v0wet~=d}*W^AenJ0dHW|ZaJ$485nh54;lUpRB+)XU#gLJYSSS*>Bw
z^;tV7Y{~<>*W07^9qJbLSvybv^CP*$qf<6KtGamV%Bhz*;l2@us%s{@TAaLcDl=Q~
z-DJ*-Q#Nd?D(qT0_43&`8-;Sg;6t|_xk?9XFQ0wKSiQXV?YAZgEzae)UjH<FquMuH
z=vtM&-{fh&oY`x=rFM4C5V~fie-6~9-o*k+&~pWjL@o>SJI)&DD`T#@W^UaaP%C}6
zeCU&BnO+gqe=W{mNh^G{iZgRr%heahSwY$RXP~c#vFe)1o;yxlu}X5ER4`{ll2?TL
z<buwXXN^~?$fW)a^NXHb0P<&Q-JRsDr(3RdYx}I7<M(AzSHUXvI_tK;6Av#2J>P!O
zgU8%-%|gc*Ro>ZB*LE|63559YW=|5oJ|oI-?gPJ$C6|}AZN1Z9wk*tN`mc?1=I%`T
zwNB#t%qYX!;2u#H(cr^bXAbi&3-h^cW8snaTS%|C?0KT-&Tqb**@1fCwgq?b#wOVq
z&3hBu`Id!sZGXOS)=JSf+sYkBuXr6^XLCorTr&94uHXj0Wno?U;twodZx7mI$-jN(
ztuxyyEvEfA)Nu1|uHW$tquW`hZ(qLX(RO*t4ymHT_ANPHG2TUmJu5{Y|J(^0Lo2M+
z>zmwt;L46~&mYJIYagpFHt4HJ%ZkY^DxAMk^zqeqGbevEGF?||S#jV>S79<=n8DVf
zU54WPpkB}X?yO~De&?S)6b#m0p8n}W1E`-qyZtz5bhFG-Reb--LRsksb)U6cWMmtD
z=j@q!nRjOeC}Hf1u~AqZE?R!fpsirlt>|~F6jT@XEKT04$SvDxswew0H*&|c7vCI$
zA7`C)4+nSBGfy5re5CreL-6COh=#mnVTay}Kd5_Dm9$`Gq3o%{#>>JE@$a~98l1ge
zUgSaLqhmpbm!8W{WEIwa!2bC|lj+(9`LoWxkCs|qn6ks~$z#=E?FVOnuJGGq&ugN(
zF1fPe=#@0fhki#oJNQgg*LnY}ID3Wb$I+TADoR!trtDbv>@jb!_Ji<G7tgdsOV&m=
zZ1-6^VV~xKjYpPqJgdBVL8r%;<&Mp|TQbK#964RJK(lbK#sTX~n=}h;iyf8wW(!^a
z%(Ms8sw`z(#i_mQ$k#0l&cAOST6%6W(;AgEGlZ_cQ<`GDHEGvs4(%H=wU<kG1suF`
z>ZKJp>#Y0gDgi3T=O#gvUP|Ff&w5(oX%x0uFxbx>)Z&<W`IJDInw~k^ETQWY8QehQ
zC?XEL!P?7tPp(MGdRkIwB&RZs@66<jrwc;_{iaUkE;O0+^2(GQtDGfVqs&y-ZB#T7
zKJU|+UC*$1X4LI_(gzBSZY?{qRlfmLh4%40w2;gVezeN>P{gt@KXtW*GXwIxVzfU6
z9KCYt<tCe+^uJ+#({~5U1#2(&{#-Dpa@`!iv#uc9Cl_y=v~ucY&7BgU!EKlC4}8|n
zSyyZg^2{+Co0Pv{e&tUSn1Z#JTi*$dcy#ERO>@1xyXnIa_usKHU!Uyp?aWT+Q=2r+
zw=;Y9ZKK4aQ+8Z?mM9dgy*&F)oN$;=@9MkFQOm9w?|*erxrpD$baI*es~J(2dtV)9
zHkv-${pRJ#2A~|A`7R7pHG6u_ICSOI%NCV2pawvO;WAJlh4d7jF@3satHk9QQI@uW
zJyJ#ThPxLiADwaT%Bjrm)oRAwk+bD=j`4sh`W@->ew=Dp`7Nevaa+Nv$EHTiqAkIj
zGiC@J^0~Ar(zJ(vVe5vZWuLO`&VYtty7-TGraxoK=1VXO)?R*`x#GZ;TW?nhJTut(
zt=fmfv_&-d$*yMyV?YIJ#fGj8NnJ7LYi1n3aw>B=zxK_U+RHaj3OIk|)XR|RMTfdp
z7U}vNs#zB1_gp<ubY`T_)NF4dF;EV)<jg)%leH@5Yn=`#me<Lz7P{YRI!*rcA&WyR
zt?E>`uX~<ecFOc}zw46J;HR_R9k<vNXPCO~9fO$h)}mh~4ed{)3{%%h*6Ac>JuR6f
zulwfA)XVD_q(Gx9LJr1~xxvq*o*fNZ7IyvA-VC+Hxu;@G<8(l!;i+yhr=6O`cXf|}
zYJ`08WTw~Ki>z*SgIg3u=RqmJu4wu>P}5)Im=-ATc8W6wYcFS?Y|yvH|LPX$!XEWG
ztJ1GO&QO1xdMf5>+!{6A*+$nR^yLK4`^;Q@sM{3O;t_kSm>q3d`}|<kvM|5<RUam7
zNIDh6-@OquSor&Bg-e8C>bi3b*NnFo-4Z;ITqJLpx~})+jRRMnHHI0<P1<>9%1$$t
zWaVJ(<*z4QJagsL%Si%ehFgoG<PU*d6wkH+lsLp0d(ziwF8}=J1}JgJl!tYziEy0B
zeX`!5F=5r*dry<R*lvgHoYuZ!s!7hOnA1)Y?heMG>y9esBxfBhSt0%yH1PgLVB2@^
znsq6wV)l0mw}=Ek$>L97&%U+B@9xbhcPf1+zK&wBo*AV%SMrcEXh^knL-)$5mn7F^
zf|TwOJ&?ET8sl!s16fX8nnk^zllg<Sm(IS!**|w@P}F00<zVflSKrmn=G`7-W#6*b
zXYG`8+=-@<b6<7JpFQN#v~phg<m&6{d(A@Eh0DEB)}5_&oxA*y^5&H*i(++;)h!G2
z(HBoPjWnL2b$x1*OhVStFKLFyKmium)9kZ$&b@;4;G+LVq3eDr$$&=I@&x@h)M+nY
z-BmDS<yqM^61pi{d?jBmW%mWe<o5-wF?W{rY~94+J|pUQb!+uAP+89EX>kfP0w$!k
zsZM+OTshDX*_Ok$#YNYzFB4Bs5_%XC9XF#>Iwi~~bxmIRV&^Ec)HTcP)__t|glL_K
z@z$Ase<eUE{g%oWP?EOd?C(sMKNaC=0V)7jsn{s3nPGHImp^J|l;z%+2bB+XX(mto
zT?i^xzT4TTfh5;5%>hk#EoSh)(V@9Kz3ZY|p3}0PuhJDR%Zh@JTE+HUUX$6n^z7aP
zGolRl2J{&Fter8heEHHN<!op8-sGueaV}f6cW&pmBlCY;Js35=HM%oYM|PEAWQNa?
zlnE=7u8Ka)fmA+a+nG9@O;3bu%oBMa6RiE<I=6w{$7djctnPw&D@8wex=PINc%cw{
zbXIr^x6j&!^O}cRTwK^^8eMyp5|ffunwx4AC9Ei&aw=l7^Nu4|xGG{fbl2SH`f-v$
z&v+}-J*flA%fb#UZ!KtDDf(fhVvm1H$pp>hy&oTH1#3TCUlVcoShQm8+ry^I!o0HY
zvN|V8o__Ns+bCeV$BvsX!c!MaoU>gsd99b5b>__}8^ctROS2Az{(pG<<SnKj;wo8c
zw;29SahbaLD&rr?%m~XUNeA~f-8XUeay}n!97^@8<Pa9RF4gQK`f3aR_8SL9HwVA7
znN{&$B4t&;`KA{sSx47ccZ3-k&d|E@S|QJPYtl8*Bb-Hrbw6Tj<=+`>O)9(7F8t(?
zN$84j$2+N6N8c>1e%863d4{`Fzp>%Wgk`~(4*OgYKI0>rwVVCB$LVEUTdy{I9x)DX
zje2&lcG<OU(l!>3$4-YVoL2RrVP&aR%!`9k%gknoJyr~UU9#iS+!HgS4C~$<RbCd>
zvwLsFp<ip~=zV%%7_7aV`;I~4(U67ncCw%M*z?av^wsr`GZrLu1uUNQVT$S68GSy7
zgpKCURJwA7VHc=DCUYRTNZi16#jKPP<E=?ib9;_=ey{%g=A)n5G6A2`4c5knJ#)5e
zI@xkPlFYm*vQTb^xO(Fqk%e+>A3^h1za7^kX4!t!WZaXGW&3#-gW4wJaG&MspmxNv
z;K_!KcYgZ_u2Sv@_sN~M)X_&_LG6#$dnuqPxe_lUHK9vBf?2cq^JhdE-hFl0^H7(j
z6R-E7=w)Gk+IOy6fl5#7hH{^^bI$RAJ+_&t0+c8IFsKRml^*zZ#BgfCs>fT6`?xM`
z(sVNYX<%|$Os|yh=Z0w;lDYzN?WP!SEvmW{yL!X6sh1Dig&2TJxdT!lOH6+o^sL-^
z|M;D4oZ8EjjI4Vef;xTvPQp9idha+hQ}mdX(d}8sZzu;DHp#{q%1!Gsee<B};~xVz
zqpe9c`aPXKYbEA;JE{h2A4?DV&~hjBOxPZ~o<N_q66bjztGwQxWTVq{(Pyp1em~dj
zq_g*@M9ZZnNga$}f8wa7#le&I^p{<e;gZzNCAHFy+KmTwJld8g=>%&Z6J2THsmD}2
zKZAc!*GkdHs~xWhoj-Hc+OQ#OSy<0;mJ43TPR}U2-YvB(?0R1H#%U|3Ubc?w0aZMg
zyE}c>&WYnrvI*8+9^O;|>H&xCEC$UGO>eF^bS&C(?t^=WXGB@b&h1eL6;CVQDH$3U
z+9qd(>~CA1@^RI?*q&sogCV-v{mBxUH>Rw(=NjPccYDf;doCAT-vumlDK%j&^;tW^
zkM}WWr05Kxt2ae_(z1?zDdCEm8D(fYsY%mk?F_S}3A(}B%eE%^fTk>xBqpC=P4f!L
z4>s)OnXVaF%PxG<_gKh^drOk6vZFL>r*?I=7zZz$B?4+ic+Gb)=;YlVRCT#~rq9|b
zao-<_Naroxa<4rSRI-0vI5p?#*4w3#GaL=B#$8WKl+V05WkuL8gV|ehyh5~VDx74@
zRaZ&Jok`3(y5;WNP!6qSE~R!IJ3)hbKF6$@x>g4E@+8OwYcG4=6mj}ojG?TQgKe<(
zviE-?ydw-vR|ztnNzXc3Qo)eTslCi?>+OR~%dQFDewko9)7Q86m6Hhf%qUA+`J+*r
z(hN*jT@KU%wPQj=CeMhn%<WDx+-c+6yNbnMSpM(q-3OX=&Zx|ImAU`4ld_~<@FJ@T
zZK_YU_;zO1OZf?&_UT+@%F7EXX1gD$Nah4Dy7e>xG-_xqGe@O4*DFN*(uSUuqL;<K
zpW%G6%;oCbo~J%*XW03=$-ds6RHe}*>a%u+T*m{IoiAq#U7Z=SCIu7$TGtG>@_j$Z
z5oWlxuiTeQ&2Vc{m7(bBnNfzh-mc;xjiz0uplSHNN1BmyvM#f4Hs~%`rThN8ll8+Z
zQ&z0o5e^Pay`8$kVLqL&nzA*6wU<A?3u;GpW>s*3S`kqboAQd34OCYdYTh_-<y2-V
z*Stw9?@U=CrF`SWl~XVCrkgAa^ZV|yq0>iunM-I-sn41jWva=>pk)P<dZN;1Rf<e#
z()C$8W7_T+n;wRR<*6rY2W#uhJvqZgO)Pkk)ZC_A(7;_$_=HZ;`pp|;Z}D#y-hVCY
z+E&*U9%}+t-d5jqVP?d_p!MSEiT;l?`OQRE70GW?pSP*ad#-Za7F8p&8zC#iST;7V
zocjKkf}h$f+Z!P(&b4lsymIP$V?~?Ptf#+oICjs7`e`S7Xo<@Sw%J@)UG2+^w|@F-
z$N5@VQ94H}WOmby$tSkAdCzrPldQh3CZA`~MANl3`5Z~I(gsg|dvR3HjQY7x<j}4o
zoS7Rm17yE}=HTwL|GhE6f|uWnbyb@Ex6OIli&-P4|BzaJ(@yrpp*cq)Z@iiJE;Z5a
z<LxWU`+gTT%-OcmU-t82v%-nSH?Az_`&l?)<<v{ebtS6zcCyX>b@hz`pW)UZE8`Bc
zq?s%8ZG8?UCe8dgE7Z<!;zqNl>mwaaHZBvrB<VOuDLMC5H2<W6mX)HHetTvlPt#sH
z-Pz*Q6|a{^1=Kd@X)oQ~Y;o+0*Gs+cXJ$V2dihVG4>V$H-tpCE?UZ{wpaF_YuNAMT
z>1Ca@`&%paOvp!c>h{PL>fzf~=HKO5*t#X>RrFiM65;bcwX5}5)n`QAo;RgQ@6f6C
z{7BY|r>=BmCJV&2hz8%U5;^3#EX+rKSGr$>;n%f-a-fDr$qe@MCw!kA=I7k?U1C|7
zUwPF<SGA(x`%<qCW)?Nh{B^DV=t>izP@n&d=`+GK|5mR_=ha?v*VW>*U$kOvREt&G
z%t)<@g1(iamjdOksM#qP^f$jtPn2s6Y5%p3Ta)o<$o4q#hc=lvL$=3>Eu6Me^wLAQ
zn1rm>Er*(|nr5!dll}TgDfsnF^UA_m4N0q_KR1I~5-)AagA~P=ugufsePk4@y~O(t
zHz?@1>tYhKTEFb6W>;D><JGm50_%*nI#n69@V1Bs-@WzpsOhpWpYn>0^XBd>vbxe<
z25M&S)Rr~7cPi6a;5w*p|HkiVr+3un2OmpizP1F*t`9FfqrPUwtFWc~<{qb)?J_;L
zcjKh$eJ!Ww2-@YS6tC5+EB#eCSvJP--G^jb>m5lpmq5c7J?*~(j$e7@)h)h#MpSO*
zw?(t>-1Ygm`fk79vap`@zY1r4jW*o(?07DS_v4~lg2C0b(RFtcvyT4Qw>phEYo7Se
z!s#nTA6LJdIl22l$absXLeM%N>p-8xzhOQ3OCQ+<Yaf$cnW3D`^!bch+fSC{&nMK{
zT9>2--`ypCl=;%8m3epjl9(lPgYWK=KI#lwg0*}hs1ISBSpk|Vj+UCY(Ky`iwsHli
zldw0WL^#Z+^tGebJcF&f%C3hBhxsgh7RBNZYJW-{G(OZ-*<g3DSr0VgB>LEL=h>Pc
z!I5$$##@V`UbmeL$)9Hy`{j`&xNJGf7p%Sfv2De<E2mys@SCaZ^GV3k^_J05m@|u=
zk?+~$$dhl*>s5Sg+LB|I@qEt=9~tx0&!XhxjJ6h4UGKLl>Na{kbEVxLP`kX#dcM%5
zGqGut_}7C5;$9q1ahkPrhxMY0!&gqd?A16Y5Z3e5d~wC;E3bZj)wmV0f9mC1B6~oi
zU`brNW`auZ2cY#B>dGsWm(2WiZ6!yW+CN_z-sx6r1#?m!ubs1P`6AH7%<7dl9Hy<m
z^|q8xOvrER^=ug)<vFu{UE3NGGGUr;?dvX37yQchSHT87E2m!Gsd>WzlqbwvB|!dL
z?UbYRdF9Idx4OqTK&7NeGV|;0MNu<bCW6`<9*0suEuF4_lUGi?yr5*G{9Q2k{wl9S
z8q2N;O3!XFUUUD{OEZ2q<E=%zj@~`6Q83ugywad;<<!f)>t^-ND7qEVv(abmoOgQ1
zW*)iDdWQAdj5gO!|CF;|r?$U7VibH|OW#Q}^Tw6!_r#O5HlDe%Jx+Yltd*jddGnTo
zx@S`SSu>&xW1k*$TNdUME}AS8ti4RT%W&pO(aXI@CC*>zdRg`T+UZ|wXUORuU|AOC
z(=YNs((&k(?QWupO2OL8lw(hDYAxHhb)m%M8By)kORLk>gcq&MkJWMkH3G!BJ~rKP
z^Qp~_GkgVVVg~o5KiRh4H@|el44>t<KBck$?s&Xx-`5*^*G~q8;lka@>gIyM_oHHZ
zG=0|2(bGES2I|&}C2Iw1FW-E(S@^hb?dyr+pwWoh2W35XY<z38(+rmxZ!NMqymZcl
zv{%uyYiAt1a_Xg;{4#+{K8>q4G8lu#^kW+~w}=Kmh<bZaXIYrv>B$ANzD6fThV|U^
zS-VB2)DyHmqDt|Z!PcUxwE~klmuua7l)(8gWb161H@?0aNh_1rZz|Y*pFv8ev}mLH
z#W#w}4wSB7C>HjQJiPQ=BU6r7OHPMo!B+7kInW3g_eR5u>lBwA*t(qItIyho_gV*z
zxtwU5!F2r~)0y-v)|&mR)Bc7Xc)vW!@zMOwD+MbjH=pg?d}soHy|y+hcjV<$-@8O|
zd}4BV3|ZGr)r>fD#VYP}utDp}sqX_5b<(n)R;Ngu7k+Q=?9;f~s7KXjZB0MNW1ZLA
zm42B?^7^dp+2?m`=8^9_XHJ#)OGyd+G~>Q}H}i&f+v$)U&z2@=X5I|h@odQho?z`|
z`I9z+8X4gyExZ-XMc0Wd>VSsdA~`O1q%S)ZI<p7V%IGVwReqf66?5J>;^dXC%wU1p
zpr+%ro>0)3wn{Qru=a9Y$2DnLPrp<M=!3G=Wl$gB>6Z|J^Cyqydc~Y|T$7sh^vj0T
zX^+>=k>gB~1<lLcG4_wSHp})xpNWp@94+nJmpAlpNb-u&<-0a{ns4Xp9nI4_zpqnV
zcBIshS9(U&?R^v4o_D@(Ra|!Ds=#4TY7jqO080AVnKzDHIrUQ198@aVvCjrY^Xmky
z;<IO#8|EZt8P7jv(0a$sr!(7=CmK{#KLL$!AKPlwmJ3Q){fSzNXG3<*`?YcI%Bh!+
z*Ud?OymrpM@5$`J+RO9*T1@^i<G`D3&yQ{BDaZ<IH|$XeU%s-)_G7Yo=FO0uaz8)L
zvB+B$v$^I5Xn@bByw*L!Fm&BU#y4qMwx2ID=BTZip>^Fx{u^iv{&>4DXw+z%f)uFn
z`10KH7SZ6RyIviQ1CMNN?A-9_p>>Sm8&F}?T`jmlFxc<?(nR}U?d9cnUr$~m<uf(g
z8N8e)_IVJf9Qh@|2U>Dt)+XNhl<{-Sb6=j*oXf4^)+gQYe&@LCRO#u1ikp0lL)S4{
zxa$~)u32j+qqaPERmALM3vagDAsh89GC(t+dzv?=Z15FbefXf@vTJ$wOA7iN@>WHd
zCd#B{9o?eU^K#AouFO)2<1?ZRWnb%kn(?&j<z0?G(BfA0rn5e4XRPyfG!E8YmK|&`
zb*1R#cOhF0wkF-0+BJR6{jQhmMCK%99W8O^ikt9sWz;{bHc^(~(m$y@pHEiK*1Fcr
z5#@P$Sy!ojlX%Ni{(3!aR`r>;bS#5DOxlpND&j1kpW)V`sxt?*i@1$K*F<M#BxfDY
zl!}|M@=nM`t4js5V&*ODn%cYBCnxQ)$(%%A?Pb$1Zvd53?=;RCZB6=R(v^9}<meYq
zt#gK3lYUu<2A_<5a`-%lTj9KwqL<qPeN=_JHIqtR3ma!HoAK@VmLuV8XPRG08m>{9
zvMTj*vV@z4sp*>OfiWt}bF(7!@5BiP`S4~(Nlc#+r8)P}Ijti`!H27)4yG;(^OD~k
z&JnD=^!=h8hpu?Nl)hfj)U`5kZ|@^5(7e>*8%_a+rfV(+#w2Hb-DA{s_RSg5%Uv3`
zW=0w6mS1HN{oGL-B65A^t(<SMrZdCOOg<l2`9h(*^z)12`Rwj%9~z~n$ZeZ+GVBoZ
z++fxl$1+~>@)t$f&Y1DzwzBh?!|P7j+)2uPZEB#zKU?_zRMW51d|yVm>M?CCNw&(H
z@Y$?i@!pdKlegr|bKZ06ph@<QGm%qzSZ}JVxqjlc%$>BXy>4;OAF1xNQ;m71<8#cZ
ztYB};HjxK>);r>yW^)zH`?}ja=9vv|lDhSdxWw#Fh0|qY)^7;?Y2j`$wP2>PNz2wZ
z52_f$o<5Yb-VxW3{JEenLFb`Sx~GKn&4XWm-3e^?{pLYcZC-h<V)%AYn@2Gxl|B55
z+n3|b|L1S~-qn}v|LF_g9(JZ}bx#8{8nT~se)_tKUDort$8UwTUpBO7on2RzURA!V
z`l@ktnD)y?l^1)>89XjjzxQmA`*N@P{oe0>6TheRrRhB?ua0{^U2x{l)RZ)rf1S}`
zMcc2n{kWKD`JeN6YN2LX<jK3cs}(;S@_JXh>f7T<p}O`Dcl4Y-tfalO`m_DF$4jT)
z+51!e*T$<}@9zEi?6u*B*SmZBn*Ua$s+Q;9Z~Vo#dD8!u$41*(yqRj>$6r77O=^wd
zyS#9(a^80mtuNMU>oLShvp-v_eU9Bu`ugj1ndyp0Z{*F{y8Vp>b4Bpo??>P2S~JaG
zqg<T-&UI--_S3Tbci#_0zdmqx_V2Z7=6Mt67sjMbO;|kj&fcB(8Q-sY^6u<kx3v=6
zPn@^V%RPD{OSL@z9e?7U?UQz!fB$W;PvSl2`|X#vi6?CbX*lop@u1hcdv}&E{*kih
zNByzFnZZp<!-~E?n<sqGU3+JBarsN*^B@Jgi#D9`dN=LkBDI=LlXjQZ*mSK0Df<`L
z@)ShRyV-OeOkZp&d)>6lyxd>03gnVnwJv>-xSi-xpT!S<N*-UjTOhk<_U&xXtc}~=
z@0a`_=Vf=tu<K5A_g;yk;g5Ih*?NP!D(2YV@5X(nn}l)<{BA|BvK2gPuD!E5U%q?M
zT`QCC&*sH9>4MCZ6F*cAa$-N{!>+>aKRu5>?d7NjS-RJ_K^~;wp4<V|$3=g89vkhD
zSPhc6D|qC<tbP49`La70=dZc*Ztq@ecI~xy?xlALuw6A+6WdspbWHY>@wMq5ieV8a
z?^ah=3N){M@^0^5osL|PM4xv!=Pr=IU!jc6lXidqR-CYU>CwI0%bz7|oqA`ttCK{M
zYWe$#iHbRIf7?r!6vlkoc<<EPd$+usB(k2C<-a}Zm}8peZ@y!-)axGc&C=GZrOJQ*
zyE0e%O)#_E_rJnE;n$C|y?I%!Yjlt6Ydc5VSKBo4<4<B)?$*Y?l+17aRmyUBZN~R~
zb)pBa?KAg37QJxay$->!E!B_XvR!tIzP&fI`jAAX>+bSr>kRC^znug!Wv*M#rbTz7
z--ju$i+KL_Ud(%CiCov+=GSj?=zXt^NZX_O>UOV0vg_{h^Jm>+-u*T?Q{jEZd6vPo
zuCn#tAE?D_T(>>?|NPm8=eo*X|4%lyTKl=I`-`jbvFM9=Q|+>kJ>55d)!ui7DW=!H
zuPs<#DHGRQT`PZCGQaazuCba#^|xs&;?0w17jE6F`s(@F88^N=zJ4_0%Hq3RWv5HL
zMJBI_-JQD2GycU=x4i8>|CQCEtIJk@v45~RP~+aO5`U54H3{22UVpf`LO8#&s#qj=
z?cuWQEz$h9!F(s~Wsw*2di$~uhQH3LG`-dI@>=6=)2QzAwfAi$^GkoZ8>dC=F7y7f
zTWqH2+i4lm+-Xr4^E&zR4sP4`-|NI|$7$CSngbW*&D6R2^2CkJ+pYEn@0LhDfA7_o
zJ`F3LhxcBUtWR>Dx!o$Z`P)AIy*=A4_L_J3Wna(he0NdV_|%!`IdYSmBg3{tFJ4@H
zA@R!0?H09WEjPoqL@!zHydx{5YrDnYJ%X`eTcVfxe~rwTyFGfTZQ_}Yi|%Uez149Z
zB)t3ZjBMB4p}UNG%3c@kPQ7)lr7~<w^s?qz7jk3s@_9HC_ldskWmL?0z1!k#@8;84
z8!l=Df6vlic`)wrj=e`;ruRl9ytx@Yr|wcmuG`v*?#t|lBQjifr&cNSK=|{eHlFAz
z>;L1dT-CF^f4haPLDSK&Ez!&Ge?8G#cQAU6-2E0+5dZP43yH3~OMfjE^j@2>-S4>B
z!|sn<AH|j*ciV8LtL$}22}}6e&t<Pmyo9GkU(B29cgcKT>Crn@bMLf>g0u^p-rALv
zH}@V-@>0>a*IxD-to!!&xZS<!6Sc42NV&4Idaqt+^1i_3_a1$bOqjYcJ-_hRRQ}C8
z>GxiJdBqS0GH@N&gRa88d#=8G%D86ZqPteHQV!ci-_HBCiQ!t*#dnqR9~K`5IU|wb
z^_q<Be$UxIT(!#I?zf+9<2{gY0!MsL)W@*p`&l*~>?(WxrC0#MXK&wd5yW>Fi0@Tj
zwB4%Kw96Od-06#dr0sFvbM>XJ?~NSS-KD!c-}^t_`d({(RPR~0xx0?3hbMOw-nsYc
z%Pfv<64l?rmWR6*99>bAUs~1L=K(U{bm_lMi|$(eUDY40eQWLI^NA%<?(eR>tg3a5
zyqGt4-BtBPAHRJGTYlYi;qk7rwQDbCEWWho>C0~%+dzIj*RkO83X}ZGUA7&vAj6EC
zccfkE+HSK~xnVAdZ~H4}!@1jQFY_|K0a+3&en1>#NiO4?ZHw+&-Ia1^4_v-(i{{lE
zNmo|B&IvNT)ni-z`m*`o8_92OM$g~((7iuU``)iFq4wXRF6PaR`?mP@t?kjv4?Dlu
zy6CQztd!Gsu(YWCx5$h4s$IV4Ug_FyXUlmb-*tDX75|Mq8~?puUy2`K)V@{vkLQKi
zF1GyIDy4!8pm1iokO@*GYmow?cz<N=ao_Xx<?#cFAouAq#%u;T`>&l0C<fnteVBE>
z{_W%1U-R{EWL;TVeXW=^_t@2M(<XfXS#agX=It$e!oTOl<mUJO5G(+tu`h}RXIB*E
z3sz)t_kn`RFYjQ^;hEb{SubT(2SvL2)(5K!_wGr(b2xC$mPL21Y$aU#L5b~<+8l5&
zU1;&szO^@@cviu!uCnzv{;1V}owH7D4#+vRa)-iSSM4sX(&*X@3S{<0KeA%;xBK0m
zU2qQMwKln(7w7L{xAWg{c17^_9nU%1B&z@2K6*jmoOJcKed%YpAMXCh_i3Mr4)?<*
zkgY<cX?wo!Wh}_#5by10y~h&KyE<$`^s?&a9Vu^b>0Z9;_$A_E-pqHLk2Z<Eo%XU(
zAx+YJ#&<Srkt3qow{$Q6bu5uuFZh0EkwM4mYni)KwO*LKI<x!6XXhPhZ*T3r)$KeZ
zH8yv<SGrrl-LA6LCA*SdXYCHPQfrdF*0?(~s&)0T4e#ImEV13yt5jNEvq|oEk8Jho
zs_%z(WXf#YzN%ckXMJ0K{>{7qj_qqQwtFmpb=64gX!P`I!9%&)w{$P1E9RJM9gVKo
zEqzQ@`_|e^fr4Km+~0-0Jfn7J>!Q0_bJy)%9jJBh*3D*tcaazKrrzUUcw9p?zwk|!
z!1uKo+r7R&d(iRm(s!}r>$0-5ZL;?s-PrADvtiQi(3-gXIPIO$-q)KdGF*2bEpg3{
z)4sL#5x1kwrbTzP?8J|lKYqPmx@MJ}t()ZTjptu)+`j3&(6s6+&DkH9yq8bdbv&kX
z+rC|!?tQxE_Mq^-b6KI!HRkJUGq(Sm&-UR6sNUJQEueO_#%taDy?hT=ioU(}-@Er+
zv}<I3Wp)h1`865a{~c#5*!%wO<ge4VZ~Oi6PFLCM{kk1`ulL;j_5S1IR?)ZD>bJ{Z
z|79i|nP0iuwqbhM7V|l*8}4>}o7Kq>7kM$S@jO?;G|{)yPBk&aMPJO@c!vAI?$UdC
zz4O@aMO@6AIFD)H*W9YT?r%?3<-Cu6aBp&1rR}?rZ<Wj`d!MeYZ{B{aZ|~K$rgk;P
zUPq(vTCwffyy&ixEmMuT*U{*EyWSuEz3;u6`0*u7_P_SUZ@TyDTHu4`t=ZeX_*ZY-
zm*25w`@XIGKQdf*hgvzm7XU@dQ@a{asKvfITn6G++tqAabXV&wYg+WBJ6f@?4}*$?
zOIPjAy!u^ss!BU1zvf4l>+aBBL5q8zcWpmpYTb|zs$S+Yo_Y8CJkOr+UyIq^7_7P?
z-7j?^&Ej*|jy9H$cW-Roe#q3KL)vX^g?5a7(~m@vS=$eV_GPyj<+r}B6kz`S@50pX
zturr__k)t~76rD*i+MBsE`Fa^dMj_{J<h~}`|%r#3w=&)IJ`n6zwxz~MDDe9ceVD4
z94mW$;I7tQnPXs&bW7!ibZtLSYTdFB<f83cn2qJM?=fBHv~L5Yu5%no%RlOT72ENS
z@h~WLy=S`p`=4~l`qDR<2mBU$-R<7HmXVw1@ja&Ndh%`()!)Q+l>IQ+oj-Z<SFs)Y
zei~frDqH=foBw|A_x|k%w%RoWhHa^SlFhs$(c-h%j$O5&HcslUv+b{sUHvAuqwlxj
zv97YyUuMW(?_K>?x8UuU#5JJ2Tqd6vaqh0wT)9IQpn`0r;+c)0f-F<9$2`v<zj3w5
zb={|VGwnVlH*L+{?j!$W<6)2~E)2qJGq(HmzdXDp-~aVljmfVzoYn~bUgW#taLwau
z->z-n#%_4>#^&w&uCDd>cAmL?U#VHcNl@jUzU88!*U{+vuQ-#sMBm;`_%#QVM()oN
zITWIO%l3Vz;vWN$^e%w|CZMw7Gb7KIbGLObYu5Q}Uv$@K?vvx?k3HY5&9D8G+y;sm
z_r0!bGPe7;|1iAVRd%{$N7yWopQ`O|fhzL*KQE?67QZgpBoD4?g#XUC`(3!noOl0<
z<9UnyVjrJ>Wq5yuXnyVMEQYzq=I#Bu_H<Qy1gO@ySa%mxa|SWQx-I?t_SdwsL{O<Y
zzj3zP;fHJU*Iv{-4k|T61+Mr0z8ro37t@)Ii(<3qZ&?klqNESRfCADs>nzCZ>{5Zx
zpaMMn)#~dY{_CakpFwV$pFI~`Ykp)916e7@o6ugm_pVj#!{bgM-RZmX7h9Gt^<8sV
zZn0l%`s3Fd4uEx+2y}xgylD028>u$od%mun&8P#ib??ITToc}VzpkxRm?oibkYBr+
zB^Fd9-~Stt1uByB>;4$TCEWXTZKA+5P)g3@e!Q&o*1C_viaOgD#XddmydyCtC%<yF
zbq}aXvn=}Xj>K4l?LPeN8!myOJAlKySLyq-?Rmd9oC5Ku@fWZCTy}bkbVRP}?$lkP
zI}-PNyL-9rcEet`nY+^e?qUSF@^o}~Z3L)VzPIbmVZX;&)u*FRH*5tZ0`CSrP~@Iu
zTmvd6<}O$tcX-G4J*Jo1zk<T~aP5!8D_z_7Y(3M?3*vj5+*%=;Uzr`mAPow+<*z43
zU%V%-X>S&J@tx@JSH~4zckL{#y3~Far1<#P6TR*`x9_<sc0ddikd9V@pzuBSC3!U{
zWt7;Lef#^I>E8B7=DaUVUtO_%r)cFW>93k!ncXRWyT`WrbaeOM8Ob2)O^>waflM%y
z-_;X7Vf!9ar3N_=Kk=4{#MEbN^Ur=r-VHKR+_q?O*q*Oz)qgG7zUZ!1t;hl0#ZNz6
z+aAZd;W8){wZ`SX-gDO~_Wf}^koK*=)HW=-d)n5f;cnQL>b>o&Oc!6;le(<5?hUA>
zmVI_u4^&hCvgp_g@=pJjM8jLb<=54vH>`g@e{E&A&{2t`DJ#EU{p!xJ_t@;~zu!c)
z%ynB@A%A!u`-bxtS?{xNnD3nd63}$}vEe?b{@ljDyLWk)xpmyfhYg}{!(OV^@<%Lx
zyZ39Y1*piaI?-+!w&i*{YrzSS;stiTpn}Mpp&dkBXWYK#bJ^-Gr`wf5#n<MV9htF)
z+e4=PF1*rJmYq6N-h8e0Gv42JGMg9eO}yV8UHgAygSgEa#^1fmS4!W$*0B3hI^${H
zxw;j%zVmOnb0V_oZmm-N=i~SP{oMb;-XYKAK~C|KE7!%heS5$D57&mx6F**KEaJZ5
zTA9-j!&Vk7C$HfCWu-%9QbWzRME$2H89$wDk2@92{6uX-|EDhuk{oZA+Za3OwH7pd
zdc#n8zoWT#|DH#3@(Qn|HY{yz*>3gA+2Y8h?W^v+R+L#5^R+Jbh~TNv_cPRFmd1SD
zmwm+WROtH`YBI}XzWRNA$ntbm`5u0C&G5aCYb;!MzMA{!aOSe`uR7TW!j_pYtJ42f
z(6+Qc^H0<Rou{kHc?<fAu2vsx|NQ2I$)7alZCeG_TwE=6;p~RE*>|_TNQ}@wdt53f
zJ!1Wt1y*koBJ|I!i+Yn1vHtYIsy9gy`llPK-sJs!y7<&l=9CQGb>_DZ^2x1mwmA8A
z%Ae^`Z&GjURQdWMHDa#Z`r~$OS6@!9dXpY8S8nxj#<r_3w_3@hM9hs_dswmUYG%4E
zpYa;M<5wSY6uz?ISXJ0;QOfl<ShjD{9lyExD-IjBU441nN+$ir%F9-B5+dfttvc%2
zcJ<{at2s##bMw|7&TPB-@|V?|#E7|mYmY>NY%-do?Dutx`1J>Bg;L?mgS86hJ?UG0
z`Qy|b2R5k5hc<TetiF7`$(v{O<^IqeXEs>X#<ptntiJp{bjPU;R&yg;vw2oumJHo-
zZiCg|uvY0KE9YHbBy~s0Pxw_yglOKRJDjCf%eb~nge_mZx?pzV-R~E)?j%Ocy{COR
zw(aW6S3!4@Bj&EtI$GOy_2pe7n}mqDc6x`M+pcE%Ysv{OuX^x1yKuIJua&Kfq}=2?
zo~5^9yVQABU;b-aacYB=Y*2GL&+CPI1#HqI=Du5fkh|?_W;Ab{(HcMX>yJbazbXkg
zjWb^3H=p~S!1Ah;<W+_37QQPlw}w`D7cIZ?@|f42<cPU`B74#!=GF<<Bt*>R6Rb&z
z=-(6kp);{`*FvHBl3~kpoAh~BU*1+!lX_$4_tPJGEw0AquRk99$YibG{%H@bPtFZn
zep>rOb7JYP?IO&-1=slbPfHRw{OXH~CY$jZKlbU1dlPLps!o+Sw81JirgI_B>dTd)
z62~@J-3{(~_%~<ytlvJm?*x}imi}7EqwMjn$7*g|<3yg-m%pb<9Nl1bH@52{&+5zJ
zsS<}bSiN0wB%<x=%hN_Z$r0yw?-hD18MZt&bjE=VR&!%pJCCeno4@X8?IV-be%9Is
zolnlKx|}IG<IDyt+t_AJp4FEZo6Z15R#<l?&+5y;rZdiMu#ydJmgHG|S=n^P$qiYO
zk<DeGq@`=s5xkW9)rSQ)|D0HGYMa@EIl4B_cut;PeIfI`#WS8t@zsXiiK71&v2B(t
zbK8<tVz}mzJ;yVHHHY?dJu`Z<=fEn%{>0@MR~@Wr3;nS-N+%&=>b(_5ouYSK{&;@f
zVdqCzBsb|@OA<W!_p(J`_fekJm({mMfPyBrdnwQA%Y9Kg$q{q!tvy=QcJ<|ksx=7_
zbNf~v_X4Hos5MCubM4k0&3Y8F_RE#Wf`zX%<fHmcRkjQ7-OQIQ8Ma(LYhzzx>8{0m
zuO-8l7iVv1Of3DihfP{CY`HMYY>BYt&8s)gOf3DijxAauZ29un8>U)Zy*w|z{rHiU
z#wmN(9yFVDTXIv{l?AgCefO>5vgKKQ`JGlydc@qkm52D+=8DfqP(S>t#8I@&aE+gN
zc%u2?S0xdq-wf9HE$93uw7e>A^Q#Xn7Nu=#GQYl1-8ok=JIMB%;TxXFuQ$$bcvqcd
z%V)60@B7t<3Wv{eui<N#2wT3l)Zz>%N{<V+U48jgN+va8ZrtjFhL1w{Yl4{P2`xW2
zp>!kLanHQ;6H?L5!aS=lpER{Nxxs2~NOLgH>xHo^4uUfA#4J!I=IeYEBYf~xNtMWR
z$*|>@7wtH(!D_Gg!J}%oSIXUdT4kf`H&=1BZkM;pcH@-Zu17|PUzMbYgoE607L<SY
zUh2u_c|FnpRr_n6)t3$b7BnZ8{@NgEE*ZA`@b8CWhhKehG|m%VzU%ezuN8iCtemeM
zsoUXPWbJ%ya@w8Lh`D;Y2W;D}zML+02b7EQ4l=i0eYsoACMClEO^C6a;Tk{xCl3t|
zzbXl^w@HkcJ1^&0@S~7o|C|Hdprjz?{;}stS=$=dKu`uQbNSemSh~xoYdR=h2<}OW
zn7gjyk?G-c6GRV6w|(6s@BU$0VyV?qj`tE_%iX&_&a=4sU@vcl=Nv2NuxdfO33tv-
zFx|`{FB!I+-wTw3tM;>ia`5A>53Px%Rl6kOCBv4l_xjkISo&*|$bHE$U4O5Spp3gt
zhI#R};OD`P%yBoJr&&ebZuq?I!w%z=x9bip&^V~|$AOj2c+JW4(-!n5itby@<SbDp
zwYG>SHKKLB?s0>*&>v5Qc+w+U<<}nJXbb&uJ*X!oVrrb$L5=7gm*<|#IFJqU=(q3$
zlaqfhdxdEpGg#wiK0R6I@HvNozCxbWm)|~>IKRP4HlmG_XZ7XHqBBl_vTUa(&+5y@
zko+6ksd;2&)XtE8b5K$}t8+XAlvLkMO_DnNYRh(^%aUQs`@IdD6HBWW2pLO;Ew9$T
zcn(y)bn>-befg%S#AuD*cClo!!>>x}4by~|SKX3d{c)N_>8T$fhPyoSo_FPF9+PP^
z{W(+UOlrj3KHWn$ZF3tJNPLzon{_=&2b2T#k2|$p%`8_H1Eu|t1jECxzJzH`6Ifmq
zw>bM_A1Kx5PL1#aC5c~Lp#0mXeY~ix^!{qC4NZxqR-0tBCBv3K_ukl*SZcLMW;KZ8
zwXrR+^j37!R!}w*TBGdu^?*6&J>li&CT!i!@*0%tZ-qAT@~pmmmG#C6P_5G8%kz4n
ztlq)2wyQ4}3gsl;_$d(4vX*D{<*!F?9NS<e8`zT9Hh0+q3ELwp<!-K%s!{NptC$_l
zxNY*Ca}&0ziCasQ?Ys9RN&WDvFL`pHEZqL(a9-Qj6XDO3_z%A-iPQULw8l^0WbyRG
z(p!_eYk90oXLbKQvhrP3qNyDyFK*QoXZOfUKXGeDcQcQ*Jabn;M`G!&%?$05W%HJ^
zS{&M7^;Y*lVB6Ot=bk>4IQ;5Mg<PNU8o%?;9<m%h=e{~-j*6e@_sLl`N+4Gi2>ML~
zrBqY#<&t5`S92A#C6-!kkUwX%#;;ns5LAR&^nB)7eOb15$AJy=zTb0;Nx31(F{=Pn
zC2bX)-Wkk$FRb}IC@JW2Kju35mM4<?5GWtp3LG+RyZUmM!8+qLe&5?c*?5;~&upI6
zm$iF$9Nl2G_fE%cp4HPHJAx|TJif<zg{!_4n(n*dJZ;{WX>2hYTGi($zRqF!{zewW
z2o-*3yyg(U`6I@|yWUUttvIoP%l=A-JJ0G5#)39!5v}t1M}*r#e|+VbXSC)}zUzmc
z#L!=RInU=l^iwz8!5H5eoPOfhofdIWnNyo}$h+<8%iC(84E-+eSa#dhm(SAnBu325
z%Q_a_cJ*bK5U3vR(>Ye%cJ<{&Cs0MKr+?7>QOMdmtB#w4vd=N`$HE|=vU7c$nOM4O
zzd*c1*z(JtK1@w4-L*^bzC_sa)lwhlCYIikJI3F3_2n4@woRYImP<<;f}+W~<>1+E
z!M1N5d4Kpd%~i|}=g8$*eVMmc;?M@Q{j-}F@>utV*|iz2@w5J9FekCp%9LMOqU_yY
zv7VHOx$`m)YP4N_xlybqEn==*&OwPsA?IJG+15=+cz)4fr9`r1*z)pEhJA^pRhtAJ
zOJ1w(*FMJ5cJ<|48K0DhxpilbtF&ExdB?^lEn=?Txx*rDbH7)~Ei+o<CoYm8Rk(`1
zZV@B%+j@_w1#N3?vd-`W<)C(_GYJuM&uJa-X}kLJx6>BmHSK05;<7xeFLUmEkrFZ2
zF882L+trsVV$P&R%-xsgD1P|WmjJnEpyti@SB4#+%=mHV3~!K86JpLJN6h_q;$ThN
z)t9TqKv~&N?}$^|T({LM-4bETXM1m$kyyHGjfA&E*z)<@8>b|eTJ2{zJ*)m_dAXjP
z!v4bvi>}Pv7$%l%>G}3dgm?GGro>RI<r3PGWpc|~BaUt;el4D4dU(~A)e_kf*FIN;
zbZq5WeW{Z*;`j!wxd9Ddd91hB8JbNnDt)${ZHwUYb3I>8*`+=6(vR)B+vLl$`f{}H
z4Nz0^LX$4f>dUNWZ=Bj-6??k}6gB#mFVZ5;SNj@QNrWx${<L9k;_}Dm5Ar<<X`X&1
zk)!a{nF#q$8z(<0OIxGJdIQu-n$Uh1R1{R+IK08Cc1rVJP=k%>i_scCd9y_M!>_&s
zaep&j6Q#H90mI=}U$VIQgqC~FznYlQZJBK8Th6R^Yv$y0J*Iml+a<ytexFq^BT>|D
zt)#m|*u&4W3#TO7ZYfo@IJSXneq7IEp4A^dw^|(Bz?H9m%(E@@$M>2!NfA@)P9M*V
z-tqa8e0+CenV|Yy$?ROtb0+^k_0Nf2<L58>XuoR6)O8w1>fKjJ#m05~pZ6xBb)EhZ
z*5CXuCY@_2HIx^Z3|lDQRnVCz`fDx6bjh%V%Rd*kCW`*r$`LM|yKvPLU)eU{h36W!
zDzjhr$V)#EHM99OkF{HIL385s)85>9Mr-`+pFR>heD3-hnLBBqWOr1yZLXR&f4OAX
z^0%!!j&HD%jc@vWWaYeXm#umf{N^&gE)|rUaOYgZ*Hs++lGmOXbARkeEWH)kbew1P
zWp>vJP;sVlFu3jN%X2k0$q{q;P9839yZUmD)1HKgxn-h{R0~%<-@05*xidKZfYq%2
zaFy-G39%=RgYxynnmvgT-)ptx^FfW%r4=B%;~Ky7tiIg3^v8h>R(pfm`a#jX^v976
zR<co@=Z~zE`|;YUN6Bw)`r8FuHHtgusvqCLRg-$-r$9hUKF{jQnyo+1ZIHXQIpz<j
zXK?oD0gi*OzW52U8Lsg=E}CR;_*F@f;w_1=<#R11gf`B7HSz9>;~9^xNN!*YOVBv^
zmM7x)X@j1`(yE<2hb6<7A3tRPs*=`<C`(>b{vX&F2<pX%N}SzbH8-lu5aj!v5~nv9
z^FB$IDO|OC-n|CHBP)#)*Pc6GG3oZq4ey>OF&%#O#Y<4lXpNt{NRrUuS0x3CYQ}Hw
z{BE6bXv4f4atA}&uD-nL<&%8lWsJ7r+{DtVg+j(1!Ds(&VodG~PCsN7&^l9PyK&;&
zwNBQDUzKcM0IIc@an0pfeVJc%#?cK{wlRG-c~)QU7JZQvasGFJ<uijde(S>%^bWuJ
zQl|P$aJf{?3dYAB!Rd!~g?9b~nH0PF028RKY^0MCF}F|iuu|LA%zR5RP!%vOne*_g
zFSVT0jMn(IPfL_Myy|Ho=QQIre(uu~SqoQ{Y~X+VmeZU6w-2-4&BZRKW^TCkY2&4P
zg{Nk25ED%lJ$$Ztp~Py*u!qyTH?}2;*6n4;mb|8XKdPmaXY~hN*NF2Qxb}zk@baww
zpbV;`wQNHhe76-m=Plj9DEvmr&r<l>Nv0gNopU)~7cg!!cvEvu>rhzRT>X~H8^<<W
z&JbNVJF)ba5kIJ%J^SblP<th|1yss0yWTjt!KyZ(C-%t7D9I;>T|mYEJMm<5Q1O5H
z^oDj&@y}5$8MZvX`{L;hR<iS&Yk5{*w%vIH)QFnW%q&=(=4a`A?SFT(PQ=u@jAM#z
zp)Xg+$f$XJT@!DTAQbrOOvL?Jg)N}cBhk|0)CM*CY2C>@t1q>>TAbUU6&u(h%(MDZ
zHmk+S4O+J0J;6NIsk6E-^Q^vn@TbM$4OX#nEt`+5oOk_tm5-X=T*>SdyK@uooa_0z
zg8|g`R{m7jn3#U-)KOPZUzRB*DPrzD%>$`zS6?n>ib;%^yHDdlXxrTH;jHTn*Z9eY
zKCmi$Wnz4FhSMA?<7=P2?kMb>EBQK76_la%!@w=?Jj*=eHSOOvF_lY{&6)OC50u*Z
z-Wjg(TmJNc-C@7^Q@h!DR$unCtnirgYNGDdZ8I%O?<HI>`q=TLOzzm_PakI_KL71y
zHxJZJdG=WI@T)J0s`Cujxc^%qbRN`IIQ5|kltg9pL2a_rAG;DutF|+(?+m{C)$O3k
zqboBvz6*K4d-Cm>2<}s$miI5)u5zB$muqz^Kw&+zou6m*WyP~UPHdQW<AzNQXl&v9
z!SuGPFQ>`WBu32rw(Ws-;i{e0s}1H_l%}oOmR6$-@?SKl<-PoMF}TaTjg|TB{_~!e
z#@D8a@gzmemCHVq(029ZiL@<-Yo71j$?7Z-w%mM?1gPVBzbWzC{N%r1&L^@OcLb*&
ztD4;XP-VMuvTo)<j<%~W_kgSGedmsXs_QjkJ!v;Ge||OuwK8wFY~)#exztkP_=c>=
zi%lPSR$s0T^ilAeTE?Ar%XpgH_3Lag+tSx;F;14vJLFP*JTv{+F6pBxZJ|Hzsri6f
zI$4KA+CqPHbNPT<{D)22z8<+YCDH1zR!xXzn!%bw?$eUg4zK#+&Uq{M;knBt`J%Vp
zD*0I(U;ATJG69q}_OgKT_xYnUj&AtuxSD0NWZ3fgT^EmT_`E^)piJA<moJq-4evOe
zLpE(!U#?U-0~++vJ;d}VWbK<3PVAuSZaFJAxZ(_Jb>&%o`RUb&GaIb-2Dhq$BBN<3
zk9BEOZz|8~%c)l*&TX)Y4ek}?S$(;jHR9w3E7_2a&?759+pKX@FZ^}+|K~*vt3j>n
zS#$eMd9pukY>ha*!D{aO{!*URm$^ZC+G_9AZcw}X?Nv~_yEd#9R8yb53aY8^hV<%!
zlK%IsGoW_t>xl{4pgd`N71RLVtMwu+;``r#3x_vY%?;>!%d`6O$E7z8Zm_D2>I3<n
zHx*P-{|#vbRn&J=Z#d6+)mXp!SPm$6$4yDrKl%6a{N$+%rze(Hd2Q%VEd8~D>$hYX
zTa%VdLd4vA>kc`#U47Z^C6g2}ci+kbiJ<n3mkcOFtv?d@D5QVQW~o?EgSd9x5kpYl
z=$3ckoW#;!+ho)w!j?0yE|`>9`fIV!a*1oo^0A$u%&a)o;_!yg_tt|)zgD=#a0ssP
zb2odGbfRq4?t4+qmPb}z7OUZZD0K4eo`?Hd3uY#k-n!cY8uI!o7z64g<sJbwwfod!
z(j)wHX2_d^+9{2oruOo>J4q39xBV=fZE^LEb+*BDP=n0%fGpTmjk`f3XyEQL`vbef
zuf8Np@Joa(f4;cl#0IOm(g&5>zV4ZB0_rVq*~{t=l37&YHOI;|uDcTCyV$NrlAsV*
zUtDo+gVkJtL)>jwU%q3AGkU`l>9{B9Mx}e}hgpfGyOcW2LF07?qT6J36+ta)J>EyQ
zg{w;POrPC$p7!pCH}kq1&SA$SH`%#<IC!t{nB=B=E*~Z)iq<U_oG%gfaBpHwT14yp
z>?7iBp+Amu>@!+(=)Kt^>BCj`4$t~9H&OK8R!Mt_u%+u~85-npmB0IQ@oGeZIM@{P
z<bW9orN1^wHcN&rzr84fdp5VcWcrC;^#(1ht1rC`<Vn5pGeF>|L0jm{BVs)1Hv(@p
z3G%GI)Tk?Qa)Xxat)@Vim0ppN&8;pgjg#MYC7FOqp5Kcl4sX!9D}FShE%fCLnV#f`
zx%2oFZ4STslB%p`u*R>v#c*O`=`QmgP=|S;?~F4WKCj_T<SJaXeD5N*Zg4?yv128u
zppfNCmO4D^kGJwN(72qtL33idT*e_#GkXEYEy=LukCk5}M9lTeb^;CbWC^DkuJOxn
zHJoj6_4BS}kF7x2@OgvYq}ww$USlx;)j~!MJ9%F33uiItPb}SK(eM-0lyC$!vg^2$
z6c4{D@!$Y8vac@&W!AgbT2w(ToiABBilAyEmc<*Cp`#=Z8ns=0x!nL%E1R1ovL3F=
zke;<+Qeyg~&IHlJueKO>T;*APIhJt^sI$bCpnLe$7VCymP#<?omX505T*=qd<U!r#
zW4qoRX9E?S0%k^U?kLygBt^{iV>B~f<NlB9#-R;Xb9r)7Bj%oC*=G3W46}pz;a6W4
z@Y{m=w+j;_K|W)zx#3sz|I2?-mNHOXu^l|v6~zteDfhc=oSj&@YX@hw#5LjB*IPi1
z>z%&fVd~B#`NOZaZ07vk8GLrH!-hE)e>0D9J!ClfwuD#P$>PKYt5~^1ifvb4?o$8_
zS64TK`pLFJM*`cfzI>?A2P)wWS|0PPzTC&*XRyZaxNE^Ai>nVde~oYi)rZXnpl)){
zRk1^$k*?DM=M2{Py>Bm^mRNf0VoNb-fJkvpdc@p&JdZ$avLXR-$uM2>rX5E%SnU-#
zlKLp*<;<!zThevT+AI+Ze=Di|`b@;^M(uBXo6q%pwP{$*V=cdhX~(e*T=Mb<O4~wz
z_}S+fys4@A_*m_*)*j`CXdY|+HikUoHBn-$1?`EURbo4`xyx6Tl-h=Eosk@HZRW;*
zy6+}lIoDCjwB!5+t=bodncG5N7Culsyy}a<hmOS1U6<OA^Q^waZfBDiF?HSNhnk01
zeOb$YULtI1F;j)#lvPuY^G4*_i*K_3yZ?4`g=3Mm@wL?oaYk=!#G5LPZm^mwcO<)Q
zu0Nw9sFxha{a6>&Z;=2EdzCwbDqq{D$JyJizN~$q3`*#G8M8qN{nq2d>Y(&l_v6FN
zMBjae4WNN*Ir)7?YyA9wfkwS{-EM!+v-<K(MNm`v97p1Uzu_j4>Bp)b9ajL=JPd5N
z>usLwab9*MQ5TdbteZ2yHFgXGs2a9zk~p>DU3C%1VTrKi;>;4~He4?KZP=7p`s-#t
zXux`6T~AWP+`13RCWl{@tddXe2)6w&{cD6fC@#M;9Gi6KTu-TP18BhO{ecS5IE8|m
z!J77OyrA)}x4a3Uwsfw*WY7rR-x-HCSlLP*2x*&pzM;-X+3)KKwd;wtASb0agL=uv
z*VZzm8LaU;&tf<^vGmvB{xVP(UBF7>nzFqlIQv#hYz7SxI?p(}!D{bgXYs?Ywy@7|
zp7Uzmee(onkU`gLXLuL=|H2%3095I2VR!~=7XRMRkXX9wP<t!S>dPw`b&?|dbEe66
zONK39UK?>}!{tP_4O0@+k9|s(EL^qozG;Fo$RO{(5q_Z93y=>pSmWo;x?xgc>8*#y
zwc4(}+{_SWyvFZ7%Z9eZ(p?w(xAIt@zsztAG=}zL<GjSuUn(8Eph5e*18R@1yo_UK
z-8k_{nbpQd$DE`ag|7~$watC6Z3i0Z5_@nstnKQ{DGb{{dKp1AsHNQ(gEdiMzc<cJ
ze7^VnVZKKpFF&y5Y)jW^jcv+4+jwbK{omvEzgOS?_iX;(>;M1k|0#a%{ptVz*8g|=
z_Vw_|fDK!|y<ZU4pZmJ~@BWl?{->>TvhCk+|NT2f?$z6N;r$Nh{7+eD<lD>qXDzDJ
zzvo!}>){Rk37?Zc@ZGx0?_S?HC%&ru!n!((Pux%b^z3df`*!$Yyux$yTe4dg%k%wZ
zva~<&Zo#}diyz!i{+!#{yzBQvhZXC#JW7AEqV(9(`;UB1#7F)8T>t0w{l8!2>wjJR
z;@Z0-{O|Ue|M)sjevygM-w@zt_4{G#{rm-M&b(`{&zlfYKl2X%|IHKiu4&2s{ctCL
z;fyn{+IOpUd57EIF${Ei_T{j*uxe_Wz0GH7tw%*>?9D~J3o6{sJ%5<EuXR(ABEK_t
zPYvhHOZ?Y$>O21x8S;Pjy`nY!(}vS7hLtm$!z;zQPOp~f+017!al&=Wh{Q!p_MAMp
z@X!9erT_F!otnkOqhp|#qNMuy*Tedai-P{9<}$L0&R7$AO?1K8G{eaF21T>((@ilo
zM*T)pC$#STk+XS;&Z(o}vzMk6>g~I!qx=2Shg)fqJLk8Xo1H$@Y_sR|_MWAwb@qSQ
zwYBcX%RPIzcc$T8t;@w9kE9vSoY=kGto!tt7@O^pPPd9b9!m4P8POQ|EYxFpN-%5M
zZ5`d&+6KqdByR>a?le1nYFm<zakh>!_pgWlH!U*yyL7k2<+D9A!(M70^O=1#rP}aT
zuGn<WrxN*_TYPkmv&>da4YE|bsiQjiwBgOP%$)&Uf@Y^r91ZH(5*em9HEBzxjyCu2
zhxSo{H~$sw6iGhQb2DsbP*dLQqffFpt8&DqXRF>w+}skQf6#BX>eAIR(mB&6Sw6jy
zv3biG-Q#JqQ&ZwBx82au?mo5gQq?@Q->0vt?fEX9c=F%h)I!U!NX^NAqf<RCuiem5
z^FF=tT3VrHM5C*j_lbpEI@=<H_`(xbo((Em!gM-k+O&&-F<T<tj=2<`OpBD1J}Md<
zx!Py-;bS)1Yqsw1>3+0o=BBNo>&zZcIh$0pR&sf+*!0(DEwVSa+{rj*Ia@U~-k9&U
zj_U8(g-6mdEid#Wo1H#!FimEAWSG_B8`)Zy%~tU(_f5Sebhc~Jb)S{K7vE@nyRD-Z
z8z{3OGDgfSdCAuX|E%~eD<l`^Oq+7_tVHtWDLR?QB4#g5^^{|~t)uMy`9j*}H9D7%
zoqXjzsZcVu{rt|bh&}st&L1`~PTdolX7adurIp;|nP-1wZQilP{PFIyLYFS~$eVee
zI_k7%YowQ+=%cx3FBR<+kk6SmQM>g=#^yCXS_hPZBb|<2N!%s5?C!HCOgd&$Gk04q
zW$?}wo4k@WB5m`IH>aGZpB36<&0m}|ZHjH>jP%V@-dtUGBQ3Kss6*21^oh$(XSPI!
z%@bX8IAmG=BCa<kt9{~m&vReQTy`b>!38FtEs;KLq6w4EJ~G<F5S%k@f*|XRtj$aA
zoOWM-HmJy6{&&u_Y3x5O5;phPoH_0|J9VjY&)a22wT|HrR;~1j{(sJ(Y=-96uk%HZ
z>CHZxQYNuGS8RH><&BihEitDKrp;D;TEw^QmX2z8(T1~WnU%BpubQ1cvAJf=#>lXF
z&y(k_^jYu3+cx9Wmhbfw`@g1nz5UDYR&YAa&{E`J?(Cya3s}l?r%g~6yt6qn$j{`_
zrn5msn*_sircDd(-I2DrXHMRc(%Gp`V_4FCQlsXW&dAof{Oo@XOZwR<xBkR;JlJzK
zsmQR2+pPQav^1HmkxtvPoD()L$;m#(IeY1n-ID6L)24-*TBO;n-ug2>w)wHy<%xUP
z)Ytxue}7Xv@rzh=;;p~y*B;a~URw6QSZc%lG{c#<8<@?yPw!!q*%ayY%>2QIvy(P$
zle5m9HmUUMjnvIs)~r7+H#;@O+4kEl9c^Rj4M&5PonJjY@y@0xOSk;a&p!~Ro$9sw
ze;-@PZ5_3_ye~FJ#^jkk*mzc`Xg8yO&a^4kycKDir`*XsP&|9-(_r3rH*}QeXBS*g
zi~JeX{CnA^uu9Qm{J}HJ{>Nv3IN-DL?*GQ9n5~gMeAgb$I{T<-g_wEHv<Zi|?#SG{
zWY4POma~^WDYZR!TSqtlRpG@n$;#+{Z?n^<ZnF6pO+EUgRAR1b*o?CO&!r4*f=v3!
z=Cd`@N6#c-*4al!JNOpoPMfgJs%K+lP}`NnIcI~6w(vd9oi;7<t3=Y~o-^x?2h2`g
zx?1kAZ)%~W*pZD&+CIDgTYi;DTz2K%|6<vFk?VV>l>Lu?_2F(>;m@e{#<FuQHLDLR
z%vMdEnXvV2(59FT$I>z*6(iC&_pA|Fvpq6wURZMHN*%?+%@sLXm*4%5G@Uj}bL;Q=
zxZbB`-6y-V)@+E3$qPwdcUGuqv()FDX;Y%FMkH*Wa%bH!r`bzW9c^#rPMg^J^~HwB
zFtMx2(^l$eDmO_a+MV9=J3glWW}4UX-{LU_+9zNBV-?R`c6a}SO=q|K7LVB&8C2z6
zcqvWuW_VYynfK{`L31`ny75hUwC3!lO<RQ8*MthZo#W27@j}S5{L86REpnD!xqj@E
zm(12kpRkZeGtNG`6xnHO=6zy~*PE@8L22Ph^Unrd3hn%B=6(7F*PgABZpT6%%ssow
zXd9EfPip4NlgC{?AG`9dK8Do}<Tv@~)^xM(lRLC*wnxSs3xBxutdP+{uJt+7rkF0>
zk-vG$8r`GJvzMmEYRcWzQGV_H@kUzY&DdV)Wt)0(&L7tTrGe^Ig_l7wcQ5PCwn(3<
z)rH5?3U5Yq`^{EO3D!-!siQeN`(o<mDLE^T%FKTGct2Ng?y~;>T0Wa2!@@!n+g9pm
z-|i~dcY*8jyZTbmG?0_-uh*}gee_AgzAw3A)0<0YWNdDcS#?-tw(3(i-nQF1s^-}S
zhte{4hBgP9oj&nas%KkdSlZR3)k}Ty_q|ivvvJDOt-t5TcISqj+EVsEcI%6ckv`9^
zB<()?sA!AS>zrv5lCMVOZ(bs^{+QeBrB7T;&2IYKpRqL}&$u@ET2kxTFTIi7q02Uf
z&79fH2l8Ea_QqQv-(8N<*%0Y7?`qP*vyVRQ5$n#KHbH!AM3QmsX6Ep<*F&Oq|DSlk
zboSCzk%Gf%l9If4wnT=hxfHCs5hOPGn@o=3)XeYphE1Q-yx#s(cP+S>W;j#kpz7?S
zOKn>on|XV<bExM`n{rOT@1~CGW+#i>&0B1E9ygpd`lQj*Y<Bw8V*|d~rydopmoZ<v
zw0BF{fB!=kNz1Oh+ppW?Z`OTs0*Bpg9X)5|A6c7Qc)B0VK0C?CtmC?w_sJa!_ipIu
zK5ni^-`wNF@o4YaO-A}n>dQ8@+|fU74)WV)<%+CjSKie-vYgKqo7^h6XG3I+-|xcf
zX`GgikK4{xeY!@TKWEyc;yRlxkx_Q;26tyIynO3#eP=@3*-f8Jo606<cK_FH;+wto
z)G7_N8#-#M7thGpykkvg(x$UQo6Nd2&Ad;25bN0z>E*U1VanM{Mw?iZbEZuU)RoBI
zyhesEdBe#q{q{m`v(H97&5&@;6`P!#$g?>zW?%cpgK3;U1&_JSR!vP%HoL8(+AOv4
zXj<k=hOk>Y%5x8B&CcA-u*PuaH}1`iTg|ekF7=H_**rrh`%u#Cqp6j`({AY~YR}qm
zCe7+T%f(dVx%o{qk~eQT!<jVitdWs<$4|4<r()#aMaou2S%0duyR$uV^_lMv7oJVp
zbfDectowA8{JPsZiqbVZ5;rf&`SNhu*`Q4)+i#nBpI&L8cSA>c`QaTIo7c?Ye7x!8
zmbZ4&$3$muJ!K;AcS}d@a;-(`<{f7~JnT6uWOTWGvzhm)w+w8v&xhsie$R3tZS$5p
zya_AL8Wrg`B$}N*Rra8}R7djd+H!{Y+-q9ajqlC6PwG8rKl{k&cK>!WZ;$En?{lV2
zv8=1v5b5>o*T;)#nU&%PjAy4lsbx5yJ8ddI;~tZlW*6j{D^fRyNwS>T7?ZxGQI6-#
z*2tJ!4L{AiPY5J5o}IMGu4AT|_sKSeTe;Jw^*WZ^(ovq>G$VEMnx0R|lTL2&mV0|V
zW%kxn686h(>8K_DodK%Bxf8aW720IqU})xjs*&N?O&wKsW{G@b+4$xgd0;Ye^O`%H
zNz+em5#1+!ByaZCQ+oDhH+9r@|Gkm3dB>ZN$*a!_ZMxo{Yvz4QSw8Hxj%t5xMDpe>
zHXjq`o;CV(rTwef=~J&2rp@sAeb?MkXKUo@J-kUf&nA7+>ku{TKHX`gWBT6rZMpxn
z#F=L&6|LgY&Y3ppa%x28<}GVZ9=DmDnv!ex?6!{f>rWdmq}+PH?)-73*;`M|Gb*{E
zqgH+TLgwZjU#2B)J1ewlJx{Ee_o+iQJ{u#w#GWRvI(uo8h5Y5*X%kg{&Pd$6Mn~gF
z-Q>*uyEh21=Umh3<|;gqW*8aMaoDW;^z)cETOytIJxQ8=cG4$P@#>sulk#`o$lkog
zN8_N_?9`Mb&TY4Jv?qIA$TfOfu0DOi@w8txCyz(Xe)(Bd{&mi>^V4f`Hq5k(|FrR9
znrG$Q_UG5ar}TW=o&V{>p|s4(+3n$Gr%&v**|RM&Oit`^<H;@eYeRb4&9bK+KU$Hq
zd4`SVG2z)qQ}gxmZs{oQ-MJ%m^O85GkIK$ono_U#?v{@3a_)kYX_A(~4W~g>C{v8-
z%x}A&a}}ITTU)e&Yw_9a)qmeD)auz78N(NzIOnWT(Gsr5xzna7Kb1(@JVi$Lc);wX
zPa`bbZtEx?KW%s@EwVDGDZlmDe1?}Uk&OqhrJq_;cF5oR!(F3kSN(oOa<WBLpUzVM
zvxlWQM{N33*NXh-J||Ww)oh3i3KM@g`E1apWgPQ!r%hX{8<D@cCnx(L+w9b(yIE)Z
zESp>4&uw;lO=z6^#zSd_mBL58W*>c;E3!Iw+Jy4JH5(&?(w-(PJR4+W&EB0eZQ42+
zosE%h&z>Z#JiDpLzU!viWxpSeBC%%KQ`-a2Y>o7hb2U7lRwy~Of3lhPi5E3<Hbn*<
zd-AyFY><(ee0uJ*Y28mPQa1O*XdO?So%*!MvTv4;^+WBa7HONqEZ63T%s%?0XJ25h
z*!0aiZ=`Q-nREJR-E7rVH_2VO(<aSKeX%hz>elHEhtniqY8>{Po%(dvgT-H;ny;Sw
zq4c2o?5(GG4~ow|`efOI)n^}V+Q_p$XW9hc)Qa5AOLFuMN6%iG;w^darjBkpOMmXP
ziJP0$%}$@PJZL;QQ@tkc!=1FXpVrBg=ZH=I{&Yw7<{dQ}$7^S+K1sHeyWwN~(8$Mh
z{o2c%(-UW%-6E`am}hpTdQ96)v(u-hC-oT5EISn6ZFnzj?WeU8!ntCT-yfZkvU$fF
zah~mwPJA40H*_@FSr_L_o8;Vd(aihwZzi5Ck#2S(2@}q4`m~WD7ZiNKjBS7RZvTD%
zU*OkEZga~2@gJ`3aC_DL##f@cOL?iC^!MrO40e6i%%8g2^2UKmpSWkqs?Q58r4I6*
z6E0dNC~oP0YAJ_b;k-%n(qx|5=)TS>Q0f2Fqm$vF|2*<$ME~1LpZwK5T#q~ixx@c%
zmfFy{?D~&t-ii~IKKrgd5PM!|8Pt7xPIi1?zwsRPr%B9e1@k5)mP(wgjCyu0+2Fb4
z&$xE|om0|NrzWWOUaxzcYARNEPpf>@#iNxz)2<}5Juj5hv~chD|J~ob)Z$!aP*~U_
zhUc0ygF9pAEKjXrjVoR^xma{w!Mv%wOLxpZeacub{L_bqd$PB-y;*(CbXHvS^tD?v
zp4+HpuQHffEIaR&LEGn+J1Y+coSSU4U2L|c|H+eCYaZI@dS`8%^0{Zvnxi)7HXE_+
zh&l5`?Mas0GtmtNZ|j!16tvmZY-OG$dnoW+@+Xs?+BwHlD_G<${7)QFh%1^m#Z}Pe
zk&Wu}zdO!WM(uMq*xK@`XH9pK%=1XeyG;*Oee#3uJ$<0Mw{mNzmE19hS#i=U5B5eJ
zuk_i~@N~{=h1)HxbJSDf1U6e-KX$6!`peS=XRoHouPd52tvGScV;kkSb~4Xn*mp9p
zU3%qv^0$o6a(?!=N6VP!XH>mC{_N++S)XUra6RCBUMR_RX4%!Hdv88dSXMl5N@1PP
zgP7_q3~oj9rd2zZ6wjL&XKz+8Z)&>T8XZ32y_u0$`2RC^U+a5UE6|<stz`8a&BIAq
z`m&RGf6h3(vuy9J#^QMsmj0Y^q%vrl$fD_=r_`K3T6XTT(NdP#7U8>pMx3n-yZ8KI
zrsCt7|2}Pz_->Ium3ilmbCo{l#2+v{zqKPQQN?zjkCWe&WP|6Pm4QwAHuYZD#2)HC
z&%AlBY3rQyC$%D{EzY01BXefC&Fy$O_lqYhSNEJgUU)9KXsZmnMgQraF>fB(D9%m2
zajG&XkMrK;@@zBJ=+hsXK5vN;uz6ymJpWW-_vbY_x`$KBY7AxP)QKeXJYQQBVKC+M
zj2gWI<=g(x;PaE_{?KeYH`&S}SL;B6-25p^cm6o>ICztec)W%G>BlyE9@!}SfBG=(
z^O}-pj|`ulOPSK0K4<%>ZCoXnK3_h$VmDLloa3qKhRcfPO^7`@<6vdbvT4aO&oyU;
z^>xl!{xnOot$5zV?4=S%E5q`pB}hNDlDw;Ru<TrPs-xw#V!6q!Pj4KljF~n)ne{nm
zWMJRbIqFX%SjCFwO?oUEai}s%&84uhcy7<49cL=T@=PDAJhl3DC-0c#xv&3nk13u@
zE?Oe#ZrOkOj>flwb!U@Bep~pT+LYF_;CuV$<F#ic&Q?Z+JxdgLE*UwsU2#r&YM8Oz
z=4aN+HlKZyR`YmI=sM9yyw44H#&vw3b3C<*QS8#=%Qw^97^fA?n^Idj<7}nZx95p^
z&oe6{J6_I7e-f&A%kuoG6-+)0V%n!)WSw!Qa<$F5<8kMbKdH$7w(LJW$Dqo>|HK`G
zvZ8rYvMq0%sPtO*Jc0XpX64lW&^hT(O6{%{&6}Dmc;}4z;ch#-v@40sy7IDdeeMPA
z%dS6snxm{&C^xydcgLy97(MrbS)W^CIv>b9_q=(pM|sZj6nEpk;(61)np&JXZ?7z!
zRnYW#jZV%1yKk|<^Y<mc{?J(~(fRVrrWK6rnN@S+%&sQ0KR3J?(|>o)@zgSAv*LLZ
z*0JS0w$c19{h{OY6q}3#!RIc2+RL`x^8AT@sXLEtwB54{TVI_$afj>8f~wLTmSNqm
zP4@Dczkgjg?emP96~|f6JuX_wC~WC};whWYLmSP*uMN6BPw824IO5!8qxEvRbJA1l
zY}*RwO_eYGamudx)$ad+yth`w&8=m-_L%+o+D%a#y57a5&o^z_I_LP)P*btuc@wT*
zjW|{r^e!x!@44pA@ZO(umZxUgrWMVbnELg_lbHLlO`m<%Z}ZDPeB-oT?2%FvDcv1M
zz8otxu?p!Do^$+3fu>)v-1N|?7AGp5!onYMJolU#-FbJ;@{~B$D$DEFwlL*efXMfj
z=T9xsvRPUc{WMnM{>r$ybJW5gvih!{eEC?=oyRt6v%Nk}_`KuI`eUW%go_qPZMX10
zwJoZoVBVy6QD>gn=w`1rX#L!?X7!<xbDN7?<n2Q8ecad!r_}D~e4S9bg-<x6N_Ne=
zYY7t14R?k&Pn>f+HBNV1!Mq7Sx4w90qq%(5#%Z6Y%vpDg?cCRg0o|!{ULRg4rfqrt
z)as}+%c~w6sdYTuQuX!W$6aFG8C7flZ9E_<CqKFHK<T;1My5@h=cuPdv4~svdxdix
zx9~qXN5HRW-n8_@H_vU9&mXopQW@sv@?m1_mg|S_PweO1QdO!WEqPFUR^0JTo{oDS
z*{Dr!t~gy8Bj)~L#^)BD&IgjuJ$F7hE_*Jx=wkoxIm@5Avs}J0%RA=yrbgcxCo99Q
zxf{;YwS0N?z2+gyZ&&r!1>bJdP`miWYHM%iL5_3DM%!2yTlSysG+-;7H(_!j&qEu{
z+}?=um0oQoNy5)FXELvO5F;I)VEQ~V@@h+KNWPa<(FMP+udZy{#p#_<HTTvtcEj19
zXXtPw={+xud~!Ip>idf;+itY8&rwh9=D%*?e=<xyuV~)1&xv!M+bD}4+Hs^Z%&NvB
z@W_{CPaQ4uHspI1&b?(-YvFtO^_6c54US))U0(S%k-^!b|Fql#<MZ<;>^)$9ZnDw+
ze)BoYQyS&pTl$}V&M>cN-b8)IJr8WO4>#yl)mcsBWq($9Pb<1<#=%OTZEP10R>mCr
zZ8-6Bi_eE-uIHYXG6yuy1sAC_Y@D<FX`O)Wob)G-0@jx2Puba<1+6Q-UT43p;GWj`
z+K8i-K5;)bO#8f}h9k-FIp<G_BSz;Y7j5J5&ZvEHr*s|9X-ogp$7;?zwNak^X=B6Z
zH8L8`){i-5*YHhC6nnmQlaBmk%l?y}YJ48s=y`K*?El=NqkY8j+~iNn;?@@aClA=X
zd1j*<?7d;;=N=#JgJ$Qp{`<7Ct!VC?Z_kr$pRfI-$bbIEYt4m|FCVwr^TbB)d{^Q8
z&n-6k$CS@aE;0}|x9~r?Fy_uP8(nd(f{CAdVss9Op4(ishHLQ(dD-5+@I;;GYl}9?
z<jy(%B*e0<P;UBk)gNB{{_cyzRev0;47w)zQ1-cIWPD5ioaL$R+}8@{O`NNH<3wec
zoy*2KMRRNI+%|T74x2f>nRU+blsZ1KV!7$+foC4sDEcp+akw(**z?CM&oyUG=)XK?
z`O_#%zM^>(Uq7`tR2ioCB+2~gIeoFGi>7}Ln;F`cJLh;xndG)&x#_o?_}A2Xy(lPl
z|1k6Ok{s>B-sgfZ#kQHxS^jj<1HtE#J5?%9RfgG3e{A;DYHy9^aoclW{|2^cUg`JK
zKYiL@?&lpmItL@p2^+0o5w`F@C3w)_TyRl{!KBYyYBUe<*VRe>jA}U8`tVX!xBAl}
zxp&WnXHD8}Sus(3Q)bk&Z-@VT{3?2zZ7%Y_I{NgbZDpQ%b^&vyx&OMh&_Y>uXN5~)
z*tW0*iyLIh-ERGUIDcZq+wyXeWG}|UYmJu5By0AaoEpTl*h0_TYs1t>EobzPTXjv|
zv_|H%<~6UC2bj7p8`*Vz7D<1SX6zR*XR7mAixV5lb`~$X;aj*`C-+!E+1@S3V<)yZ
ziX30MS#ti3LzBvOgmZtK^=OHY_5r3@HmZ9oXSfzd#fc<I9hH=fZ1^aW{xs0;+Y@*1
zzx5y6?f<>||L^eo|Ig0<`(*zA761Q#-|Ii$w*U8qyL<n|kD4u&jMd%qCv7?Pz~C9%
ztTVcE?)zTR-RAb8{+4FlEd{l^f?vY^pAsv)DR$6y|6bwe;u59m!cv9@{61c0Gqk+l
zVtaM}BqcrZSs(WQU3_W7-h=Y>zu(v0I{(8>Mmckie9aZzYb_tz>!WU^<b3$Q{O8&H
zU#F+m$gKZ&S$f;4b53(s{8O(lIy~c#s^33mp<}7;ihh5Y&3sOz=IZ@plvaBhWOwcl
zvzgDylWKERr~gu~HH;KJbT3|5X8KjdKi1XE&&y3iZ|vjv_bJ@0<K#Jxf3L#LI!@o?
zsLRiP@W*cXyQC=Pe~&;?Q{4W%14%6~_;+@F&V&iu4E|;0KlmfCLhkTdwLjlLO3teN
zc^!XKLGSwP56{1`o#XF%rB|i=WBUG0jy~U9KCG`xZQgMv`^f*q{0DywR=!KhQm*@~
zEqx><M)}`zkhaOvANT)1#rEr7){*&tPqBIKyx3Boo%iAL<4yk=Zi*eMKV_aFtKfX-
zhyM?@jf|Is586MKKEi%tiQAvab=NK*zk6V3cS@4-KjV3-h7Q--KJ=e;KYIV<Bb%Ca
z&mY_WzkGY^sdr9)#Q*>B&l8`#|4{wU<(H49hAaMCZNE3xY2DMs|L5P+(+vN7@z?V0
z-X~Y89oez{34^7;LHkG2OW02IxP5sny@dV5TeU48c#W7->RHa#G{0e(d9P!|{w=@0
z@Be#u{{N%<{~X@`|8ab^{=>(X67!QM%#b;Kkfr;`(-c0n=MUZsexGMIrTM>UK-6XS
zFVlT1BW8d8Z(8=OF*NkL)OWQB6TF@FIW$b2(8BQ2rs8}}{@PsQh1*$#CK>FVwyf*o
zr>+df+V!>Tbvt;Nj5;)oBUl4xrj$6yyjs)IWue^Rqr}&saW4OD?egX|()aD}zTUlm
zYy0N&TlUNHzZHB_WX6?PDkwX7W}~U=mwjh^Z2Z2gJELRcSQ3(EW~aF@Kjqnj%R*A?
z|9$P*JhNLl^~=(j{_wY{U#6ZpWD(>v|76c}Pt`A#4zrAyqi<g>+c@jjx$P}>6K6K|
zd%p;tIn&}^W8B1<t-`V|LTBFmu|a&ViZQ$V*F|@YiVJ-w#7&sl-sxBsn3h;G$^HCE
zohJX!8Ou+c5$H?1%s5lBLVq^jsWV5m$W%qAi7k76p>F2Q8CNIXn>4ferflZ=Gch$2
zJ@ZeTIXr#lmt|)TS%mquS9j<%9Y6KLga2jFrVoZM)HZr*w*)`^vhK!`)CA{zD=p)=
z$mtiYjUJnpO3F@{*;~4_Bp{9N+O$iGGk5N=oNPB`X8ZDA7u=1wlikH+r$@4M8^3I^
zNHzN=c4_USDK`~urn<iG^u5J4@64iPBV)t$Q|?Wl+4?p0OXHcGHE$f|pE`4}i?1Xq
zP48RU#dM?328(TL^)?%9OTFYd)3u;ptyafxX0xtqNyHo@^%hOZ60e*~h6a|+b`xiI
zPXBem+-P%wbG}r(l96<=^#zuhmOs|W#wr_&SO2;oUQ{m^_I;Ut>M`@QhJBMFS>Ch0
z$Syn;Ge=`#|543i=O0V>Z57Q{{)>Ea@5_=iK4;#$`ky>=XyLpsTh8R%xzPPj*O+~B
z)y2g|#fDp(<R;H-53j!b*ogaa%7n60I!(fkRr}m08l=i?dJ$Q8Y>$B1vrF7b-SZk{
z=e}^AIkRFBv#qi*_wV8tkuz^vh%J#dD&Ek0Kf$Q@W7veai8I?vE5EEdb7;mi@AW5j
znsP0_?5dd9x>0?0obYlTnL~?WZmF6{nr$$c9ye)br>|_r_A@$f&Mcgsn)dh|&n<0Z
zcK)r)YmFX<mZ|O4HReB`eyMI|tD)xXdlMpAuBTm|{b-8ohILJGniE#J-ngG~Np9oG
zjz-&=U#6Y8VN|~4_R-^hM-E+6SUYKEZ$8%xzL}O5T)TqP^wP=~MW;S{m|}NVZL>k%
zndPEJ-3eZHYjp&t{ZpIAc_Cuqq+ey9w%J6fo37Y5dyi@VwbN&gG}-KmP7{0f{36@T
zn-TN;%TJy;X#R6cRGOY&`4Us3&mVjyTsx)HQyZJH`i#<!)!cW3m26H_*zQ``v~E(?
z$4~#>>6NU%p``!*dilcNDQS;(p4$?bCRSFm%-3l1#?=jGr_UVBydXAn=Z<~awi?F#
z)?6<YXSRNfO0SAY6Fl?Ar+*4Zx$62$*TXJ|y9SxY{nUTu^S#^mlaKxzpW7*ENqR3B
zXMSX2-V&Q;Ht)4laLTg;M@8SMGrN;xUs}zqoN>E%*-4$A-n$u#&fE|zU7USX^Koh_
z>)UB^DX(Uq_!yfp>Bf>@w@cDz=@<*Mb7f9Dqq8U2V{>ZS<BNGF?rD3}UVE9JICJ#b
zIg{8lIX=+~JTqGhcWKA!Z|2zd%FFnu=Hu`X)>@so)IFc(M>?2y`+hq0{}<CNePdzk
z-IthVMpmqzAa<%J{NI9|M#Ucz?Cxq9i*s{j%sXRqMt{NV6tQE$v6(Z^C{=8oFs(cI
zSwb?atoZVt@PE-<FU2-`#{JCKTT*}W%#n|ITRhUl-o0|`PEAXW7LQUgX5Y<~x$;bm
z%nQHvZr5jtx#d<$#&JKtFDOqHJGf8CO3Ntjp8QM4_b1LA=t;6tFc$tUdeL!aWW~G}
z6VBw+#JI?xI&<)((l3uRy?dIA9vgk$u)o9agicR+$GypsEWbPZI(x)Fnoqm%+sODs
zl3}fav9Naci{P1&5rHntQ`3^G3~SYl+2h47KQ}7gxS4(Kl$q`7H?N)4>8bAUJE_z3
z)%8o~iHfEFsyJ(vjhT<1&e*f%O^7`Exp#iTDQSt}<-6R{%)XT_*MIU)=FMBb_)}+&
zo_2{pdFJrScVD)iITUeg^1KO=EZ$X@CM!LD`s0+`mnmm>*1T}^KYiv%y6TtwnUOm-
ztJ`WB$Mp%@su{C??vR^4vzxOvWBC~yn>*d}rbkNjPrt-%)cs&%P}RmCXKbs^>g1eT
z@^#YlVEH$)dW+MSh+REi)qd(l<jk2C*JhWU==uHH_58^*$7F3^iq8C4y?;vF)S2CD
zkA9hT#>OVvW&LTLp7p0Prk_!&SUn|9NOJwIaM8<oGbMklVc)A`EWCZng}9lK6)V`^
zPM+EOcj=dHXJllw7ydOWHtc@EJG0Wl=gYD)Klrql+ZsK#UNh&Okfi?FbhZ~|FEtn1
z_0PWC7k0Ae{RWv`&S_$P&o9h1dc3rh?XH$FJNxa+xkkl>2K`|t&K$lM6Q#M?AneP+
zQlst%+w8W)rR=HOx0wH~t}(Oxmqolrk1JogmY+OxXc@!WX)}AjS6`Hx`H^c4|JtcD
zyRU!FTz$r7&ouw<Cv<vT-@b^O>AGQq>|OP@b3YioU$r1y_4LAh;j;yn^d?Q-Qzs|C
z#Mj8Ua20dzG)d{(Ik%LIrP)8dbew5vaj{cw(#-DuqL+y?D<$rC%1w-vaR2<WZl-Gi
z!xrB;M(pJw7s4<7imYw^mZxW{V0QBLbLE{|V$;;_on5ABw7EcK_OerFjxEyJ<(I}c
zFT?$E%CjV2G2baO+b`cNS$pPCgy;fKqwWWfd1mSO&Fr?;e&Jnssv`4mi2E#6vy<Mh
zEgfg+8Vj$!eNkp+<ct}v<|ob^d!*nyeP*+(<19sE@y(lGRL!iMG1b*LRqWW6zLL#n
zlxAF-_^i8U`nC02m$MofZ_u1AcIwQLb$%w{X<}uYxTntSJzkVK?~KfzY3}A9SBlQ)
zuD@U;S^2|ScX~9><Ig_3T+;+=rg{DslGI-_Ki9uICGA0kn(nljoo{b$aY+-CJF#r3
zZA<IS-pLCp@BcclWl~tMr-je+$kEw1zw~^O=lt;WPf3HHi06qT%8XW#Dmk{Fj^3H)
z*E#ja!&tA|N7l)#(Ob&y@+2u!?XI>G|7oL_c7m-R9n^9K16ghxXRiJ-f5wKbTo<l7
z$?n^ApZ|i}O3Qsa>^Ux|3C^roX>O~k#J#)v@@1tYW7#FgU7tJ%nJ`aaVt0D+i~CBS
zHYm5ti3Unk8^2T(blspoS#H`g%^r0o6TcOQr~h7Av&%Kh@2|D-&oBCdGb1Ltd%LD2
zrpoCGPweH5&6wCxaqv>BSoevekItFIyU0x|S;nfwl^n0QRy2?$U;L64=f-NA2#@m4
zj<o@bYsDsZMzU`4auG`tzaXo$Y2)q*VI3z9o^IU|<npGe>qSe4&7EKubytyNjY_`)
zTm)rw7WJRolkufqRZObSa_`GOn;Q*fvb9#c>rtP~_N?H{JKx{j=hh|h9$$0s%j(UI
zwlb@=R@}dtYk9b^nsu-4mZyBjuWniLBj*9{@u^$>`23#zga6Sy-V6JhE+uT=%;C>y
zz3r-~$p)WgZ<Mc1x%9WO<i_DoKD*R)`5qtixiv@3{X=4d*^<L=G~?d+`Y$_L)6VvC
z<CYsoiY>o*MCCku>r-W*`|U%fovwfP;T^X9)0P|+%z5R+eL3+fKS$ZJ*_V@;e{*O0
zM&&#zP`o=+EP6(;!}jGz&Ehnd+`g2={$1?i$1OLGE>!xZp!;pZwvKz=-G?hCz3_;V
zIrviOmzC_ZqyH}&{Zi63E7;QFxBTdvSza$fqH-Rluvb~?ZY$g){?@BoHb#2M^UF!%
z%RgthN4<F%VYYX&Si7Ne`?sY>1<$<nsJ@gK_rHFs=X!RprdZW8;#sVwf7B%8elMRm
zy)Srwzs%}?&NolH#}%Di5jIy`>fR?^i+5exQ!^wNzWe`Ebj$8V`5(exN*nBGG+cb9
zK>F;C9V?rriPh^zOxred+G#zh>s^Ig*8KRhKzaL@Sm(Vvo8nfj;G3uZ{LlYmmg}3u
zM8ER{?ULbHyuQ1NwfpkNX##AQ&2!TvB)lGXSF=|yInS}Mb6vLeQ3qw;Z0n;(9A@1s
z>uRktS^QQ+djHbbxBUtQmd?ywzGKIPw5@X=J!G17x6DhTHq-C6rr?!Jyhn4-?(bAI
zS$Nj%j6haKUg7+0K|D5c3#4zKGyE9Q@NDbdsjY@3!M8Qd{yCX%pZn;6)~x$wUESIl
zj<+@C+%6w7dw%Ya!med!d8E&pY&^@u&Rdc@TT<^;X3%U&IkpQ=%#07c@DILqrei_h
zvTcTnzZy-ZiHT2V-5r0|D(%h*!Ik>FLh>SaBE0Hf&*YoEW8V}$QBRwHC-0vLnkC_J
z+V_mc(v^%WfB#@_>^}WMKIrR@=MA^3FHKZhxl45Qz7;+H^g^%SIC99yN++zpe*X5|
zbN;!nlKcNJ$J>9Fid@W}*PE-WE;U;Qeg4>gK2LM;|Ea5v+uKHmxlKO$>~Z|O+_#>$
zui8&95$mt7Fxxsst>f$C`*VBsmuf2Y*PEEFp0dvKyswYm((gxZUe-@zK7IPd{Gifa
zUmcCb<ww2Ui@Eu~EMY%#xO?r^4Le(wbsz3ttF>Wc%d*btVSlnWiJ#8QsGM-=fk4@`
z%e9s-g?W6mmgYWUcpSgjS99sdM+}eU7r)h7UVZem@;}{M=Bg$-xuxu@PrcY;Q9o;U
zg`&g!WzXflO}Sk9vR(X`^wScP+P@snrd`^4>CY<n?xOjZy<bj$X;6LP=F*Zlt22Hj
znUa5%WYes7E$LBuX)yaz_-V~Ke49;U1Kr}R&ipHh(%kvdVD}ZvrB9X|eiNFnt!ypZ
z$8)@NX-chqgjv#+`O$jWvS;<T{S*K9_Rhq`$NQ|O*YA3?s?hE9(eT(VUC}RTNBNs<
zpKh7BxOdvbIc1V^>lc`7{SeiC9=q!HttiL!;eQMw^q$1j{p>g$t+(sRE3u^;b~b$r
z`M|CEjdO>c|DPFhrAMc}x?A{E!%nJa!F29lEZ&#WKa|?f`4+ZiZt}zLTi#n2<;3{p
z*Sq&McW3-Bf3o9;^4`y~mU4CO?E93}d;TwIzCTg;p!|yfSJw?&dS&W1ZMpF9y_Zn|
zr|ilVC$%*qK8HR3aAD$Nx4wVxKh3(f+PyDBcm2MMBlDx`qvC3hPOX<c+?EluQ_|?o
zr&EE8Pi>Wnwp&)3^LobWX#Jm+na3=j&$(<kBi4G=*H70jJ~mk6ydie!<?Z@bANsXk
z*k@?e%@NLte|d#Bi!J2IDvfy6Fn;cXVV$Od&Z<>651#Q_=W{S2EOpJh^`h(KudI0#
zzw%b|tgP%0%<E#8?mC&ahN-pw&<?Ni%bu>gX4_0%v1?;U(jMvQyH`Fsb$93NRS|bT
zi}sa&_6|)CxX*nd+;s8p-**2)*8V#E$VPO<p81CBuKj(w%H#X>iL=+N%gK>RTdZJz
z!%%En$f_?v^W~!McPyH+E@;o0<)Zq2FSCwT|Jw4%HfWdI$D;XRdt7=WWp}MSCSzZs
zu0JC<c6FcUN_o|*4_;-ZeA*K7*|045xPbMM&mpr*|1M&lmGycNGuz@I#ii_r1J)i(
ze<Qy0AfMK?V$q<<3m3m%`K;ZQ-DS4EL_l-TlAyU;A78w>LNfRK+Z7I5KL@XiTAXgO
zLdkZqrB<Nqv7I)1q}E-SdOSe*bicxkXyLcF&2I_GE~w7w_#ZI;$HK_1a`LGWTUXYv
zeW3QM>em{PR{`@I^v?Wl3%#<_+ra+RbO+xpD>toLx6PGz|NrfEk0lQ(*u8tf@NeVN
zG6uaDQaT-c8S8T2ykBqjU`>4J(SvtFL-?2O3pTj1zrOT;)aIp|(hTYjA70td7toyX
zWKPvwW1qhkD`x&a*t#*`qne#b>6}+7f2KWOwr~^M-yNoU!poC5{41T4b91g;Y}Yn1
zx%!GLv?fV<)*|Lr*;%Wnu6q`lClM0fZWWs9k$hHQZrI_wre>zAH%xO3UYr#s8>X=G
zN<dwNz?tCo8$tFvwrw<w3hvej&*_sr?qJt<qoC~*Lt99d)w{KiH}q8(9-9y~_4nsL
z2?qZ(G9@gfmNUO?ZWP${@xPSDDXz2-DUKC~T-vmBQdS*uvktY$SU*qxoP&ket`kA7
zBKAQ?14LHup0{vmUj9DW56S=bYIVu4*uAHIYw82_SM3X5EPluAXL2P}cK1Rjjps9a
zxlJ$3U%F)1^}2JWtFr@_b!ljYFkfU;Q2qV#IPZOvUy(;s?`yeTw8@J;TC>W)^g?HT
z?~YZ6N*kLJ)=l$0`<0j1#_=*!=kId|@`V?6)@3z(+$~&cQ_6WUsP+4!-VJ@`Mz#}H
z|9)=gG()h1*P&A@X1-I-il7rK4y_R}GPG_zqjknpk=uO9gwWQVnkkQuO(`(YSB<$N
z5^%n2SDj5<DR0Q@%^#diW4|hfyt?EtRnIr%^(Cj?SyvanSlgBOW96*A8((YX;?+!l
z$p#%xe;@O8#)>s7GkF%)E14K52+msJ-y$X@w6M3a^MYftQpv?7@=i5;vqN9kZ03J-
zFMH9Cd6O;*)UEVpmJNxU5`SQSKPy|?aVFvV4py<Kq{d$RV@z>LFK($7m1I7wiM=JU
z#+%)_<Ym$dJzJj3s`}z3k_X>cyb|troD)<WwK#p!{ivCyHj~ZSOA-?7HkZk?6nNVN
z{a+TN6ZF3-MrTt&w9TfCN^+JFlG!b>p*kBj7>M175aE{5>0{NKc7%!FJK0g<bdn>>
z>C*0A)58VT4!!jrx_V)gPwHFf{i-qu6>K~E^Oa|DQ~nPJ5p_$;=Ja2S8Jc8k&nMO_
zlH;hkV{66!`CP??N5Stl#g;gDFRc?T3TVC5cW}+FU$5$Ftk(pE_y>!>TOfBl)Y>L;
z>nei_;i77K0j;gvp$`|V)`}7NwBu^+?Y+)#R#r#(Ryt4KW#?AF&g*OXl{u@h{gs1l
zeqraojbXeGB;x<c3h~En`D8m=faiYr_R2R8yS;zTIp)MBzvB4=2bUf3byANvC2X*r
z&SMwSoX7Q0e3iim?a&!Nwrc78aTD$3_?*0aXPE5~=O4jFW(Jeoh5DT(CJV70Th`K9
zsG0b1)szi|rCt_4)=w$;cwh73!}Xei$L<FyHU0POJ9eaAtx@vO|79(W`<J(L)-P#k
zt$(K4+WYYpqewWP+K(yS*)^vQyUgc$95ufyAxObejl14YNW10aN}GLY%a(k~>G3+o
zr{{l6&rLm<KV0Rp!8)H~f`3%+t*X<yKl6pNeaHKt^{p*jiId}{ZoHYUw(ZB#*0pt+
zkLC846s(Wmwd1_L?Vb126LUVF=8*f)d+|t?{1f5rclCK~UQAb$`{d2d|9fe#`=3h(
z_y4_gbaCCwhlcxk9vANKdBAyJLU+waN4tsszm_&G|8wcc<+{v=lKZ!OToZ3~<Kc9@
zd7r)ct^XSJJpXIdBwmxeW#cvWw*qlryqS0Zxpc(4E;Dg^{H=<c`m%c-P1lS2>dn9Y
zXR5^d->EG5KaRafn9FaaxaZ|`wr@X{c5eT3=}_GMEgR44%kFtQUGLlPrS0W^jCz>s
zBo8{?mxx{S-O;?oxi0g;hWJ?)kEV;=`?~eX!@T)i$<6+*8v=Ect|-kB?OSCYU7Rc;
zzxw-4fw)C%rJ0Ks>*+pub;)g>o@~jbrQJ2LRSkNFH6E~=?dP-J9MXMC!2b0tx4!J$
zf3K&mJrT4zEY<6_=Iem?qu0~K_Uo2hY5A7EtHVdlxAbCGoLHL5p??AS=Ul3pqmCzL
zv+WPn`s&@xem71uE$3{GVzj84)rv!oe7jqB%*+pLUvtgdYdg;o`HBg*Zg2na`(oHj
zy(Sx}x1XZF{Qep<b&=|R>%(3f=3ahlvZ^fkT*zy+P{XTd^FxaROP)AikMrGoU5kB@
ztEltD(~H+%(%-4YT)E2NqNk|Xr_e;^RRvoF``-(`NV|H-KU40ne5Fa%X@}mpoo!m;
zb1Ma^J}a30n!jqwkrz`hhTi7fH8<_*y8JIwS0$~Q-MDI}#;z5@%iZFaesPFd!u4&g
z&9AJG%`42l>{@io#XL0P#X2tQXL56Hm^J2q$ueAR(*G|>uJ>L;z~b*AySkH7eS#MM
zpXue<^Ur46hJCV}ckj%<vFm4<YSb%3qp3@et=;_3c<Rz`Y8J20uhiLUy>PSJQlE)Y
z2flytKKy>cm7;yq<D*{8edYKzuYiC0@~Qx};O_=jQ<r|Tuz1b2b+y3n*4;cmr!XE~
z?lZ$K^<a?jWQ)noS7m;mTM~75^W4`9tGsijWdtlfHsyvv=FSb9HXd_WW;Nl;&y~TY
zi#1O6RUQZm{d8!<ne>0_LTc5|+>gJqVbZ;Po_(o*kEy+x*I3wj;mT_RS*_>grHcGo
zTRj)MZ`2dW)=2&T&zm<m|L%*HTQ@3RUESoYd;L+N=)YMkZE<UlKGxbB)y)~Ke*q*k
zk7Zii{G*Sx{#;IHI{w@D0n2{QJ#K3!f8`607hQ31WoY?Vhn@h|--V_xJ9AZRUn-`k
z)O*kED7*Fl>tin+t&qe=)vGpqs13dGqftxd&jkPSn$w==Tg_TGM!yo0+kdYyX#14a
zmty~Pr2D;hJ({<2{^}`5bVXmzD48kD+<D`HYpRmj*GWc+ChF?R(fW$QVZ~WSWjFt6
zHy_!2cw2nw)Eyq}8q7!YlByhf)lGk`kV+M?53^V`XD=U*+1FLFscz}Vl$-C?=mdq?
ziACl-PM&yH&Q8qo&HYkkwd!)&h&Q)O6E>$`Zxk+{n&bWaIFtT6o|`j{%~7<z?D}f!
z%q`1K8|`9ycz^xn$NP<y=PfqdyuoJT<Qs=BTb8TT<zBq)^XBuFzT3KzryN&nr>`)Z
zzOaSS^hSf)oOhR&T#lVqvdfTv)ttOT?6*DT-)!K&);lAoxin!T_qE2k1_$}7!)15e
za?4u2`pvwuUFFA`IM(04I#aUaTFb-OwVeAOUe7QRv43bRdAt3Jd*!}qC)?lY|2(<i
zyYg14Ww{?>B@LzbXgrQK`w=gB^x(Stw>J0O*Y-BnxbZYPdit)DS0z~w-Z}Db>AzIh
zW088luJuex_`dm@>0@90i+k&;tAowAhM8Vnzir#XZH9&W@=kl)e82V7wd=`+Y|#&H
zv@Kt^Rjqk?O4aq?)4Ecx*ZQvwKUS*r_Z!c==-Rt?)~c!OlU;RD>uO|<zR2s4)x4&i
zg*gu%pYwj#{pt;OGbj6g&%0fP-1{SAg>N0o5A0t4<Jrn}8{%SbdMfWXJ#aqZe%&g+
zozc4b(rl_#cUQ2B6o3EDQc*PN_8P0|=3N$3cJDVoa9rYc$<>6#-+uJ&m?B?!lJ~}s
zKkPpoCf(j(_1nH8YW7A=XWfA8P-Vq!<(`Qfc6#56*k`%z=ah+?%5MG0|E}4+Hpc7b
zn<qi15|yV1>HXd@<<pI0OG9SF#(25jIHJm)wyD)y$!wA*`||A!^S)?KmW}p`%()SC
zDsBI?AimpMrxe{>yL8I&G~K0#-dqjRIuxdBX`r2Y<%`*YZo8#DkwzEOqNj=Q&EB-6
z;N~RN*5hqDnul_ZPf|LRrgN`sZ<Y4`cfoAY8>fUDo->I*HuKqOi_0~V>9h17`+QEF
zlew?Q`0Vt;Wj~Fek~W8PKU#j-t!vj=xBY1P$JqT_Zn*wj()<0-rGtk1OFr(4uljL7
z|L~6s`pQ2}n7eTwuMPOSpZC|&#>sV=n-+ie<X-;g(lPbAmk)yXmlWR8x2<_LJ+bE9
zbita3(u;fbYcKFU)ZTCMVQc)XAIJ1{d&2v7wQMmws4pAyc)Fh2uchtTe~o%P>m(1R
ze4W?l%^qBHfirPcywr|!`o2D&y}2*{y>yIce@VgK_+2wzPM1sjnJTgQuThibKEqu*
z4zk}Bc=l^)qx9cPM{M?QDVV%omEZh#h{WewttQr2bFa*)YF&H%{Jno0&o;L1y87gI
z&g!ao)79Uvrmeeb`0uzuZ{6~(|C(o9+kgJjY{uyyl^p)(`uw+;Gx?QUT+CgotSR?I
zH9X#MJ<-lzaJKi~<nsGc+w^BzWvsZ$wkzK^dF{W&tD`txRF%HkDAdEMcI!A(*}shk
zuGLqp4PI^~z#a2p<BxL5EAclg6KZW!jxOHtkJ)4IE5;nISyHc8>24O=`6v1FiNLiM
z?ahkH$B!^=6>ThT`p+KV&CDXgz`(%4U|8GbyD;?1ue>5=hK3?$27U$xhV;bT)cE|g
z_?*nNRK1G4oSV)~3Jr`52RD}G+}@UpgeE?jx9^Lx*WFvOQyXJl1pe=zDRtrU|Mxt{
z*uL=3joPB~r*wOh$Qkj;`?K_Jc{g0YAnfLU(Ei`rzCDcL3)4!=)-74Jby*zSxg1HJ
zji)B%S-y`|UH*};<1UN-8ph>$CnqUuuzV7iSX6)gVgl=(t%g6pMcYNBhLzW?dMP3y
zy>sRXf!i8?dlkeC4eqb~eK5=7+G@T|=5M}x?E>-yX3pT@j@~i5@xe6ZBVr<I0`nxd
z-D7s#<<otrOe1@e#dki%-QTSG-26&8R$frKUU=q{N1N^RQ0@YLyIVIi1=E}+hi0wF
ztW>J?Zu@F)HM1>Uc8B-^!#V#BGEKU8>Dpd9Yw3Tc@(TSW*Y>Ml&2=ni>s}i%NA{>4
zk1^M)&79Y^?Y($b%0<?sr(|2Ie%EA?Nu`GpSsQoCB)ofgCtS@azN`Moqq4Koj&~UH
zF1~yon`o}`L?q66=a~o0D~=u$?L6GeKRx8T>l>b*&eAm(r+vxZJ=@hY!uLz?hxU?s
zMTWRruC8o9?}amlv!pz7Wqq&KX51sYMe)Lc(106lF+2YFwP?D%ZMw9FOJHu}T88Tv
z><jwSGUc5X%FU?WF6G;lapE!KanIh<e;xWZ{4-n;?wG5Y<(_I}mmDG1ulH8sncb@U
zAGUVd^)C3iQ1PZ>fnJwB-%j7!Z-*EDl9;}|s`f&U{?drl6%#ej^GsCIH_EDdE%++-
z`=8xk+MhX;*!w+*o6b?9$#{psvNES(it#tK>vorgXBrjhzkPKj_vB^2%4-(=n-16=
z`{35=Qnlp~=iVik_~mV;Pt{Q_n4x(f?&~st&J&B5&6w`TXO_$srSw%Ny{fGD;;V8E
zspy!sQJs}a&SFe)o7A}OU0pQ$g^5jn)^&yDMTfrbtFKtsDJkHP5W)H;OVcSRY3t5q
zcP(Ph9=g1$uQFSk@%AgGpPU!uWv@?B2wySZ{?w|}c}IT>70;}neIYQXRonW`?=LUU
zIwVWiuPsk)&e5!WX2!HvwD01U%RV<ns;jPVe&x5*VB4If>sA(@EiSHKbKU0B{H<$_
zJ4)VsIqB*j_y2LbSFW{9K4IA5zsE&9NWq-NU^1s}Z>WaKn(C{5%aT2Qw!8K1Xf@4n
zHBC@mc=W^h*3ZTc8>in%er~Yv;MHG`jTA3B9W&|O`f9!#%aMYWjV8N370b*Okrdm%
zMJ>lVi19_WLD?MjtC{SDMayiayt(oxVfVCmA|@#^!jB3R!UCTLhV?vVkoK?rxnp5J
ztIL`>uX=j>H@4<QCcQkq>Q(Cz<<l`n4>W2Nt+#MJ-j{sDRpvv2`I&3xcb0u<GO;wT
zk-Kd!(S7IL6E#)8?9z?%cJ{7uJKz-5;d1KUdU++?dpG^w{EXsjC_QsLqw(`S|NS$C
zm+I$>{LO06i}m=h`1Rh0rPkUhPfHDwM3O)2IX8Zdme1c3`TWt<MR&B5l0$66ZU=vN
zoAS!8QZd#x$%2h5HneBI&xfpo3Z+F8p1E0hr^if)PWQK%mc}#rnoo(4ao6hCLVtRa
zIbH8ukGNydm$$FR`+Lr1`|#I`Zr<<L6Yso!c2Rj>!9y-%gXJ$z)%33umwN8Vt+dbT
z>I0sOS{LI3x*ltvJ$pW?>-|@O&&TC_1YFwtewnMyn-N_XB63ne_siM8(-s+79bV+K
z;N8*9FBIx^)>(er7;mcjhqrLUB-b);Yt|Ve3}<`R_36F6I;m0Zvx1>$?E2j3cHfVd
z)<0&x64%PPeo18SV#g<ZY*Qqk=>*R6($*IE^ZS@R$Fpx+wZ*c8x8LrP`=<Q#f<xHM
z>ccHQ-WK0V(skBN7r1aK@9K|hR)U(kPES>*%;nwEc!^<&it3d|TV|h-m;34_vbbJ-
z`sZAaU-qAQ?}@xS#~pw3LG|lP?`L)0xRkS6b@kNu=QcKF9bNLCC!tI|cd1=<SgfW`
z+gnNTm+SZ4Y*AgCbeDaT(C&ksn#a9<9eVJ|)o6bY&-4tT&F`<C+}b1gYOB~B<9tcs
z#VIep)#`1@IQ#kV6{WX_^~HIn@>k6|<*NDi(Y8x@0&9!yw&|<R?&4Nu6TkQ1SC0O)
zJBKE)7rkh5@k&XGxL73MV`*K>%*}OY;-Lw@zwJnV*3f6j*||7owf2!U_S`wXTh*FF
zSdQ|TRFvyj%*}S#s_irXlFJQI<=~%HHSgt{HY;evKRFSns}(&XW&duGC0iHG3Q=0y
zvM$3e@YQ*}Md#VN=WuiNOWo3UIrI7D<%?@&9{qpI&$%Xj=jVi@=aU|LJNZPGxlPEo
z3zaJE{LpHC@72}b!y7w(<b;PhOrJ7iV%$p4KRvFwmvTHlZJzJBd3sRz1h;(_H#4_y
z7C$h*Z+d_B(LcsFE_SA6w`_l&T~%Q@@1|y3r1GL=rFt*ETWWXPw{4Y~e^&g+m8BWC
zkG1Y;=&&o*oPPDwxq#ke>yqhP7+2-XaF{C3=U3J+&^*64pRK*<|Htm%(vi~3!;h{$
z5iNCJ((LNW$wtwiY<65T*cHBd(aJj;;%`(ed(8coN#=p|w4bFccBwBeo;-7MO27qP
ziQEm=?MH9F%+ObsKeuBeQ_01qt!HzbXQ}*}bZ?`Ug}=P!3u8VpUE`>~d!j=mT8p*{
zKj+&v^XDrezi&tT7<McYY-QeDbh2Ncd7n?%&l7&)xt~{kIA8nXl8TbnjBh!dUl(xs
zE;tk7-5qCFv+w@QhRutgTosNr6>;9$RuHSoRi05L*(2Yjaqabqi#{<Q*R?#1XQ|OW
zYI=H#gL?Qp1Amv`CjqAAZ(V$H_T{XzdT(sDKk?-M4)I^5SGzwxTX@*;qO5BUqjD+N
z#l6fct@C8(Kezi`-XZC0Sa>|iAh+mFLd%5R4F;us9<p!58%)nxPcoWO!F*3X!RK42
zg#0!AVlKt+igr5F8hF-yFTGb<aaV2b^hZoglPzymG#5X*asBOq6ZdAkG*}+cw>&bk
zitFz#FEf!fNta$9RzG=4;MeT;fqMV0?cB7qLqloL8->`g9X2l;fBnf_Z8uekhjFUc
zo}8~!yk)MlA7Ea@Rb0xrC#;RLw6^yD$E&to=O;Xm{J=KDQ6svET}ikxy)b~s;eXqV
zUOCgnN^_R}dBi>6?m^w5<0-->zG>4^avz_O`(qM$g>mNWiz&9^iN`OsWCTs~;P7Y7
z7YK_;eY(I~JSWDyVB;Z+=(rc^8q0;(*4y1+Zd{_ex08kC*6C9hFP~SKp1k)a^N;Go
z;&0a8=y~weda<=#a^O=Rro~I#dDt#IotIn4lo0mT)V%F5uaeA`=ts9_S)H%7J>Oq&
z^{k5eRqb~deIz0~T7x58?`=qJ^<$3ewU}E|I;F-_?RxeOj`?95UJKnav5G%BtGiS?
zBAq>7GoX;c{)~(FkxGfZ^Q%g4x}Ui?WtWuQUz5lCZg^Qra6IEUFT_+KwazM7Cxmh9
zzMGB*tEIBso~xS(9ZQWkk=Ogd>Fu@4wykfLO4L=CB|ez5t%^y%V2wti(}!P|xjkp=
zuV}t4pLiu;=JLE(b^fyh&uKfDY<Kbem9lcLPs_tXv1W()bN)6je{u9CUv6)F_*eHV
zHr9#1+W7V^SyWfD?s?I%#+tGd_Ftw?T%z;jX>&8%BNzXFYjgIm^^8o6jVx2Y>sR;q
z+Cnb>*=O<(eB0r%Et_xZpKmM6BRMR4c>c_pRGFDwt`vEoD}Zs!>IWA#ZaVL>UL{aX
zJN3fNH=B1l8r|j)jXoV#m{irRXXurDYViTj(=2<`pNH+-`$aQCfmz65XGw>Du2)Oy
z=DVe@mpohG$)&7xbj2;@mj|Uq=0@K-ymsCkz0*dO{eG4L29=e`vuAa&Uug>SlT1)L
z!ysV$Ic;0o=^OX{1<Wi^{roKWM(z=&Wxu8Vq^)6RUc#6q-<tgXnMSVlvGZ9DF~3$a
zsH&*HS|JedakqN(@$k=2X6Q|Sy5~_`*t8rw$Mi+!hZVh)KTg{%kaYT1{h^#2MT^f_
z-qW3P?Tgu(>Xm)MvM;h5{EOt$pU#v$bLaze&+HK1x}}Ww^}ep$bik&&DarBAmog#A
z=f<lHl$e+gbaTv}w$FEC*81kdY3E*@?lzwxdqc#2ZBgNB-*v}7?u?HpKhQmITKBKK
z%OAd(3yMfptgVeqx^-*2zz^=5N)FtvGbWn9?42t#bN+=kUHwh*pYpgq{;R4o{vGS(
zB)wNB-lTA9J;z*wbJ|X6Ozt*vyI)GZHOsk>Jjs@!o?&WM=U#`eOJbM{o#vdD`sb}6
z@7eqQQkkt!cGI4cH=Jtk7?QgL_Pu>LEi3q<uD^I}iCa@xs!C0q(6kh#i60BDKYuiN
zuJM-*r*_}AauEF<reEgIx~YHpJQddR9@!Y_8=O{#61}@tJ}HXN5zLv85t81xGoL@U
z@j&DGEt4)L3FZB|{Bc=Ej>W7hasK>!7f&3V9C=@mE3J|1_0=^8nmAs*K6w82)U)OL
z^Ofhm<9V)AXL)+I^`%qXmn&cHiG6e;?Z!R9dU5ZGYu{}+vsL8tLfcH!N!^a@o`)mz
z>>ezCRqZs}o9FN%_lXWlA50U>`ITSo?=D?8`E9kC@r}j)w@=rep6+6N?49sb6`L))
zM8p)1otRRwdy|UCFPZnD+W8GeqFRRTz2;MF+a|qj|GqZoNJr%PUi;5q8xIAU+&P`1
zkg|?9b=ULbi_7M$dZ@afU*K_o^H-_b1w00S)Hl4{{dJ=w!#tBe0$h4Yo)a#fG<#>k
zEf%rU@MufpOH14Bc1e-1P1ie3x;RVvTZoGPsawbTR|_wW=)Al$)mpnZJ$M6mdLUo)
zibtC7HDr<|MVQ599eKsLLnv8vcgvA`TUk=u|9_b7{^F11?MpB69)wnWxcbuMc6#9@
zex(^*HpTOnzB~5+;mcir#17qc`l_4q^hnJ{_etD=J)5~V|LcyI&ANAI_7cyTTa3Am
zE)O;D4__3~HNTKEP+Ixws+-y>_f1Y%XU{mcKl;;7e%{|YpPfHRY~imvIH8*Lace^Q
zem&l~oW7ce4l(`V3f}bWn|kHumvVj0JJ$vBe8@k}t0OC0?JLYZ^UJ>e3Cu##2hQY(
z35mLL1xW1m6gtNy%)aig41=h^ir0oO>}Kzse52+?NXMdrb+yGsp&E_{dcW2-bjsh~
zQ>i_Z?WE)ed)pP4F27o!dF_Myy%a~8r$rk6%+0o)8Ar3?Zmg6owTRJfQ0+(&6z#T1
zo?GJjY^ovyXVU*pt=04Y_s{<QQ0mXREfEJorPYtztA27k;$F?JFXn!|0S`{by=hg+
z*}b=5wMWRh7snWnJw4BHJ7#*1?!}9uyN_zCTs!?{!QSn@>lWvi?Cwu~sgfi6ip_7=
z(yB#j9@k$UJNf&~$~&`v_C8?w8s9DHyD7xr<asrYgU^3CuuYaLyFPPW_B8RWe$!Q_
zgg3tW5_943^>rml$<G}2RZ4tMv3nP1du+?TNj-g21l2EGIZ}D3NPnu#rd={@A;JGr
zRVNhO{Q4^T`@Nq%`P)BK&vBT%Zq`M+lEkZ7+V?zH)~xxW{IXJZRiTsMjFzlDuGU+3
zY?5vkTl1>TI^6QueZl${mse!SG+&sT#oCg#w6~!_aN)AeRtvQ`x$O5C`gp9kn9}*g
zs&j+FK4;~K->dg8o%is-v-x|b-3|F7+xO1?%*z9-&hOdGYQG_fHNCx>sV8NhJB#R4
zVd2mBnx@P?dTid8f;HDa-TS(itMq@<gYIGmCGQ!FA4><w-^ePRRN%M%;zBEC#eJtQ
zar-bV`#yW#jPPx~`Frd+;uqcib^ipfW5R^WxGX=O1t;=n$jX~?PVVd$?0$c7A-CC9
zE~{@U4M(1>5eo|QNmF1n6Px_uS9o$-$I{rWM77PoKdztfNNKg_zG>R?%ubt6Dwupk
z?ds+|U5BN%9Q(xjC+f%^c82QBJa;xsc&DU2v4gvYv6y4+o&~16j+_pYI+J_x<k1ED
zWfe{{CLGve`15(@!98o6w_Td;e9rMgtKjj8qRMA~O^NDYx+zdspYo2QY=Q6HiOcpI
z9eQFkX{E;VT{|AF`h4Nz{awqX<Yw92uDqS<pZ)mn%ECk3wf<$d*F{IQnydUsTpi!K
zb6tiqlhp#xge997WIXdsRW~lZ5c9`n;-LU<dBMOrPFwb$>lSxcE$3Y|-OSHp?RiO$
z=Y6pvyPlezGMydxKtrH7t@BELa`{TRH}5;`;%!;7lDAA*S;&6I_?n{ibI043rxJ=R
zWi-tD=5F*jlP7%SZc2vy)HKuc$8%piNjvrMBWrD@#LVyN3pR$=ZnT<ZJ?C3tl;x?V
zn?6KaIy7&o_;M_}`Rd_+uPOr8C*PU6+hxfW3Ed-aM1oH^TyTAHTmIUo(y5y&rDu6t
zKNGto^?vznnIL|bWeK}kOV^xMRQu-j(743;!(;Y6JSP+?O^*~?HNPvEvh?K(SM%TU
zjejmD1y5|4n7&L*`h>ghgAn;iHy>>9Ox?)--Q<kr>gPJi$LIU*Ugf{wmWx1R(aKfp
zO6ITBuXd}s8g*#Tol|aH6DQs^)J>DQ)4gYAzkYbkHdT*eS*2WMU7f=bcjV0L!;|IT
z?VEe@-U+1%NnRTlPt~42Q$0J#vU>%mg~i+o^G))s5AI%`WO@BrWmC}Jh7Hqds}pBG
zFFC?K>5wDu1J(_Dx5Yl@*|R&pf60Y!t;|0ZA3wYMM7dQ|+-}`Bj$^iy*GykgF~8nu
z?S;dK&od@8>+{>~{q#!W^m_fsZS$o!{w(;aZTGO6xhCnof133F9RAE^;jf$T?9<r4
z=1fP|8q50Cwk<0ZgmUVb{@o0pv3-ec(5v?78_K)hL_4)Cu$uS8R;}rGvRY|PtFM4p
z_}>@OVv&NJK^(zFyQ6ELtZj&V(PJYf^TJYbZmA0MrF4rw)eHMmxIZ>6PxP5{>5><}
z)CvopEVXG03ZEB#dUTm%edjTEzO0E6zG<6}$Hl}Ob1B?EcjMjst`99TGs1bc<zHQE
z@b>Ah?3Sj5Wq)JteP4OU@IZFaJc)Mue1Sblw@%JXoFOge@;~gD!PD*O4sWt1%zVE-
z@1sWhyd|%9A3WZ#y*fH)mb0?q^DT^*qtq4sT|cZ5yR|%P@igPVuZ*;}W}Y~u>*iJ|
zrx^8)Pw;I^E?a9$9H%Rb{k{Z~)aH<OiDNJ3J^pm0M)%mx=RY^_9o}xWMzBm_{Rid9
z<Gr$BH8Xn+1Q)UD3-~|U(=j{e<fo^Nr@nsNoa`~rc-@o4&FY4^)eFSWsjyUBiVXQX
zJ8{yA+?&sOq<@7lmwZ;w-11+odcrI1wigXjrv#V$4&5=2V|khLv3Ey}!{5Dh|E2dR
z;an5Xam(_1bu-T#dBL=j>vh&vkE^~8r>33A+$ldHZ+}Fk_+I5hydMJ3F>*0URZdrw
zf4zk}aQdRZ^WKW*Ot=s|$#<*A84H!H%0(}|cF1ma*Ax4-z~AcDyw`VTs}y*zl-QpU
znyJ0uQknXX#L6_Q%ZFnQK6p3(a>9evHHu+Z!!<Y+ulYt^`Ng*Xy;bM_vmfQf7Zo2^
z@AH;H__VmT^vNTI+ZCODl=QDZR+5rhP_pgvxsKTm^Ox0K`?}lm$-kXds*(F2NvT^T
z>^qxq-$nYd{*%k^pRSoIDWnzoM#ulK^g`3B1g^&G<uguXcp90j27Ax_lwS6meQD9O
z+pV25A8P(S=Gbmh!r8#+wW&BLbo!Cxwr1Zw_s_fE+<PfdWa5=CEVBYi6@+B+F0;A5
zx>3-ib$*TN;RoAw7(ee&Vzr-=xA?k>+B<ErWwG9ldqiH;Jl$ehcY0>@AtQHJ5#z?o
zcOUI3-0w9@ZF|b3XWi{v63qO>di!5)@D{du*SMK;-rY}*C#1Q|UOw<%=>AmLO0BK0
zulK`i>#McVQ;w_7x#8<$$NK;Miw4CqOJ(j^dzItg%ku_xmVSEq_kyWNU*P-2n|ga}
zE&rt?oe`~;l=#DQ>UOu+!6ir2__;((YkcQTS|P0%>9_TZ(Zko$M^<^SZ8mFPnHspE
zIGIUjP1p~;7cJ4*3VbWqc`dN5HQQq}VSn!O`;O(DG5e<p_svnbtd}I^x@LkzV8{EN
z6V#qP`ds?sQ>5K=W7evQVzZZf>oy5ollUzXY`^p2o$TQG4Qii$g-&DSII`WRAz8{@
zD{Y_EtgywtWj;^W^!KtJEvfjpN4@^(^5DI(YKLC>ObR($x_a^7kl9_~VoIA^>-XMx
zW#n-@JYXW@1^IQCei}cC>eFdnUhNa%7xw?6?fuW6Grvqq?|)Hhe*Z@AW$$C!8_pl_
zSoM7R#ATf4`7V2(VkuDHZuRNB$@=W2GmS27E8j6=%B_CMeD@1UH`>b<y2tpR?Xu;O
z*u8P#!wpyWKirhQV`KVz8Sy6%Pnu2AyODiD>a@u`#(A-?D*uNY{gT>SA(*@Oo`ApF
zf(=&1<~P<ec&%E0Wya~&Bdoim6WpzBOV$XVmOkg2TP5q&z`H_QQN%K3&cfNJPpq_x
zn`fuRZdkd&YbC>z2%ov1jOSdB&)xQKkyhzvohcmq9=+ja%#YZA>+>nCMHhl|7kyj2
z?8UDOEUM<~?%xxe%Hr>`?8U<7Se1>nEA#UARvt9J|3^0HZ?27m)avbiH_g5|+9d9t
zdTIMXrDOA3SI0IB9$J2B*4xw%5-Vd?)^#l~*rLvM_vY=?$0r|`h<@J6xJmbn#)h<O
zD__aXx|=KiF(`Ugw1bv~*rSK8XSlos-e<kN@ky_I%K_D=f8Q6X2`pRtb-JSd>-SrK
ze`poscI=xUebVTx`V-^%eoGwGJf_}rXWjm&$l>U{ok>iW_lI^SwcO;sdO<DDmV2Sa
z9iw(<mTo`$?TTMI?B`eg<Tw4_-)!CYO@2Y)BsH$M{l~Aaz9_{ac7DmE`Dx!x&nDZ1
zel}b${UBF2HuK-D4@>zDoYI&Uz2<w&g7aZVC$&v=OUj?$^|ENumS2lcOGv!PVvk^w
z%;wmy7a6f9%gFKVm3j7(A}uvK3Y8g`SFr2IZ12~->@64<e!JB1d|{3M)oRNfKUFf`
zuGx3z*rT6YzG-^;6zsYvbG_@woXuC)Zubz$Oa7yCwzeekifpXRmAv+FKZ!MY&Fo&*
zr&h;I-C-OXkrYzrlzzA3RKgri-flIHupL~%i~VnG=Jt{@aN~E`B)agZ>5lKt7CSb&
z2KLUq9d%3Bq_*YrdE?DYrA90DSJ%B*Q8v$~w>KtniQj3{bQ6Zfi(afTuF*)${SeP+
zW|pG=@80a+{x{9?&Y69Tn^^hf)Z79FAG_)o+j@**S9@*S{^+%}(~6Tkx!c($F_bMx
zONhR9qhWQ81>=R*k2BwN-9GkC_e&(-yc4WT1)4KHJDs-Z&$getkNuLJ=bo+`dHZK8
zDui*%t~ux~{p0W5r!S+$rHW&V7QJiLwA=GxG1vZB4r}(a^Ndb0r^j<-hsOrJ|Ehk*
zoMYCP-Q0ntJUZ=SYVDSf8xB1xm~?UuXF$aCy(P^v8f2E=VCb$ddjE)h1CzdR(jR9l
zhun*TMwi*mE3Ww!GJWLbc(Kr_g|TI-jk)qs)|KmRuPks4y^(j|NqX0|0MYb!b#Wc5
z?3L@9H=93U6Th)ne8t&np8%ijS2u3m6molp(fNJyuU75KSa*ddj^(Gn`AP9pW|K5t
zI=!-1XW1U0HRDpS@V}t_hT?7CtR5UYxj4kF{(enFpu|;;3)YJkH~W@uJm(+M!+u$!
zNOR`W>}&FCi(U369`XNbEVZEE?=uCy;+_W0tG$Pweg1ONX4*5^Ndc)zMoaI7etf*M
zaLs42&}%0*X@7i`peXTo>AU><I~(f`M(_A_<;cyst;Nr+PR)ztU|4cw*#id8&(nf_
zcTSbvoVr!PE++X~)&s==CW)4(`7Up@vw~6-6x7wYy%|n-|KU-Y-#g1pq|47UhTY6+
zvwKW|l~VWQUHLzR1Km$9(z^dhhCMornQu{ui%D+Ky|yL)nfkMnq*}AjD%{^4aW;Ne
zVW_~u<Za9|?%j>e@}Dv5c77q-mnE!<{}ZOT1^pGi_`j}Y>FGb6M_wP27Vr?WQIcqi
zuU+-kNb1Ca?{8PG^$U46X`RW>U0M6zAAeBsJHULCEYH_B4>qnnVYpz&;~R0GpR=A{
z%`o-aQWuASHo?9rj5f|8F-w<v&vyT|>3h?ZDerfkP!-zPcv-B?A^k(xLAS}rYx-ZR
zO?b9JM}b>9H{_95>)n?zW_K5y+&Jml@*ir83^p|1yyLh+>b*j&_q;Xzg<)4^K6h=~
z{LXozZ&#{WL)eqI-GyI+Uf%fjf32*4Ci4~1N$%?;?iv`FpJ83P<ZQ#|BZu`{Q_Ss`
zy1Oz*oZ%=oJ#|}(W2;sv>(#%v+}3#{oS1OtKgXdP6MyzrUY&DuQ%#d@vgn*D$-MCE
znY|b5+7&kG2fi1WtGxR9_x;XoS1bcxH2NMoR@-#r_Z-!9o0M%!F0Z~<vTQ;5`3voT
z{?2yW7C*<dbcUou;kFR73B~t{bi246zn*M8e)i#pm)eVFMCQ-Adzwkz;ebwj(Es|p
z>?026gEZGY4NaD~Rl@UY&(HKZZGoy~Au(tF2(?;Y%3o91`{v{BrCP2fq7S`3Z>hVz
z=J2_eiDrWL-`mf55qAF0j1^T0&5vY?%I9=F*|X8?L($Arf3J5uxyXAfc*3T`A5%Lz
z&(54?B6V$p;KNe|>yC8^<(}J9bhc*kmG4e_zFXB!n!>d{>Xt9dy#xDy_noQuKcgYw
z@6*NgdBtfLcJxPiZT&FE_|4KqF?&v4>)eqbzwp}nlkG`8H#oFZ+<0HFUGl=%i~HoN
zlr<JE`{SY?cN~?RY?nN9>&FuPQkhHcmIV>NnD-u>I%Si#%GZw794oG!y(C|*`{Rzj
z-0OMww5~+{*H-=){73)UbKNTizaFsfFxnn_>-(Xj&o<O9@jNPXJoojF4cl(nq#K2t
z@mV^#W%cfof(7>iUe5N)oTtG4I7sh+*UPJSjNd-};b``eBf={qz_7FFnvKTP|4*hg
z-BFunb*S&TL4S0{nwtyT4edf-E^ZGxa6Mv^LveoTxvKM8a>0R{e7{^Pda&U8HaW*)
zVN*kwh|-jhR&P&V@h84z#uxZ)WBIl@g={it?<tgg&Z_e_>-65Ro>`|a>1}vdH1W(L
z$qyU{x2`YhXAoVq<e$j-13fbv-)t;T;<2s@JgT}dU~yyh<a-;A`MT;XnfCibsZyD1
z$L%MZ9gSX|_l*92Z}FmYCtq&tJFeMdzpN(x!MdUi^1m`y?NxKlu)o3V<^3gJB=p{#
zu$)~isvZkk;|+Faf9QN3GuKG+)nw7#H?s?Pb{s9a^_|P*{Wrm&1*@mW6)#%4J>6Ao
z^Ig%{HEN$5^7qcyeC#*(KkI?pAwT3o!)Iu1(>E^dU^O_n@BOVe^Tn=5<ucusK3jZ-
z-Rx-2`-qItLtk%iE2-prYJD}QclU{0C)F=}Qx9(pTVJ_HySn{DpY?Om-n)EZs}>$x
zJWa?Wp?adlJ@F;~m;Nv-NV~&Wu4T6C&epAra}Sy){BAlJvhl(-rRwO1m%7vI+%m1%
zmd8yz!Ps<3)Yn)tJ$Uze#-nR?{j`vK!LZHC{pFKCots~;-NUCbucwOn*7s>1d<NP(
zg?{e$W{tLBl5^zCm{4%c=5XDq^qdLXN^Ul|nLIqlB=s|@QcZ2^#?$qN%XzpYrX7B1
z$$PJQ^USJUyZQHbUg=!-==s7&`BQ!-w#@01mpJmhHukWl;IAr`r70~-`S)%%S6<Fn
znzgU0KE-Uk-t91X(PvEaV@~#eoM?M>a^n)#w~AjJSKPcbBd&PA+LeQwnEXpW23>h~
zR(ZzReO7E!ZzctJwcb2-`PXU(^Y+EA_k6A{*PN&7U_0St{$|EoQ?J%Ap4I)f@VnuY
z-c;H6w)BZV_HtkG`ux{3RjKl-iBa@pPgQf)yea!6mOPPJpOI!*vhmG9#?nK2!6!`o
ziwv&+P&~J7_q~Z4N^$Sf8XdZvnpf7UPkJ=<ly?29C5>GI3qMbiny=yhW~I)gmPgz5
zTO#z2>HKE!oM09(Mg3>;L&bL=rutdhoLqn5l8m`E>&At578Nr;X(_(E==K>gub|Qw
zVuecYH!tO!H|5Imx!sP1Ps$GqG~avPDY|P$Jh%Om@`#Kbxu*>dHrQJin|75<eSZJ-
z)yj$j?sr+$tM==NbDmuMzWs*4u}K<#&!*40^l{6AiR$uc<}(`mwA*@RCfL~~voNl)
zo}S)oAH5`6WP;R+^UF^?{TlhN)S!EnrJutZhqsCrre;RAUNSk8+2>00Jh>41+UWQL
zw(>dg7xqX?9KOeP@=^Vs)qD2LytJp|&3R3Yoa_3g1&MhJFHZiVzxvbm3sn{&JO7@%
zoe{mwXw~XZkNh?4SpEJ@GuarrNyOXFXM2a$zL}vOiiRADre2x?9J3tv#BTPvoOjGK
zhy8QxOUF=$Qu*g|SnkL2*#7$Wqom5qr=s}WTo13ULNZ<j9szApQ?_lL6C-rjV^!<U
zf}Z^sS#`@Nt=4GMyL+vA=KW*rYXYU6@8*^KS>!!iR{iwuV>{R7UN+56*&tJ#zujxv
z%L(Tg@-ECgs_e|vcey<8-M{|6R`*#~Kh0%aZL;RHjc0EDoZ~HB5yt}~4?GZ@zli7T
zy4(Awf4EoCFl%~s%KQia-@OnyQeNRa!Couzm-Y+AQ*HsidI?W%&Pd&+uIc4w6CcPm
zNz0?;wW1e?CTDd2<F$%UBo6MptElPmC6_T&Oxf?aUb|CJ*<a_jwxC!I|D6A}GENH(
z->$Wd(bQd7`aJBq?AH@#)IFDS_pf>rw*R}U@|t4LU*9q>gdSp*K2hS7$rY-3`CL)O
z%!Ii=+Wx;xi0POV{8waZ)&BFvK0goX9ldm6@sb_?ESZEw@2I_5r*buBKCgb-PTvpj
zL$&`FnO-`%Dv9UU?spnHERM_xycT3|Q&iw^N!-l%#z#&MPy2=kntq<T@Pm7Juw2mM
zgeA7k-!H8-wGm?w;J2O-GBIqU6T9E8(69|v2k(bmK5+BP1g|%q%f5uiN1PQo8R246
z8DO<lw`6%qQ{E9}l`!A)WpB+GwC(eQ_3}NO+i!9HF%nRYes9(!QTXxGRKI|SGk>=o
zx~mxUXX<3@vNh{Xr`$e$Os-GLebrHZcMC<4iXTx+?>b5Nh?HL}EYT=3*}3z<oat#V
zcYK|*?^2&=e9rGVht%!FCo-p;KD96C)a*YW8t$&SxUy-P@Z}}yJA+?yTG;g2dCe;4
zelfLDcVYDAw${Xa;jKGZOH;UVU!REirQ~ty^?`}3toiLXdXjhK-SbeWFkX`<Qf)V#
z|J@As*ReOJhR+dM_r25U{_D$k{O7h+n$DbDP%Y%^EbV?v_00|2XITmbtEbKGv2;_9
zS~qXvqsZ5Sx(g1^Pbm<8=6QItXyQNbIW6qrAvIn<XRlNfEiz`_`a}BMiR|<nKPK<*
zPEM1Wpdhj2@QJ<KO5eR*!!BVI6@JBVLg1mrwSQ9Q&gcB`takna>HV_ndOMi@JzA4|
zrM@od`~#EI%WP~!H@RxYpIGy_fXSREa{1%`hAZ0{9?rV*?Mu=+**_&^44eOTCc3Fb
z>uoGk%3RA*Q&)X!t81Z4{Hh|Aj_W__CVz@Ho%CI{Lf-a+xOeN?n=>yZx;{E(YswYE
ztJ)m<^l6{Z*#mz>v(>L%Y8GhZKcU&6yZO)DGwufBf5XF!Evg&5+7);4?44t8xq3@*
zykSK>^PZ2YN)JWfDxUR-*EV13_?`bj`W2hcvpceLT7RG4c%kg<?u}{l{)qZbh?TIK
zHe=597jjdc^<3#qnB{v&oR!C|J>=glL!p=c-%DR@KPy?gYXir;%&-Yd3$AZJ^Fmtx
z!5&kI`Ni2YoImXB?kQ>aJj!jUdwR>Z0~_yzXz)yB6lALs-xbw4y~)ydg4GI}qiJ@x
z*HoGW%}f#(Im#ETbnm?IpDN8|uXh~vHF>i6I(yxu4fZQG+~uB8kR<wIy2qQSXx)tB
z4U5h_UVbY4I2Yf}&TaV~>JwMSA32bp<Mqam|Ka+-sg|><ib7xLtt;WGU2gXI`S&T&
zaf;8JTo#@%Oij9KRC?s7_q``=Ez3KzvZkE)8PR-tUgloO>Br`6Hn(K^*BH`VufA&L
zfq!#%Bs~71&wpvVywdw0S5toMICS)8xQ6k~v<7iQYn4^=rN2w%z7ZC-xOY?5u122!
z&b4CwU=hahSY0QVRRLZ9*aTPq@)KA3y4~H#Q)a5@zDbIDKN<cW6*51hJK;XZ-_HgY
zB)E%ixQW>%aWLL-(PKaD@77w!_USH%Y)XN??)EG1+gO=XesN4Z!aOPcO-xMY($f`o
z-U=*^eEhd`7}x&&bop-WA-T}%qv~_EXn&o3Ir4~%do2G~uiMhz(JsOc<|68^n3KiM
zRo?Un=jz>1bMk9zV!Pev%bNDL%bx2zNVE1yIpz97R<g<J$hXNGbN%()B`zq6v1@9t
zzyIJ#!JNl3Te*+=F>ijmxT2^>Pw^sqMGH&8y9qKJD-)*Pe!Rr&ih0Jy2U+XSvAv0v
zKheJ7Wa(axIqzod-R5xQy7)%DwSFHaZ(GwMTR!9b{W*7KzaP0MUvv6YO?jKm11`1r
zmm3<VD7?tbdF@s9)Ky3J-IWc?MHs)WKD+y9qY~qx8tw;v>4z>KifjE~WqJL`O1{UA
ze7@~0vn(tobZ@#Pa&)7@uQDU&3!k{Q$Y15o6*60OMQ75puI`y?tC`PK{<(Ea@t;q1
z(ZRFD;Vfc*mFn3wSUy+2Y?gbcQLN0<lrFsaz)Hczf*wjgeim$)S+V~E$Fk;%)7vkH
zf0^(3;`q6@+MUn(LbJJDT`s-bIV<IU^O{BCZ;#x$%lS()wWa3f9EF?J8mYTaS8Ies
zEMJ(xdG58=pR<>n4_bxy`W7nK_ph!!V{oI$d%l0}4T<09Lzdi{vSQw{<jHs4)>nAS
zq`8%s>@}LnFnL?@j|`X1j2{IiDn)Ert@}J^iRt`M)(dHK%Vm1+PI=jX!e{QE(~q0}
zH%Bm6oRX@s?@khLUZ1`sJ$Iql0miu}J-a7=>Eqw+=#hVeopVQM{imK31s>fv`3Ise
z3YTxK&-37}73Th%$7-(8V7xwUSyR-{obMYA7<@Ig?HV6Fw@==EzjWpIN=6e|h3~hY
z_;Oz^cv<zBC%k{&ikIfmU8$E9PhZb@d~9+Oqjymi|ADFr7mGq(R?RSaef@p!l6@BC
zMQ^^bP5T?%`d(&*^P!8UA9{G^?rl^n>VLSeo>%hCnX)SJRf~l!Qf>c4obLWM!T(O@
zjL+H6On+rJJosa~{t>rS<n@;q_GZoRnj!3a_!XPMv+J>+i*9K=5LqjD`N15P^@1UJ
zWj$`}LHiu}J0+i-DPzul@o{g;_bqi<EvgUSoSJgb+gq6PLGwz3CzCR6?`-+9;rKCO
z`-Drm`Beq;cUM`s@qAU%nAP<CyohA3{)E}jTKu=0tyH^k_*%4%Tz=Ez<*9#8vs^kD
zzOqE*k5i&os=A5J?-mPxxALR!?6McAXN!Dzop*DdMhB~8ZH<}Hj{Db_Bxd9si<Een
zJ2iB!(9>;EV!YhCLWz5CZc$kD&1_v@Z^X_gJ3N0+pP-{ry{qffmOF>Pc~AawKf1(V
zx!1Zwg^zcJ<ULhU$*{99(|g2kp0g-$<2lO&clo)UuV;#K%v!6{*xa>6ZLh_n&zrIi
zSG3DmI{%Bg>UYQP$7<crF`mn=399UNJeFhg`M06I;ES8qdH%EB6zWcitKC#|<K}%u
zCZ+J8cSS)rOJ;1_Zk<#;D<gS!^QYWwmfJVmf<4oEZ~Tq!=wsV*Tx7yZ9u?^XP2TIv
zi?nuMR{L^d_S7}c{4ZV<$T`Tf$z#TiumzI-d}mDm@Z1jizii!=mOUYTAKG$!9W{PO
ztxQ_(UiVlz{mxt+&Z(Y>clA%qxtg0-l(jk2<%&KBi}U>UjcJxuv;A#7x?A7B@;sQ-
za!Ho=puk0r$5*0MXG&<q@AX&G`EGFKMA>E8Y+>P_`^)_tzieIL@tEOj>EEiyZgG7N
z1mp7$x|OkfX#2Osu(8;Jb&2zfp8=;84gED_3!-_{zAbsVBUCm!<+j-t6#<Q|GTAaC
zbFo>=%~SrXOu8lYY)__4slgrF+#j`)JC6$(1$<^Kua;=Kld|wY=x!b9r!UTWckW(x
zoKa=@z1FVzcA8<L!Mc0xlJ7T6WQed1o|*RQAn*GolNHN6ioJ~*cmBI_bjnks_IUzx
zJ}pz2s3aPdHgA(uf-d9X+8qKMf=RJU?yoGGpcVb#!^h$Xb&IpNq^^1%SRHWwo@nlu
z8kgMMx{W8^^4!!Z?%(O(?Oo1#&2qE9>sj0S3zf?hPwbUi^ixZJ$?hbXDOK+CnZCxE
zFYkF-eIYb>rQtri-wMj<$^EY^qWJ!$aI|QB4176x=62T;iJs=-!YY=V)~Oy6>T3~w
zapQzy;3L1K7gwriOk8OFaU*-3>ZV6OtXiftC*@t39uUQ{bG_p7C8CL}p?6wlY<l);
z|NQQh$a6~3uV-6NPt4zHIbGj!NkfvM-!xe__sU!C=i@p&4Y)+OZ@E9%<MZbif1=pd
zMck~D;;a~w=NEQ}cImC|Z}4(?@mk&UWKTt`%rxVs;}$s_=Q1NzCa2mQ{Pp4FF7K05
z6qm-F?m1+e!uCt*2b<=j2-AaM)iYP*Joet9uP%SJj^kr+c<#qftHO=0Hve5DZKA$1
zjm_P~=ku#Po0~S;>&)BcE!8x1@JZTt(Y`zI_`)-<YYNu$YoGO8*u{J;EjY6IuPqZ>
zz><s|yOMPakJfv6AE;{aaup5g6E<9ZzP=*xlvdQew->%ly}hcv!Pohq&*99VmMJsT
z9w&I^e2{RwCb?tTO+N{F`M@J`*7lDhZ-fLa;Vsjge?|66{et%IQ}(hx*Y;f*tQxFp
zvDkQz-|hE5AF4_TDXpkE(h_lI`?5PttWWjM&va&+=fa|3dqsb{pVG-{vu7@6=O2F{
z<(>O2L+9^`7tgLvJ2>g~-mVj-9-E$T+S*~c&s;CIJg;1@lxO!|F){uPZO#84UC4f_
zdUB;)u9y6L!RI~G;$1&0&1m^|(OqidS{p8vB^H(rKNxcFuMbkos!Q>-SJT~`HtE!p
zBCgO02WILD|5&zb`K=vy->LrN$jI40rF4Jn$A7}&S`WARgf~2RXTWnp^-<+=kz<>L
z{jATqb^KM?lXY9?K*BRIKc-KMn&-GLnZR59yU&R0!pea84<{Q<mJl#0KA2!IYZc>3
z-qqPhSVO!sJ*#In-&o;dx&5>M{W&`J=R+7xR@v<HHGi_)J>lr$L$!VPOnzm$MBQax
z8rLNisd~^^+1PB!+7rwxx?ad_{%%sDbWemq(8{DR!(m-T+guernZyTqw_1O;H(p-$
zZDYTGPK=}O{UhcL|F3mU|MPy<k@n9HPD<VHIrH|v=)KZ%v#IB_(>nX_BBv%R==ki_
zZ)yqqE*HG%ev`q<W99Xy%Y9BuZDD7f?BMp+Tu0U1X8Ir7$b!XU8oj)qHYKPyKe(DT
zo$rfBQg2*&p^v@jf8+hrYJLdta4SrTJX)D|Zf2>L&FdF0#a>keI*ZO(lKRklyUY~s
z0}Q_oIYcEr{e0YhUbxcHV}G*mrugo(45_pA-%#UGYY_F|<OFxeDPF>-Dq5mkS6B4f
zZM@69eBI4gEYX6Qzo#x0ugSHr-YWd-`NNWBSLA-A+d4_)1pYs}ex3TK_8_+%-mmRG
z)#+wV?vLXyJ8GoTp`)u;do;*Gyk=FN(wf51dCYgG%;yYEWJ>kwtgeu0=~dcy$c*8<
zv#giCn|n*A@x;i@1uIS|2HS3sey!$z{mkuyh2~SaZak9vlg#|j!@*h5c(wJHb1NpF
z3t6jvwyJgd=QN!^5wp(l+NrIo&|l~(w?H=~Z~N{=cNPnHg|B^U7W=y;SL#p7>w@&V
zmTy1sMEb_pvE*-iE!RBn-?KL>_nmneH~mFIv7_`3u8p6y^riK?YJL{xb-rbs$#rVU
zAx_)o3G==^2`t*DxPCkT#t`0y2NLe)p%KjQCHKB@DO%cQ(^n)kZE5q*)b8vq$=uH;
zHx?hebU@Yf5^Llhqkq#Dq!oY9oe^|Sxa;T<hU2Mo`B;<wzjlrPXV>-o^o&h&%vXe-
zD_y@d=tRm7jU<Hv$2Z$vY)WXZaNua?5l|{Vv4Ocei+_3Xa@(#I6K3#~K0OiHrTsML
z+_Z{);)kn#PX74E<CR_02CKFG4b!cTHrD$T+`n2<K7U&IzvlJ2SN}$@wX9#E`Km26
zw{f<6V3yw2<z-7fm6vjB|5{|QLt7|%;=6{_bkXIBnP-yw@*--(q&}Rq;r`08`YTVL
z>57cP(=USKxEBBUvp$ubVf()LBLZDK?&szQtUhskvvuP7Tvl$8+70D%{_s3JJtgT=
zgnW<3%^MB8ljM9iPgu!yF>uA-xE!8!y)u(bBb?^WJbd(nl+e`Ee2W*_iC*)XWHKuw
z*otGxZ;8~#dyHT1r@0lY%dVN?pVi{i$^CxPt$foT{RK5n0mccu1!4_lN#?iJ?ycf4
zUZ`KZ=%?jX=EH>wW_vb%S~ihi@#oHWNhuG{@w_T9Q#L6Nob0fdGvk@ykJ4T3g4?&|
z&ps{mOX~HPeCcQI?9)_kdz!@mcXR(C_;@?Z$L%o<J9h>Z2K-uh<jPNmo&JxK`<_|8
z-ShT0)Ah?|)Kla)TAa|46)uvQ({ofHnn(1AR_f-4<(IdM+bFF2mh^Cy*snvJEA|CC
z?2CER9sjj>+uH`S>8>;F1>MSi%8OhPHRrD0Hevls-xH^$Y)_urvCq^$jA!~2-D%pN
zTWU7<vEJ1QRGAxjFeUjve@fp1Y2A)Gi&=tu%2%FCI3^#zm9I_a-M5;io5y72EWatS
zrY<YL6I!^YXorE4$rP1G+p5FPA6fQthGw4a>X|3Q<@JJhy=C|Ld;Vj1$I^|<G?%jr
z^V+p}ciA+ZY})^)`N7YRReU?dZgL(<ojW7MNtXZQ`3*15#xBl{o%(~ZQEB?FT7{-e
zSH2%-pRHQW$@5fb7Rz?w%Lb18a}D-~6e@*3X=+|G;i_uH^EY?-UH)Es7ySIr8S!?R
zg>}^qCSPLsv`)SLd?4=l)yBsi8(9CXD(QYCyz!>}?AguFy7<gLOt5<p(DQA<yAmD;
z!M^4PnnCvq&+W6@XjuEadm(?|e$I9!XZ`m}|E?2eie1?AZ1diR+reh<`QC4E-&uOC
zXY12L*Y71PTITxt;{lfkks*rfx66H(>Rh|(O62C={x!!tr<}eyyX4A=ztg3aIa~vS
zpW3!-c~>6{`gQW2;w;-woOLUvKH9hCQDn#w-V0tFtCp&%X&av1@4Ddd0+#hjg-f2y
zGVV~E(q`5;FR$#!d;49190%UjWv7@tc)I>7@8q)8yv<9OZn=`E=B{sad~^F}o*yL|
z{tFh0y;EPY*~@0u2G&`^LDS8}4#h?Y&3d1k(G`>BG%?%9wBx$vijd=uoZ+Y3{wg%6
zJlxi{psk-XF7Q+B_8PWlFMhvV#Q3kVx$y+^g&s{=^|bDF9IN*K)qH-a@nG0Y$Lmif
zsLf=bve01Z@|pK8u4Q2Px%Rz7SEb=Q-%bfRLG8~vo%^<QGbvcONC|jspQ3F4e|9xT
zm&D~CwP6n!lwIF0>prU*$NWG#?c|v$$2ulQY?9nx#k*&7BJ0Ylisi~bg^oJ2GFp}W
z&)&7u?cySz>vH*y%}jEeVt!Qk#M$q3WpUJ0Iv}{1Y2|Alx5s9WCI;~DbY88#c<$w;
z2ZZjfYG1BybcnfI!TFAHL7uXNn3Ck83v(~a{P;27cVoH3bKUyt>F?s6m7F-wYno#5
z&-ah^*Ql>qVm#k|$ZP(wovd~9Q2Jq)vaE$cf2K$5yvQps?Zd50WoiviYEqy4S-)9Z
zRza^L<}q*htZhMwmmS<)b>6XDRjA+Icd@U(b8_=-wX2&h3uYYNHAQr?-nz+s?Cb^K
zo?Olm`<ne^>fz$JeKDFvabg>#z3fu;(ptY3sT6k<cem&MWuCI0brH|v3IE!Af7hFp
z{@-z(y?txphRDfP8m^xz=IrF+IHUIL`l=`n2@acBd4IQ@jk`Y^7#Bs>a~(X@>%4KU
zko^LImlId}bmWG{gujxod1^5Ix2d4&d-sED0*~#zew*j^%hhvM9a(U`Rkd-qX_sKe
zaYn0+t)H*^9RC(BdX`ClwP{zH{`a02haKK;|IFF6{>KEi=A}n=7Ns*k=9?aUdCKdL
zI~S!qe&1<YrS+=B*IMa`#ZkZ26PtD!PuzL2@rvuzlOY}}MOK9NPkf@XKj`9%MxOb{
z3wC$D$zN8~&$2qJrs`ph*tgAc?3do=xk?IXmJ}tNIx{(|IpmdcdWq)a*lk;a`8Qd1
zq?Ngv+Dk0>&pJbFX>RY&ErDzal@IIgo>8`|IF$Y8REPyzlBJE!o76w?>M2W?^Ut#C
zJ(AyQq$!gvp7i^U!ECv&UgvsL-aE79XL7_Cg<nzJt@ZOo_M?U~E$=ca>rZG*-d`(y
zOKcg-5-C2GV>)w<%FbPVRxqW0ab}65{6&@7oY%^h85?|f=H+Z?>hMn0<>Tp9C*wOC
z1AcTJy%Z5%7Bk(6Tl4#;Uc=^VdizdEYJYiuW6LT#tsiL#i#7)+2LJhPU?;Lm;>bn!
zA8YQeu0Qf4uh;hPy5-BJ*0(rmpSklQ>v4QqycT2l(}jMQjyaW@S+>YAeBJ87Y&uE!
zN5Itvr}%5%tAkInc}pmZm_G}tSE*Dzv3&Y`m+Rl0tRJ6v-*F@K`zzPrUuM^|zo$$8
zE@m`y-g9p`UlZ5FnP0X^HCIZpo9*!scR67B^G4^k4Y$R6W^r!4-#S6Y;+2yBzZW|k
zi=EDH4xU&TF^NO|l7W+m=@S1f>m}w+y>*6zS#^3EH`CrJa&Ai(UHtw^z*$bx`B;bp
z&kc`+w*ElZx`%1cMgF@U`pIQqEcsz?<hi#K6g($sG1;Z=dUVZEzw{kjc5g9{=$d}R
zd(ZZ!aA!8{%Q~X>;M?k=&4Ry;|0I-Fl$8G2aKb0ANp7p!+gGpl&z`?_Y4VJKD{@bw
zf8J5Hb;ww7Zc3=vAHkn72lPVbe>lDGm)YjHhqG$th;J-i_VJfURzuy-dyk)OuT`{&
z>fLVpA+oL_&_A$h{WS4Q$*p|iqBl>u2Rwb9ndQL!$;6w}%XQzI9fG@@B5fMKI_!*`
z?N=S^CmiGWE7_;RBH`$kshn!LdXMz09ABmBw=JI)>l39r@5EN82~pZ|?MBzq`nEoJ
zz4pJ_O~LHO6_>s)`PkE0x_AHD*FU0E;?w@Gy(9ReP(ikP9iQ8db%LtmCUV;P@)l_p
zOQcURCD=@Vadi2keG$=NS(8+n&wOYQi{Hj4ux<jU#={RrhFK>zzCW~ABK^c9-yKgA
zQxmtj^!@j|wtDg0FAsT@{%^fzw4{2TxN=I!Bg1#QcN||o`}Z~5MPCwrKC3V36$?6g
zrKP_6ZuOM90;|9fzph&L#8p0huNQ5+UpW8Xv4_zIs*)^~bj}_5)1H4n*V}HE_e(n!
zrH|XJ9Oa&`Sf4y$>Y1y$5$huj9fhpRf^1Kmj-Pq?;Xa}5eoAe#pQJWj41LY!rl4pl
z_3>BRv3-1w{J!BTndfhsCRr=Jyp*)QUE3&4s<Hjy*$JDrryKJe6Wn`v&asVdvpW}j
zT5x;%iNrbF7p6JS$Z9%XQZMyl`aEgRkeZD61I}*~qYYzpa$a}L%~=w>f_dw0M?Ht=
z6m4%s1GDuU#_b>Pga=x_zTdZIUH1KrOFz!Ov~APhX?}~<yM8X4WUBsHtLH}Q{oXa9
zKE;o7MG~)VcVBo`ZcA&=%d7hi|JdOBwB_Q_^(WV^SN!$bvU7cTm(Ragjah2#T)EzD
zBAqih@7AqfxYk6eP=9TQmG<V<(|?_Jno`G`e@kVFmtIHUo`pW@=M-1|&C(NoHQ9B&
zYFI>4&8n5BPER{~l5x*9vrD`B4yi1R3)<$ySTmjFIB&u?4?X^`|C~Gjw?s7TxNSBe
ztjI^fmCyJB&&Bf@{-V+?=8rG#UAw%+{o(J~yPi08@BI0vV%<!Q9v!}IcQj93-nwJs
z-Vz1ZO)5_tE_$(Y>Bm;A+n&JmL1NJ~=jXHU_E{FZ6mi~t;h<QHo5KpvpJ%=^N}rcK
zBf~6k%!YG&McBi_ER$7%|DJ}t-gLC5EWz0D<@r|LtbAUNvl}jy-q@X>65tu^S^8|&
z;nw(LRtzm6fzm5B<;XIw$qz1;Klkl`dHKU9AJ}~w*`p?XYGSa>{jsO!mOMwuH`SJa
z`o$aKyxs<W?9cPR@nEa&vY0YY^W^)@fl{1;D$Dg)|86Pa4|+QNe0>m?yo_}r@AC(G
z`_9!pW6F8HraMSfdGp)9E6%GZWX(L!voK-ZYYD$7HL0DOou6rMDi8erN2X}^f}*Nr
zI}6R_Z=VlY_UPR!{cI<f4dIK5H@uSdZcw}8w%7hP%U+%&Q%=Th7f!jGx~pt3wBa_J
z#Nab0JYDL$i=ec{0_DrL8(E7DL{hsNU$G}TE%14-tl+_sleYP^h`)Wc;zzf3`^y{I
zc2C{Ny?4d0g&r@MtaLU+WeZvfn(~ItovA(hLG+4Jx6bH=jV!O0DZHBd>D<qydACi{
zT(Umge_GF=>2PiTrS<<+LigRWF^+yNq2h39>z!r0<Dv}})XmuY4!ZL$?Vb8~lIkN>
z4?Tu!BCaaoFS!l8ypy%UilsuUOIt5+Sft(R<aF@$UzQ^I=9J#Eo2!!NaY<{(?K#Qu
zKtez*<cf*2?CsaOHBmm(Y7JxEr}cD-)O=j=F6H-Cho?Iyn?)r0mo)O&+p2H-Q2Rwh
z?U2_jWu~Q8{By4O%xm~0<M!jC<t+`KBZA78KBVzy=jB9a85y28+i$?Z+<R%;6jraN
zOhL20r>QfVTC@KDaY*Y=(iyX-VL$tQWo~8OxMaQ1)GWIH#9xh-sV`T#mAhsuFAe>r
zvQBm9p4-fa8(XvXf1R;ineC+Clyx<`PStMz@~?JAG220QId6vT8GoAhg{~}-dTQz7
zaiYFEM@mZgjNwz0Z4<6+PM_reXJNvR-Tc2poH%=rG)+D#ZI`R==JiD6L2x%~y!zZl
zM}$tPG4~n-yccL$DDg<QI=$AhQtA`y&KEoLPh1jw$NFm4?Z$VyqAhR!Jd|wO(7yI{
z&u?A3?^EOEAAFlB^CRq|X~8nzYmc9wz5O+aN9EYh4%h06z15!m#p;VXH{GAQ=+o(U
z*WI0Ye)C;9y7kd2)hQ41zV15oymSUfPiT(++soJAdi*=IWZUxW4c~4#>}Xx?v_d?i
ztNxO|#0>7dt16!-E-E|rceQ@pmj$;j-;?RxB%1uJz+|W9rOB7~D+Q$<QH!3Ws(h`E
zRet8mEJ2pE?eF8Q_jT9y&z+UPwo8g@x{1fvV@yiDd|__|?>_bawa(UI<vecjHL1Q^
z^W3^GA3y!<Gndo(HReUHRwsqu<6M}y$GoUSUUlmC<IHIvGf$Mo<m{5~m~iaY>ava1
zM*|<obxOIu*faS-+`4XqZOd9dZG8G`@~ZB+%WU$`mE653$gy|-zqQuWJSJ`Tn6X5`
zmNoZd$)<YKq$VesH{sL1r)s*G&+FfK>%WzS1LK{E+6os|+f6C26k!#yT>H>bV1Jj*
z%uD>c<8Qo~{aR>2Txa)Dt&5?`iUzC9MBBKMFFSn6>-L_*zizd_<A{a)2e!L@_|&uF
zgu{bNClBAdeDvtF>-PCt>pS>W&Zn+Fo2&cn;-Q_n_YymJmQHMBF`t^ORkB0OZ9`Wu
zn}@FSzBvijmUWwR4f~3HKkPp#%A(feQsaGm*OtD=fx#`xuUmJ12}{trR35Ly^g;Oi
zirMC+Q#Q7&n`hax=C#ujO{Z>K2E`5guR4~x*gY{i_AO9EoN-lm_>*H*w<=^Cp9XEn
zJ*;oP>rn8$_+y`cE)-Z`({FjmUNBFNJ@t)3$eDReuAe6#;0}7O7;3epO#HTLYG7j+
zmte4G)rr-{7XSJ0-g%>8r5Jnv_|NP$RjMC6|Ex$ooX!|ynRCx{ieuN7eJ?clUVLCZ
zq$fP_{mVN$r>pbI?3`e~f@A6_{>-TbS4#x86+db`trVmpnNfZ)-JSEkgii15uR-}v
zlRFd2CrGS16aM%dujtO5yEZ!dYrZ#)<Fj2F^5W$klla7XIZOG)f<KO&Q(#@=Vlbod
z!4rvFD;K|7B&>WuL_Tk+x%@G;!kh<N*3?FByw%Nac5wf(mrL1qrMW-iZ=K!dyQtai
z)V)`WxrCN*S+1FWFKLV0z8B}b0&kSgJ+-%q)ptgv&5zCdW}P~Cu5p3y!<z2ZK1q)p
z|Ls+g-DWf6$IBDDc^$94if+p|Rb%x1?k<P8X)7<4woKM-ITbvkxMOd?vpI5`R!ivo
zvDm+g|EpmRr?R7QklYUyv#pOS)2_X`cj5N#J&ge~xl`W1HU63|5)jX2zdAKJ<II66
zf3Ce1;JaBB+b@+{@$BxUe+~ilQF4waxTYLS7gW)6o2AhaGG}?+Z`aIUg`GKF8|8Sp
zW=Fh#VZZpn=C3~Yerjm1F4B7cnnQcu)t4Up_qLtS6`Ha5LvyRL@$H0N?cWsb{vO$L
z!vEjJlts*8_jmlBw|MQg!0Nwe3(^%selL$T-mrw7_kU>cN<W*`TG2g??MuEr`m^zb
zjg1JaMz_;}FYo%Uu6X;$<k@_U*Q%l~O`{csjqBFbmI_(=?YYgg*mjcJ7O`*JH$Ptz
zVXOMmaB|Dz?>iT6XtT=_@3)^EX7k59{J$};Nx#k6i-);)WgpMhO*67QJT>%;&Eo@q
z=kd*RKVbQHfoRar{tI(o$oso~4?oj!MOazM{Kou0c~_6burEIQZ1S~#b5H$AOKuOD
zXdT;FChL9w==+&Tw}h`)YU!>!_T~1YV4evK2PSy!iFKcIPH1XPoX7g<6;)j<J9ltu
z@Dx|POO)Qevv{?zq?&%kr2VcZWFqBmFWE1}CKKbKtI79ZLzr*o&F1N^1#S2(9VbNp
z|K=ED7Cyi3*|&E**{@z3bgiyZy8kxz`_K21Hg1LnFX#TLbpCx<>1waWx|82h8bS~E
z*yS&MGWo%=xz39u+fNBA^)xU~Go1gTYU-*v|6@-1PD)H!baBQk`OCLn7jq|`P2XSn
z;p*3T=gT!In<ZtUL@&4gFPcz&Tqj!KW4zpP34^_>G^+kCOjZ53H1zRwmX%W(nY6MN
zo!#Hd{FbqTZT_hbUw^TGGLYEnI`IyF%l{men(ErKM}DQf*e!V6Q(EQp!v7`-xyv_C
zpWSf$zvqk-Pn(uG*lZK)IVgJjFHget4doIQnu>2jPb=`OJ+wHyRe*05_pV9qc{l7@
z@3<80W{6{U+8Qt1G4q52=RViF>Fdm%hv+sQoOmzvN_Pog__L)8*S0mRHop2y#Fzix
zkEjj5AN+Y__}p{zo4-FNlqc=HK21GC*jnQGxz90w*&^(Ba!)IIowPzM$9A3`E1RKn
z^0tgWAvfZf&+M1=linsNseEJc?7-aSRQq|1<*Pr+>0Ws1rX0rLG*7fg<3&I~$ONHB
zDje$?+9z&&pPI_bsawt`k?}fZxv$oWRmXdFeA>@6wY5Rq>r8&cqMVtPt?quQ5Bzc)
z!jHc+6j}X#0@K<@S*O`lz6v$#Yky}+SG~n_=aJ`eraAAePhC9iWfyql5{pCsgcG0l
zezdtL$Wr+5cxg)iqRW%KUp^O>7BrT=xkbSIYF*Kvxb1z%1)AqYzZWbhiHUo|;1j(-
z-1p@fMVEuw**PjQhgg13NKpBGU*KPSVb~|%>(8F?a7x5TEx8^(?fF55t0#+2D;|5i
zf6o8jlWaCO>b~bLY%$#PbZ*RDrHF#vw?Yps^7zhEo`0UzB=y1a#`GCk|Nb%irW^3T
zPbf-JReawl)f?nz<MPC)^~I5+r`Yc0K1!b%Q8xSe8+(V!`qY4?RKrtF2R5usv1FUH
zckxfIo7+FgEm`<1{@}f)z9$bQL!DjwcPQ_^6L#%+`N5;R1ZHrpZC|ri{ybai)t@1Z
z_thQT?WOY?Z%NEwIw}6*Ip&|+81(;6P5C&z`jgV8jDFw6LBCZrT%*2LKNm5!z4vi~
z{rtoJ5q<Y8zL?Hn3%P&n$BV$3Yd?NibL2>jYSEpLzd|dvw^>aLdHG9wb)BqMn`7AD
z*QYLuUaQ=|pnU89LeJCpDkbx39VZL_Pu$_-`S7NuYsLDFx8?@3Zjyb~{7dnATea5u
zSMTo_iiA|>n(x`@`RvWMJl<>J42)l2&fH<nB>5!o@~Mf}&gyG9Yd(wXlAnEFLgz%4
zhHcM+bE!Knth~IseDdat4Bgh^za3+CCq*<|EIQGWc~ATEfp&-fbUz`1qx;g{on2gd
z^w}dNt_Q~4lRjTwb58H8mdW|~E0SgBsd3crTGhAUdceG!k<yb6nHan}A?=WI@Ic6!
zzlW0T-dqlD5nOZPkZkAKWxc-~uL&5$ylq(F$*Qs=K~+cmawF>=Mf)wDFWs|r6Lw0Q
z6&^fbEcr)j<D&GB${xPKi=Fmxux?wuKD_PtF%@=(lAO?ljTT<J`(pzvV((P)A7(f)
z<%`}6#?C{%6K(VNo@IERK6i8ALQkIFrn<>}1z&}-4s`9Yn%Z3EzRFAG?XANfoSTH6
zS^DTDt30n*GjqfG_ctSN-q@WSxAv*A)PB+S4U?_@uc$Hj(86KJks-a;llSGNY0~x{
zJ8gbM7}XXnYH|9iE3P;F(677tf~OygNS$5#>F2XFzdsMXme_v36e2jM^t{!DoHo|W
z*PqN<B6^rlSkSEXy7#=A&(D1XDy$<U^Z)JgJ$?O?^ZORHZ=W*kYvryy-NZdd(PHn(
z-oMP}d8QesPbuZQ+mm(T%g=M)I-9fh6a{oV2#$!T(OmU<`#l?ecIyYfx%B2tZ+~lY
zt?yirl`QYEr5g|5-|@q0xvPYLzl-`l-CMuj$TS@Kd3WXGshT$qhksrxti3y$@0Mw(
z;_Wy0jb|P;sa-4nT5m7k;uXttg=JQ)INQu{`{>Sdb+WTNblzCbpIpWz%khrw#Saax
z=X#kp-JUPkW@D;e_<Q%#bl#4&F7w~t{LT{oi)+otjkBiu-q2Y4aEHu<9QXS%OgGfO
zJ3LT4+$(%|^Kp;&8)n{lF*zVG*n9pp7BOS%eJ(ct&PtTntXZE@V3_)K3+I(c=jg@O
zEAF3k-D<HpOng#b;sLj!p7<-g2ej2^O!HZ`j_Jo6hm)Ig-kkc+`TN6!oBw63!$h(}
zpFBMue%nTV@3DVn3?d8XT(VMj+py;L_AGOw+^r@HuY4-q#^*1;bZg!2gTV_At(mwY
z`=Q289gF?F4l9oqCdn>;!T#sf%gV|fnhWbYrgSz=uza|R@pRo+(I5KDD%UQ4UEI0j
z>T8*{SE4)BeR|wDc3ke0x8V@q9?W^5q0Hv%Jr;?17OQI>ue>|?ZU*=5wgRbTcBy{V
zlDlQ<{_kc~xVrP?Q?0$33=TIHQkJ?H+$j|)&3ZJMeU{7I`~$YO^UuwiccMNkN9XO=
zGF!RB;(qL&Jx3JT-*eX;41Z(3#ZBw^Nwu|ek_BB3840L--lsa-jdf4#b7h$i)`50x
zQ)~}jy#B%_=#7w@W>;oImFC8&&avr?)s4F(S8tD*?3Fjcv!|ZxG=K4`1}?5?=l{h>
zwC=y$y)`*-?}{$#U#lm7NQ-{BS#7KS$rYN@zukV2x-W31>y!L1slr!(GA%85(BAR%
zp+Mhq&w0Nl&yw2Ud1{hxL{?>d{*0wng1c6<<?p;&KUr#L>p_`gip`nXT*oGFTG<vF
z*!*8NZTlLZ6)xh<%B+tTX3Wl+yu!tGYw!}`g|^CZYvx=OIdfd3uj_&Ah2si6%l8L9
z@)tBq(1<qGZd;<eZME~ZNjD!Aip4+Hi|m;pn`OVPBIVEN2&*%xu~~n#HtmmHb)PG!
z?H$LFS8HFh<UHNvShk>BdB)p`=Qp+a#ysA8;Z{J>%9T>3Pj5~Cl**ka)>5Ic;Lj)F
zOG}K}O(s07pZ`<1xl1Qv=3?EmPp;K^aQ)zX^|`~BL-DW4#%nKIrn_(TI@a7|KJVb{
zBW9`oj+usrin;pJl}yjC;$F_D@L=87Qx91;%L{+Kzx;QtW%2C>fe$Z^XH5@OF+F(r
z&#Cme&-X285VkN|@Zh+=j9k(T{$u)K7aB_#teyw*ceYk9V}Ip6tKr#8uTvgV{j+BF
z7F|f(BQ@#T!$~V{Pi>5Pyjhp+Zo{pJoQg0*3s)xv1qFq)L<0j5ZIqm1z<YK@Wn8P2
ziOsE}L9fqD`j8QRr~Vk1=fr}Yllpe9UM=dUe95fq-NR`{%=wa&xfbXi58YcNEzkVw
z)uTNZF22#<=i&dPL~~BA5vQ=`KGkzUc3La;Zg)=qc1JGqRSO3fZ{p40ibB=xYOmN&
z{Os`;dTI5d;pCaSGfLlfBt267rgXRLLZiFL^|aZ}p-b=8+4XKc`qM&g{nsZ~IL>+-
z-r&$T5Zw4DKrvBo=eyZHHB6NYw?qXAzN`29Be>eI*zI$X{pxSt%fGh@w}xBS&t%}u
zIJR2NEGlKjn~$p#-mo7N{TchbXS!~``tP$>?ifU*-1*4u*X!}<t+w{+;?`45?&W)p
zl%<)})@;vyZg8>jrJU2$CwC+AKg{O$U!chE+IISy{?<Upqw-v_eC<Mp%Pk{MzbVLP
zKf^TfL;s%J9DfrnXB3(#-fapE`1a@C3ta{;$vT;i;DFuIOm9|9X1VrgLB0N0MZe~K
z1~m^3eZMVgt+J{?iRofymJ}=NBXtqY?QL?pkJO%p23Rcpdc~!{$b9qs>h6a}^P=AV
zSXRup*ztvcqVQ#f)BA1DsNVj0zj5OhtILdR=f8^xOXMZlnU?YWT~-~Fb1>tvTv6!)
z=j9^#=9lJZ^Dom){?hWrZ_YCV{Z$NapD#Z8`)7yt9gVX6m;0P{YgVqbinN>aU9o%B
z`?AhWQ+MC5GmF&zW?{eDrIMHR=ARj#ik*%xyD@juP0O3JJ+yBAuDTInbHt7RfkVyF
zD~%2F81i~V7}d2WD9m?oRA2D^-6zxknafrSs0HlZ`PudL>A%s2e=4_HAJxc;{OcLf
zuNNBkcEWS97kzIkd5^uw?Ok@q!7KJlIKSJz<rzZNTu&<=tau>G*OalfK6SoNN85s~
zNo%uBmVDXzS?Y!6FQ@-vMYR(dZ^ifBpR%WF*KH=zKc*5ZWbat`JTc*`G`8Ng;q!!f
z*3-8y{aIY$yti`CIq#SM+V8z}KUDtf;V%2)n0c3z+F5se5?OFGc}2mka|tURh&X$`
z{CaGQr+NCco`b@c{;STvb@=e*@#HVNB3k%dxQqNbpC%fJ%`l61FL~O|zUcp&U4NU-
zZdpA!w%Jd$IeUj3+fmW}SF`3k{HN1re7mi(%S&D}Kl{hY?5#${8qQ_QjPJ<UH1i&9
zxc1*aH0<(z$1RFi`)%KfiAArtvtH9b=AqBh3Cu-T#HXbF5_3B#==8rUtywDXp4zKo
zZTn_V&-xA9wmMA|D$e-Nv*b*x_xcrVEL#@r^Dyi6t}0mHYH%hubfu~sL&I%>+x>fd
zC+DBBG?{Z=;r<=h!#dk{TDN^)AGBU)ejZ~`x9;6zT63P*i?0jf2@>Bdx|I9Z{1DSv
zJF{yQ`({7e!Y6BV+oN2{h4b+F<fj~2#!^dXHXeE*8@VgkZ@&yfw~0W6b=SY+b~-Ff
zI>`ZjJ_T1U$3FA^W;>_y7S9TC)|lLgW@b-*xku`|Et4NG99x;(d2f^2iH3VJH>PYg
zytnpW?@L~}pPv_gig{VYc=`BZm%rjG&urOobDhciWp5U$O4|HS;Lf--w~ghh;JT=t
z-|Qnlu8vy};%PDe$s*aB`kzhuB{Mm<&e&q%KdV$D!%T9z++Ob!i&*2Q)xS?vWSlYi
zjm7lcYuMSWZYn5!t~mOqy)=2s)W+6;cIls=TMFl0R-7@%Qg+32{&}e@{6klz*(dH?
z7m(+6$6D}6o8x!S4LZ}`d(=LDb+sexcE%I=EJ^!x2QBkxo|O+T?%p)jb@j4y!FFB^
zp~XL{SXW=adivChq=3&`+k`{U2p$vvEgqHElacMW=7@3gS3jBQqF0sY-uyd1ZTZxH
zS9jDaYhBy&Ha)TX_uq(#)-_i?>Plx7{(7x)WV37UPnVJ>f7h`4n;q-9Dlpyn@r{p5
zE?#Vszg}^_D1X~?*7=N;!aLVHaV@Wz+Y_yP>6AR*j8?(y`Tv*yshq0*zD4fl597Yj
zp3|poo<8UN=q0eXbMAA)%}3l<9$@vIZZQ9oer8pl?>gPG&5PY<-H7Gvc-R^<PvU6)
z!o_DNud?6LA<3`t;p?mFv*i!1y&WpgBs>1}J#c)Q*O7ZI9svdu=G+m96Yg+zVq7wN
z<LifNFaEqralg0kJ$G_?>;=W1cB4fy1^j=Fi=7HrnI6)4;r-ovU+edq({B5|toJ>m
zDgE7E?pEXcOK-Lm)LdP>=4R@?Wj7KS&aUZOCSUi-TU_cSL+hbW>;k)#1zs-yXmiI%
zrTBfOQ1Hu}Q(x~)?5>Y!k1U)gs<Pz7u~jZ#&-~&%?#{8$<4efHW%&-r4_soq7-qtJ
zkM+l{&ce8N9g5-SD=*Et<6`=|WIgB2_j9KS)LxsDo6e=pF2Ucn&i~GuKaXB)|0TX}
zWkYaL>LR18_dzee_O90`Fx6DsRCxaM?LT}6Y;t*u17F94nisEG`7ywrC4FCZE8jzt
zDlySxg6Z#1o6kIX{H)5Wmiy-SrE|}jyDYAn^dk5^d!9|x+-Zt0SB5uBEPM8+qe6Yf
z?Yei;hj|lyjKiLH@WjV#kKMkQQNGvo;kNw;k92Sd?Dr_Rbv*P=L8<;uuP1FfJ=YfN
zrYOe<wtLveZhUEMuO!6T-&k-|<aX@-YsKncf^4$C?BDLlP?&Y@Qyudkc7ZbAB^ui}
zbt{S(c+9@1FbncBFt1?={?6C;{~e?EQH#v8Z>Ds%9GkM><L8;JDld3XPME(jPfUK>
zdF2(S4}6PBd;RAE%hnxRM4LocCI=-g`K9{p$c8P)UAWg>ekm>f``pss>sH-K-lw+8
zw<D_A_}<O0=hy29UFh(dJ(I=u=rnoh)c@zr{`sxA`)8(ArqlPIE*G+_Ew$?(U1c#T
zJAT>vt%~iAY|)M=yPwl}cU}6qwq}p@n#S)tt^YmMj{D@s7RK^oPuQMUQ?&FwOyWAq
z(q7F{KlnZ}$tC;Uk`Dr*3_-VK;-V{61cJ{fYgP#M)yrp^CfV|}^`t*Ny}vkJsUw18
z%G=F9VlH+_#DAE+<Hg<pkB{G03LWa=TD;e!sad38?(})*{NMMvbhUe?_1b&RzpE%L
zuwlmM2|kQs?{8~<O?caQAh>B;{*u>rF}Wwy5~McFsC*h#x^9_sMBkQjmzj}g0}paO
zeZf%7Ii=;u;a}XGqBqnSmd*dW|FFP~L+wv`*GTL=pLLRhh4;(kmD_q0rh0ULJ6`Tm
z#9K6jRmn~D(tOs%|9hPG9<}29@KNVH)5R<DEjzAnn3R*uahv0i)Db&H`&H6h50CG>
zFyV9$i_rbG9umvG-wVl|BzV-vC2~s2N7r3<SmigLyt`6mrGNCDjg$Ufi+bB6S=LiL
z_4_UTkYC9~wto-UP1tS2YTc{wE@DB?eBaPZ58WnQS70;C`u)gZ-nl=?Qny87J8xC%
z|45$p{I-5-%q5TIbL(!+P-SONx~jQn-_vU!OV9g%+qnG=$1}&gBRTc&49;Kuq^0!#
zx4-+VSt~^g51&s7-cvd|BXaML<wX<J=eH_9bY^;We%YD;>mx$<x_u3PSuXy#O5)$-
z6(>9ubxyC^bi%LdgY*2|YaJBDS=l^(KAyfL@>*X+$=clqefq9N8L+YH%zAy$D{}X-
zw;TWM2{nDD%wfCGME_rd%-8+LCNEZxXPozE<+dL>Omn4wTDe8GC$2o3d~WyquV)%B
z1T%h`d9RUoLwpVYY~$lrVy<x~GA{aFRd(J{vQs(z_=6J~Z||JCtFmL|J<~0_wby8_
z-C9}jjNz}%zf%wIXYy~Tvo_)iw!P^k-fC*QXHG!UucenJ>=ex8O5j;w#Fl>iPS?@Z
zS7$!y*tji7=5pMoWrFjfJk@R4W^#+}ti5*fs?724t2Wv<v(%I(-FsjCU18x;$DnBQ
zvpWx5i*DdA%X{ax;Q8J3IrTl;WH?XtJ!e(<_V$gmT=rJIbJD;3cHB5`B^q?@`mW|n
zlM@1#db;QTxs_o5>Bwj4kDSp~GsK;xf5e>Uzg}@?>v@BULyP<LMY{b`x8yUlvHSkr
z`mOnhle)Zp+OH!WaUzHJ+3DZm{&T+J$0zIjl(UI7TQq(;2jomiy|L|L&P2u5b3XIm
zTz$fSa#u#f;tgScGHjT1GlGBVp7^+hD|CxMOrtF0vC5+itF0KM*B*O)=<)S#sik?F
znRCpiUSDjdRd4twCA;bG@ApDqzRokW&VDpEpvPxn^fsL<T*tEGIl4W*EUJ8)?0rS|
zz#=Zi3u`JYewhDy@X$6)Gc<>BRzpMb%aYim9U70%$GNsOmnp0HY~g$8ZBe}D?Dkt$
ze>fjZcrJ2zpWu#Hx&gO3zBs)#|9&W&m#e#L-+ZoYxtc`{GOLWg&G1Wn`eROa{DwW8
z-Mi;#^JX=qXS9dN|H@DLyuEP7rN#1JK4^;EeztJu8ik*Cu51W8G=Je*XEB?fhc_gA
z-+lOpgU#mNt>u3iFDyH&b~orrW$@W1;ZH?Tp0Cwc>Ke08{T{UX+DFIfsXdSHZ0Ot}
z^DljKqeEL*5Od{r<!j6Dg(kJvamcC8@H3wDQP%rro9N5#&*Ao_k$K@v%f6XpeA+UN
z-8#qXc!GG!+L`60r56(KsGRZ4F|nI3+v?)B>)NL~-kT@hThBR@_xT6)$sW)4y9v1|
z{$h|gbE#zFQ@8I^P0A)Ed?@|2%dWIaV`22UkgcI}?#vUsE3nRM<;@=+c2=`*rzTsx
z^LN#>GP98Gi$C)D(ck_$?q||`{4pn4MIw`h-%PGO^Z(X=wz(Q1?Mqku7iBh2JEYU|
z%rp1nf=x!NP0xn0l--&lEP77XV7BXOGnw-L+%+%n&zWrZr*Y{gNk#rGVujzmdLJn%
z<Z`_hGPqV)q7{COP2leR&6$_Ny)OLY+{g0L^7785T>Vc^`>x5n^vym>L5SgXciW@C
zPURD-82R7kXIuX&*`3oVE_kV(>CDQ!NpTskRCWdw-TJ1rPEaKM-va;2nQgqWNvlsj
z^J{6o-F(;~alTxDdG-WHc9)<>BJX9k<?<x8TdeU)Pm%iDYAMylP&@tN=XlSA8Ie=x
zpJjG<rnfKf=S%$q%PjIW1#Mi8A5V&sZCTuQLSx^VxYCo0uI;&Wt;c4~6P}dS-f_*R
zH%~Orx={Uc@3QJe5qU2=`NFC<b=Lk=^o;X(`{vQ~|4)7%6<^&mQJ8^IdZlLOw?~(k
zXZPkFj(O9$q=KpVk=?U|(=`qbn(B#$#s>Qq*39HFZ!OL;IK-C`5Y4k>*}-df#BVM?
zyFYQ)u?x<9zPp4{?nHgp<X!gQy-dG`u+jVdd#4`%^iJd|L%r+jyb}$~j@F{yIhsEl
zlSQIr76-b_)=xVaEN{7GRdP#o(4jxd$3yib0{+McWgHK9q_*g<PW*1^{Jaf!tobCC
zOYLK3;Cnk?u9&5Ma;SIG|LuI=rrlkC^8aPs;HQEYZ!h1bSQ$J0oAs*q7DiV?`U5X*
zu5J9D{j&0s+@A*?b;nEuip{?r(tW|r_EP8s_xsfaeUYh;H}TxQ9gwwAi<w{K^jkC6
zI@6Sw@rlP)96lX0m0xS+{*b=rrnRo>`(n16Muc`ZX|G#YQQ^I#-rHYQxa*;8#K~9l
z7hM$Kwm6}2jK{a0ZTmN_ofexLEtXd<?3(vtm3>LV#omahu;=U%bBa&4Et(>de*E`N
z1G9DEayL2ZnSCA_?sEx9dcG@oj`Q(X3^&}izptzfs(7Th!8DCWf6}F0?={+WpKhvE
ze`QqL6JR|3z@NiRGkbQ$x6XB%{@QL@`0gc+KBs~gZ7^Nom{4o6XZ_aOwFbwU@4E&?
z-81!U5>mKcJ6Yt!c|C@kI||<Q+Vj@fo7)LozWT8C$la~??sR=v{C`foL%V3x>$CU2
zJmh9Js64v7Wy_Rcrl?C7h4wH7%}RTGd@+MFqkiv-3n!j6ZxQmDyqsZ!>NK7$D?9l2
zoH4%0@N~B3lb!w3Oa-TQD8&CT(Lej)dzWs`%MEESRh&}XSa}Q128S-oNMi3=dqs)!
z-o>f&l-DU1@U*K1eddx~x?}3!KTB;d9d7xvjccv_M3Z_UJ4suvHx)cbSXtkCGcx?L
zJ9w#mQNZ__E3=-OeYwARnW9RoSaklsSX=RU>jyc>D$fk|WkuHQxxG4QZPXd*bsoWt
z+vkTe8SogUP14gp7TKIXi>>J6b^dhKoc1J>2?k$p-M_>(fs3<zp+2W;KmYT|o2$%S
zR;)Bt(hy?`{88cLv^uy`sN>P^W2&NiJ-2^ecCtwHM8~qXx+yk~<o%D|TfN-%LhHq}
z9YRl<cAnjK>Dbe|ef4+PBBK7hwx7uDsCg-bDNcV@+KU<N=h?a16xTHJG~5l#cjT%J
zpX&8YneED1rYRpU?%A|Zxv=RezsTLwdyR7P?fPd1UD$2wUgGjA{^!cM8*|!sUBAI5
zG3)=!pzs<g-Bn8+_O2CuV)LZx<#nemt4j0t>uH`y`L{(j_FQJK=aqd+7;P-x>KU{h
z?fhM&`oc@|QOmI>?d%C2C0`DT+dRF$;NiSer&y=7ZL2nkIuoiCyQ!Z?apk@0m#n3_
zZBH_c>+|RIh*n<u8nyOPxZW)pcXP(+2M*Z%dS`n~UX?%mOnLXNqf$Pnf@bcu`K>PB
zyo_soQ5D0rU)%a`#@y_BxP*VPRO;Q=iUL;CWA0_DPHB<uk$VvEuVQ}y_ucDV${H2b
z-%6)XObd*$sxWDloOyPQM63QaOM6wRtut9!%-VUs&ipRELVU+1`>khBr+r$&Fzb$r
zQ>cF6e(B{I<?iom&dg=Lc2C7zX2vY-H}|_9EVaL0GTmk7#ixos-_Lclo8{@4zOo44
z)X%Ww_u05Df-2P)w3loBPLF9)$V#v_YxSu(wS3y`FUd2?OiygpD7&+8)zs&250y!$
z`ZgXFp2=~x<^ATHb5s6Jo-~I~t9I**NfN7lEJ{CLOM3MA#{1SshOuWKnHE<)@^=5N
zzjN;|cJCyWl8rxf*?6Ov7NozQu-Z4~Xm6_J!7a}k<9Bf7PKimmXEEjG${*j1jdxCa
z?=!E$Nb;kxuz|ha0nf;6(VHhvxh*wav#N}Fa(FwFj1+sPG4FA2tGktz_m^AqY=5P6
z<)!PLfa2ZuhivC{&Um6wCTZRETlfa+&a?03ZXEXJzV|?);?v#9SCmRwC3__H2HxXR
z?%-0IzP;AYG<nB4#kmZ({pX(GmATrN;+MWD>ZYhrn^a`Z=`)Uj4!aUrx<YrH%X{T&
z5YI7vuU5{n&uxj7RV+bImo7Q=<m9S6{_R^OFE2YBktKEYWv%@F_g5E8oNC?^ywrT-
z#AzkV4>f4k1=^<u2!D6iP`;jE6L{?CxgGnGw=T?_UTQY2#iHta^4--3!p-M7U;E?V
zxyQ+IOZ>#(<MDUO)}Iq8OxfCS&!ApZK6P{Mp^xpmpG3&(f6kIS%9~JO{3-f?Hj{yG
z!N&D_6q~0A_gS9Y)gR_-YkPF<`s3$6c3hi&wSB7G@1$qy|1FsXOIA9}=lJ;N*CSTP
z1ztw(%`sVCM=H++6si3*-KSKwEbGi8{<qii@89`6@ezOabgea4Vs32qo`0;&FjMhg
zzyh1kE6P@e>*<}1)jGQDiDj^N-16@a`PYlY2=NsMdAv3|IxDc`+ty^}<%a((eUIsA
zbNo?Qwv4scqA+Jh0K<o}u;-UowqBN7`rS@#Id9dn-?#d<l@zAQebNwJ#haRWr|H#!
zxiY?Si(eWRsGdEtMcB;n$2-r3EN?FES#8WXt8TSZ;6J&2(bq4tWkwr^w7Cf{TeM?E
z&D(6L#P($`E8iq$^?l>hnZ59COUJrT+Qrij<xN?_<<jr+QAO#I&4ZrZUqTr@G$d0d
z2e7B7nYjn-IC=5!Q|>eGO06E9HRI8aY|~d0YFs9<OSvvGTZhp&=<&LvZ@nXrPTljG
z`))4_-@je^vdrJSw2zu8`0$Ues6ozoo}3r9OTCVV%7oO<e3bBPy4SRrsSKZ|ZTfNd
zcH)gj#<dLZ6kX>sKj}KeVgFKI<wNmWo%I~zd6f@mtms%fF}iYP=c0sT*(!~do?B$)
zS7aFIgxzIbnyBv}aiwLZ&9fK2Up<5;e>iczZoy67&x_v*XE_<%|5Uq2>fI~5Lm9J@
zOy@OxGrcFN_4q`^5%YUqXVm4mi(Ie%l`_rvXVUtzU!_fAyOhzPB!&K*myS|eS0Wc?
z>dep7KA5EC=<{!<WKF3(=MshO3z}c0%Vz8<)5v3=Vti}c4VBBs&)H^49D0(iXUi_)
zyUCROSNZ&fW%b+sNS(WUtL)%Z&eAra_v|r;XWl+km}#=>QkCaqgC8^6UF}u!MC@Eu
z7H?RU_R>S@-CE=CXLK$`PvmG)&bqMqsN!F*Tkk$B5PjgU{wDcP_Px?$omclht~&Z9
zao2(vY0H>tS;>J;GvEA~6}U~Qy1MJ`(>uOe!i6qV13H=cxD|pJPddh5<l^vMw`JcX
zON}>N3UzMRH|~6s{K8e~g!|0vTMnzO`+hsqE_I@KhwOq0uCFb2E>jBZj+hrH({!S8
z^E;c?O6j79=L7jlr@EX!tMn(^enzvT4P%z{-}0}sc0K-gxunQCyJQ{Ly8M|h<ue2N
z79KHG(yMaWzh~i&W1BkzB2FK;)v9Zu^!GUTXJJvdisuJEEw+E<l6g@!am$}*#hp4^
zJ%3C)yiE4Np{$M_EX|9r?dCb-@}lXl@beFgA5PrdaN*~RRi^`QcARWAY<$!9+BIgO
z(`>))$xFMxYHxWh)wR;fIr#hC+0zb(U%PJ0Hf_JdimZL5Mc=z`O`5&W^-#+SHm1uV
zHud}Xf@ekP|NX<dN8(s)(Pf`<mcZD6{g>XHeeQH{XXICb3(Jqc4~ab#dHmJRytfNy
zH&spDrulfe!-cAYyQ8vsK0duZt-)2oTIjC*cgynl-Nt*?{`lhZVDF-moQvhVdwG3V
zWb;}`y<^+Tbuj6IL-p5%N7Ex0Ug@=Pu$&-wWC7cBuQ2gINyTet-xQwuJe|3F+wS*$
z(O&tkdp>Q`n}2Up_nM2o)~%bazW;wIacj?m?SE4Q>rTIKne=bM@p8EmF`;8^5&4$y
z4gXDccX)lQqc1k!r?}Wn&;7;sjT4GjSZq6gMe8ez<fm(jIU)i3MLt(=Ih^`FJy@df
zc~<aN;pCKwr6F~i)*{a$eTwdG+m;b%x5xFPKvJsyrFF^S4=#j+J<bhZQ@?m^c+0Wp
ztc71q_4P|<+}dz&e$@?$E9Gx`n~uHcyI6PFs^wJ)zoEqi6S>VwH+l^mlntB?s(wsZ
zxctn&qwfm3n@_~`S9uo2ZNJaDQ&;oJryY{<YiE9nC|&cM*<k(Uo0jJp8R`$b&7F9~
z^Njar;pp{GMfxlXWNzOsIl{hm-X!Tc6Z-GHU=~$pT=q=X%T(@m2?vW-SU|sR-J{&&
z;ag5r1WgeyT~@M0j=9D>=Z=r}vp>})m0Pl(v}-Ni#8=+EYs*>#pJyQxjtI<PXx%b>
zLi@V5HQk1b-y3dTy5`FNg)9mu-+Z6MpQR*UFmcXLj(`^>`zQX@Tm9pyQ>o}7HdSE`
zL8rVBkvhx%zdqiFPx5KmbTC|e^zOo|iSO5n-D@>$TJJfdG@?TNM(N^)&;!$#O!DWI
z=)KPNk6FIES81o@w?)krt~nC^_v??mPh*NYc<#u%id?>rMu9qR^ZJi%|9k1j`3<2T
zR%Zu`w3ssQNVT3WI@@iog3}D$BgY+VwytV8;eG98o9j>WMQZcSlGz$h#8@xBQpm~1
zX=Jc0{LK5vp56fWqg4(H$HYEv$?dB*-RJs5?d=?^0)d0ylTYMtocZ`*S#WD`(o?OS
z(G8}qY&$o6RoD}8?G5jXpb)*Hk7XMV${n1Pz^u#Se|wEX(-KGD$`=_+Elu;{UIk=W
zSk4YP$YpS>Zk@=&chesQOTK0ZJI~9rc22sMzwEm^{~sS|iYjpIvhgbtwKuu1eSJoy
zTjY$cHi0(3m)!R&!k90*3dLC5pLJ>B0}Y`^&tD$vKhV{>$za9wCC6`uPtR?buy39_
z^RHbNFFZXahj}^oFV1@KN$dUFBi)KAU1`f6yk!;$h>MAK-_dkr*Bb%Hs4XGx7YhZv
zHf;J-b812x`{he-nq9p0Oa7F~*TihzeNt-askH433$#A+3sfHB<2KDwJ7_jVt$9*#
z#*7K<4Qu%HZthdGDoaoe-!7TUtG*!0f7ul_<NMMR8F{iwOj;Igoxl2U%;!HF^k4E_
z4PGTLk!}2V_Y);1r!7Z6d^j;VvZif$uY;eq$9aF@6<hW#@eq+%GL>_K7Vn-=jogqp
zpGdU|lV7)2mM&7z4PRg{zgl4KVs5UFk2@G&EV^9z`PiE^xBE-y`K@0$@ll7>va9~t
zIw33e1~M#L_Ei5_^SnP_l+W&P=S=?lu{hBBKWFcRcNTKV|0{ID7>-QiuR43@_Mt7G
zOP3rl`LW`=%Rc>thu*CB_NacEU79lI;)T`9w#U5EnI4_VFzo(zzruDWpQnMh)aobJ
zEZ6?H6)<n!zjE#>_q8XS0v|fD7%ad4ymEobu6-Rv{_V!<U)3#h-WToXD|S^ncKWJq
zsowQKhme)?{&JuC%ly}7o!11Z-s*MxR(Wl03E#V>=k$Rmt#`r;0(uup6wXoaZCU$I
zHg)eVvGki^6T}xxKf5*Wg*5Zd#T(2^4%bAozmWedC8QGdMBe23*-yv)cg#wdFpKX#
z=aMeg6Dx#UcYZNV%Q{ogbnySB;H<9Y{by|Tg{6Mq`u98OQK0$u9m<*#Wn%y4taf=I
zIHBa4tWH4GQR|==+b86k{`$<iNa16eM|7n_;Q?il-Hb|ZRrQ-iUQU?KP_L=s?2?|g
zYc0=F;itEJ)^7PNW>p@!?zQrwrz_<P?QX0(`nMp_d_{CFQ?%q2xoa~HG}WG%DYm1t
z`c|$J-+5i<i7pI>CutjoW>zdSZ=Jn$);7NKC%TnQy@&YS|9U%VZk(cY=l`D2%J#?i
z&ggXoToAQy@yNRw@awzX`py2lJH&PVS(M!}<UHg2$3%6}Z0A@uS8dL|b<0C~ukE}f
zadOgfsUDq;Qas6jk44^Ic8}pk@!{n3Ls_*<1|e<MrAtITJ{u}VH(2;S{u4BrKej%P
z?OyN<S@kl`ZQ=dVtT~=vlOO&#GwJTb_I<5i^IQKpm)?5vRouk<=+TyfnFkhDDqhn*
z=FV{E3|nVi?HwK_qvzXLZBFZHB-I~&wvV&UPr^UcS8?j9DQ`E&KJh)X|LLWP@`ZZW
zrn>H$*8a4-;I59%ib6H63Gcowe)-Qg<?xj29+E=4HS>O-lIcJ1XmQm2h|JRhyT5_+
zgFTerw<}#fwB9AVNy9WCf~`w3J)5)jDBGFGu?aVB)T#GzzKofeTl0Ir^{Sm*M{3iY
z{?s0wYM%Js(NgJtw)S<2{+^QCS*~a9MV1<Fl~S}Rn)Z5%aNCth-F)ot&+?m>oSr*1
zBWt7CnGU`07BZr3w!ip3?%tzwSyMrKMQ!4$>6}w+&t+;o5jm@J;`Q-~@uA<h&(Jhr
zzI?f~andvY)5RZa)cw?5WxlNqPziTf+3$8mscg#@^R15j^Rj<ku@?8=KQ;Q}er=E2
zdmsKWc{=y?|0#NbF?|yrPpu8I{*o;h<S#!*=cus0>gt<64$n1Ko<3LMaLXj)l-g3Y
z)(({uU(A{=J(+xot*a$-PW8Qg&u*KyoS*nR=uPaYV`pqFOM|Dpj`IAh^ixws<edMd
zoO|1kpSH5BR+HVO;cKa%lpy!}f#6&X<wLDeJNTF?ww(w{*`9m)eff@_GY|9q-dr(#
z;kKpqOPkiFM0?hoE&KB%F8dUfzGV%+IltZMu)L~1-|_irFHSG);?z6cxX#pmhSrbt
zC5e|$c}%dqFk`Lt&Qx8G8&$C^NB-=Ntetrw<<aEd0#nxeFE`w~=6Krq1-VAKN>OGy
zNo#j@9=R0N?HXwIzi`>?E5QpUizW+pZCkjKP3d6re%+F{n;d?!&z+{Oo#*kLsq^I-
z!7IxD`aJ9orG76E3i)Was#oG~oY41L#$%J7xB2ZBu&NeM%lgK3+*Iwie5_)^KWne$
zkDe+<tokV#p>jX#e5Hlm;y+=zEY6~8f1{)BEj-80Q(4)VCG~dF>atUzTt)`mA+|y+
z$KR_~$y$gyd8ril1Z%&)CB<@@^St(+btiLPEV+Iuf70Kwmb&~+U8kb&^cB0wFk9T*
z#QxQKN`(03FY&<|kqR1m9N9{bW&eaX{^aGoSTT>A<Kr$4vB!yy4?aKD&zjk0HOX6W
zao^4Q(!ZPrCA_Zfd!DnLo6`6(_w-~R>ysgg%WL0Nh7?BR{FiwtUou}T^U8OR_p<~i
z+$>S@TVLtxbLT?%+AVKf-fU+0^<RI<)<+4AyWI|1Zv4FC&FUKrI;-FRo0IFibl$cJ
zZ<I<CYrSr)uAe3xnKgg%YRSCmpBD$t=zBl^ktUyg%UlKfrMvi+?Vo$>`Lqo}yEwG>
z#y#Bq%&;*x?BV;lVUAx|lQrd6-LMqC_(fooTlecSj{W8-35ts^&WSm@E@iu}^1pe7
z3><gE-Q`wuI%_Ywwu(8jmtA)HERN&OPbZYrPna#}v2^2{gfslPGaeaCGx6WN)p~}R
z_3v|4@$)tqc1+)~JX$%*g5|UVPxbi(3vo7m<22^)ju|YGf%(<F-z={m?CsI^eC(!O
zDe`Rgg$AuPt-ky6*}6mnH(hTtWz3va5gv7>`tQQ<b3t!vRTLhYNL<^~G3m#<FTtmm
zpOa7(?y_=zA^LLLspPCwrlRZ<hTm1CUS6D6|449hxP)X&veXvy|6Wt%_LM7sd~@8>
zZ_l$Us;0v8OK)5CExJ~m#C_O`Es*=thjriVQo<)}>x;b?xbp0iB{A%lO<9HC{>?t<
zH6fWx{kLH3+7$N7W)Y?tDhD2S%-{7)JYH2x@O@hZ&%G%#%2fB2p3)S{&XYd8^%mE9
zbN*vaMV`r3OVo;Lx=$F~(^|IX_|(+p**jB%)cz>6F3L(d!Ml3r%)H6Ve@Czjb39Ej
zQrK~51>2L<pw`-x*)mV&a;$BsoF4m{Ie6o_hkswa<&kj|H#v9gkmq~zuDa9uUpB0(
zyRh)>R*`9U<kl{mFqJ_yCwu9QL+r($5;R$+)iN_m=NU>~kM&O0_<SZh;2}eE%Zr}x
z>6_|5mEU$%j9=$kxc%$NjlPE)w?F#2`-88iaL~nrD$;UI6*Drcv=8wz&EhZVe;?X@
z@z|~Yxi{`+-MtZKt+I@19bcgJ*ZI-^*G=75H))A@?Z?DVWoK10l%1007s+mt^-);)
zzFMm&zULKNVQ(OF#g8aq501*iOy=sY{-4)}o;wqh+rzam)6eGFa)}*W_Z8*&yu3wI
zuWgLJcVGAH52?9!JSXOQ$XUMdm3>}dTbLmD++y~|Bj@7&O=47@)#6dQ(qq$}Se9!J
zmsIsLejd5-`c$ot+WE(KB=@BGT<~vRcIAwdWklBEN_XxTA8x3>cWvWhxXr0s#d@<q
z#?7kj%7mJe@`sCMUOsNAEie23<NlAvkE|-Wr|Z9-&3(Atk@rUb-oE}#%eFrF*89>%
ztW(==>iyjHpWHUu7~JQXxW0N5-_m$>sdsyK>gYAZf3Hu|=h6<^)N<Il;?=6xQd`ZY
zOZ4pJz7-S8<azRYfBHTx5vN%!zpv^1zkF_6)$R2bt%Bjc%F)vtvQ9OfW$CK62>4lX
zBj#8#+o_B1H>W>O`&n?fKDpZLr_!ezp<0KfUVERAU+^g1tK5O%OwM+-TW>xev_14|
z+s=hHH|18YG4={7eXaK(Zl}r2DJy!G-T%RKa`id)zL$x+RxnKX?{4!nyP@k!!*tO|
zr&DfAw9ZcWpEu|9RKsa~ox3L8GCwQ(Of}EL_ry}ErD<hO@~Nqfp08G4_;QH-@_CKc
zt{t_Xue`bRn|0-;xf+MFG@4WAoGV$(JO6~h3Y{3n6|zfz@2E_C!#c}sUX4Mx*6)SI
z5^+hA2R}V-kNde!`t|}t=i4{eE%(UNy`Ld}dH#X<S|471;8zTDeqLxjv*-7ZwkaZE
zZ(WRBH|9=1HEC7Px>Gg*W&O(9drpbozISiV%!1>p%OW?M@M>?oA~Z85KTGrGvNMc(
z`hV>I^m=&_SMk0N(aPtGST}TZEaWO{iIQ0o^up+%aEaBXqc?ZFpP3?XWZM##7dzO~
z*EOE4>p5_&%|}nUecu#so5*%4<A%SpJil(+sjj)xY{i4L<hip`1;2LJbCjOC@VRG(
z-baH&_QzZWJF<IPkNE3s-J!f`#<`jPTeznL3pz*F{x*N?{7ECm_JjrB@@nNiuZr&3
zXXfy-)x|hXtGjYl(^`ekd*az&9D>OqO~TvM6O!H?_p@}_HDzZ*^IP}G3iHW(4la9i
z_4dABM?B8<H)MA#j5!$)_;2@x^xZA9swLBFb%Z1<ozz7C?7woXmR~WxrEan1wy87b
ze#p%J{G+t5<K{=kw;qB4yEpF%W1P}2qc-R9nG@P4-%FI7ix9l;_Wsk;cUyOC-~7IB
z)|pM#tCCrR9z35l@4-QZD<91ki1_ZExcmIQ{^I+Vbw}8vB9C9Wn%L9Lx!rTsYnPJF
zOQDn3eW_wkX?N}FzSEbRP@|B!^q5m{j)bX|L`8~5>V-pU>>E4#ZR&p7r8v!Qz9(_q
zbK`MQ3+dIDcijHKDRiTBd(~=={j*JrzeVgUdK&)wM}~cdwr8{R^b5UQ^~dJRarL~i
zS2*X#v8r&xVLP)7Iqj-F0UfIp4YDF^SGaSU@2FYaz4pjH>8^bqEg!Cwe_FBSy{zQc
zSY_t#KN-J1Tm1FzvP#p!aM^X8%a<MhwdW^G<NC+nZ0}82^X;SYw9`Gjj82!hR(j8w
zF~z?1-ty@zp67T?Ldp+!HM`BX3;ZOOcx^|k-KCSI-?UG*n!e~;W_g+AolboGu_dq1
z2Wc;MwB982?!3c`3#|Iv7PMO~dnCLg{zuaCP`;~Lb6i%3+}il8Zd-lo>lKO<o-8xC
zCo{Q2@<QLX-9Z&wj}$SkTrlg3F{@b~6SJwwnx}V;o{)|Iz&2fP4wJuEX>>?Ij;?&B
z{;V02)f__qT-&}kFG$>Sb!}?t`|mIOPn|J;bSbNCv-a%RNeqkspEk?h(`J8G;l+Yi
zYp-pcnqu-n|H#xi&5yH_l~=C~{AJ){-{jQ$Mdy-JL!5s8h5PURNZ-v&Ucc+KtRm0u
zy8Pg_l$%rY&w9lBo2-w2_)RS66JJ~Q@$at8wgM+yroLkebbqzO=c)1+#&yYGYkzrE
z-0Xb+id{^=b;{JwJd<S^7b-8Zxe;*BKIX;BET5#E8w|F_@4t9V=9j{%HJ4rDwHe)i
z7ja*db>N+4-g01J>-k4#denAU|C21f;I`*S(q_|dS{q$59^6f@+rDK%_Yd#IKlvgq
zx=&d>#iw?OGV`sszA^V?lC73EojerI)Hq4xa<GrXoF^A|ofp2n;$|@eFWb(#vT0r`
zA8iTQ*>SwdYy0cko<|&oMKZnhg2rFh8$|KWxW~WomdUcu=Ud*c%52yCQu;_%@_p}>
zfFF`h(;mcJ>Cic_ZRtygy3cZ}KOTK>G~Lqdp|aVbo%4Ct^h>;-6uG+OUWItY$CWt-
z>Dr5U=kx!T&E2<r_wygU_dhz71g^^y+{q;`S@P`1fw}L(Pt4=9IAChmqjTMW^>|;#
z#;`NGRgc^m-}Ep1c>Te8wKeU{AvL#rmDDWUVkRBmbgh2&sqdYA7bdKbFWqtCGXI=Y
z>hd}pH(bbi`||idFD14G*ZrQjern8qJUyI4pJUHuLDTYO!aEBWeqd{OJTr9m&BDKH
z&TSC%f5>)MRmHb@q6g2hNvnhOTKE1}d(|N4pVBztJKKb9*w#6lrkNQ`d1)Ifd$#JE
zrc+wVi}j2%XFJZBSny$OnGMID6}MLxR6bhw>&_f!8#$lb{w@j+GaD@1Ilf(<5iU|X
zX)4!_7k6hatrzZn@@pI4o@;w238{Yh&HXTNYrn7XftP2+;x2YNe|?(Yw(o5$=gV8n
zvn&72-ydgL?R_M0$DQ6ui?;`{es_8PW`TfU#be&a#i}zVeLMO;N=|Hp#MiIarx%>{
z5$?4qw)E6+{iAVpTk^Wco__N-zkYc}d4j@{M>Ez++eg{hOqjWkS^my9gZRH(Gaffj
znm;3WkN1zbi+_$}HC)|&ReEl-AeVAu@wbypo^L9?(9&=>Q9^0P^d`%SSx3UMl;h4E
z(DVChHS6$_M~Pt?`(F3GedL*c@Y34T^L1x#nt15R?n8p9r5~G9ntEoP$)4^1?Pu*j
zz6P!(D?j-=X4pk+_H;R}y0^$uC%C=dS1%!=YN~#aV8ybx_S5g4X;`V1+{2URs}s1f
zk3Xq=aeeQTDrKk2RZTbN7+>IA{%Pa<BWH4EoIJSW%Bu9s{k17u&6e;^6T3LQiqCRV
z*@Tm8bEa&4_3+$Mea54Wm$r!*rA~=2WUW;#Uvsc$!s<_Q2HXs9=0;~sE4XzrS7g=f
zo6WnKxEFFhQ9a7>`^BffA7&kGh&__4!FQ%MB)zDAokF~Y62k$n*ySbj7_}Zg_c-z3
zjGszE_p9KnEqt}FJyWbB9dB4oQaz*b*xBET_t3|5lh8NekIWA<zdU@eOvtvwu+@(@
zeWKC|SvQW**h4<dK5k2%>!`0(ek1Dim*bRJfP=#oe!d%hDoMiHk+*kyas2Q!HC8$Q
zPP`&xZ{cf++7JQPvIC}7>K#dcemeY3-umK3xI~K0g)8$7v>z|I#c0LrCLvILI>m;A
zd#hLDhTEK;H4~mVS=z@J$sLhgdty@3-O6KmfBi&_4DMDWeQcY3qVG*Kf0pU(3IA*U
z1<g}yb3D0&<8R4?gFo&r;Ni1g&GSf8Sopb{i~rs`0-byM^H{|;wmm-YbR+DgTHo~5
z?!~bW?^;i;JM^F9P@?6$r(5StdAD!stL<C7Ur2x1%e?t+nfHo^&*r}4Z)6C*^-AA!
z^IARr>@S;-3fov`C#)z?6?!kCKl_23zQ}_~Y~MGRn8!UeNY<5I;Q#-Y`kZHn%)C0)
z3!+)l^Qu?dAIrXet%Z|~fz{#H{`*-wCtF#oR-2x#R`_dVCTI5A?C_a_bHA0pBrN)0
zds4H0?!(s0Yn%VJ9qIJD{nKcs*X@-@Rn!AZAFlZP<9fMMS+sxfiFXa3<E{rU?aoks
z-odpk=6tzE6I->5AE)o14}Ue4-sXs0h}xz%H&%K1^rHvWo?Q3aA7Xab*WLJSc2Q)z
z@|7U3+`orcaqK#KZ&ys<I>k38E{VVMe3chVoAR4I{N`jft0sT0qe<r*cg^i*6|xrp
zf1u%eU3Eu)@sADz0lfyv$>;xj&#u-Nec*a&WBKdtN7tJ=R&lVbdr<5iWy<(DDDj9E
z_kxYG0cpS9=9kn?U&OTKvNKCW=MSs2z?9u0ZAH8bq*v#p*Y9-EN%0AY%lvovsM2J2
z<$V)t+GaDx9*R%Uoc-|E45o84YFKyAo*NhE+ov}5Y2fn9BJ&C+Zd5sy_N|gzeS76(
zw<?Ru6A499(W@i;WH=A3`NUm%V6*2zM}-yH+s`yt&s)2tx6H0>jpvhZa?=(}d2s5x
z%*v~#^NyLWz81cEV_d^_&3%s5O;X$v{6+71`<l;bIVU=sXucM7Y)ujil@|H<`%-t(
z>zWnogSgc`Y~)+Td$QMFm9Kfd7>mf16J-k+58PewmrF&^xGf^1CCaRE$FpTRSC!VR
zdjFv4+1n}mj4GniWRoR&IXf4=z7^O}HhEX9Q^}Tgu5GeYKdDSwcjRFWkLP;TN9ToC
z1edq8TUqGOH~s(VxWO02y`}G2ZQA>nc{u$O++oh$!*nZl?`*cpl}n8(_9pLb@J%}M
zX`#(wjR+pAsrLShDzr;C{dxNAiLO_ez=p%C#H6^{PUxQr?d4byejv|VFy?!1*Yd(c
z$+K^)dcplM;O6#kEIh}3^X;y0vEs87{ph~c>GvYJ3kAx-dTyezTsgk?6i$A-UcWDW
z)5Nt;xzo=&PTph|o^xH<Zdba)??2gELF#FLmo3+^eXzXS_3F=`J47~XEak{oNRK+5
zZDkw!A(gW%EIjC5&#ypf^&+OJm-Bs>CEPyYQqaC_laU?Y<9o7P2R*xazV9u{Rb@VV
zV9}dW1J(I2yZK6<tn6oFo8HpS+N$p^I!pbneC2mZiKH4W=RG!t(x>wIOXIR${rQ^Z
zQ7ox#b#&jpD9vNu!9`zq9%-&zc=7Gq%0)uYEKfW-^YZPfk6SlAw2?dZQ%!FB)3aN6
z7o3~${$I_EUBP$Oq(v`|JaU=4L~B7n3gbNWy%|dN3;y@=uTZ@??a<o#KaF$Dnw&!I
znwX4axOsT?`>mU>tzz}=tCw_DI+FW@zJ8DYd0}nFq>^Jif7H*vi`Khr_k6j(3)2^w
zgP-<2KR%hCkLPFer~SoKoz~vj78-AIL+oK+jrzA&%X>W+mK|egSvlwCsc!$t?Ika2
z=KlN9&Kn_AoAzrtcia|kcP~~`zf1Se^!%IHF~{;9$NbNI?z1P=9GNuL*i}z>LEx3t
zjZeeMlG1|@Zpb<zqpu&rT3VUG(*0`pe(uXRl?C)WZWi&YITW7}+q6^qOgXdcwE*U#
zsnfDvp6{*n)Tx!dP{+ikuq^zX{M^G0Q*{2Of0H?KVuH}g8D$ZFw+gK@QRP^`d%$%e
zr|Ra0iHrO7Ld~6aT%Y=EQaQ`%1#9MAo-GkPSE!?`h5Luf>PJG>A4&_psQs60Ty8sg
z-Trd!S>OI!CfUupq&H)^*LGeP7Jtp`=j&`&YrKB2TP{(?dv3}<xq>;_Rf`w0E;?ko
zHSC>X`_;y{u<EeQH$AtoSG{@8@>21{flejUUvu{+o1U-i-5&ht>P41wdFg#F(l6db
zc0^tO`TRlX!;c^2cO34Rw|HmSY6kaN_5rL+!E;{vc*;yDv}*bIaAke|^-~|1CruO(
z`M*{&L{jjtM$-R|IX4?Z{62+TTwhwZ_<ikPk#FBF6qkx}U9VZtyejA5Dz%*MBJp+W
zPUniwvyJI{Iz8R>>j%~gB?n^cx{gnB`^9&6i_h_?t8yG}&8?rw%f~zK#yV!j>Faf^
zLgUOI9Zk*rCTOxMzkHL8@!ySC+ph8}C4^^O>z;j9eYSPqik>fr@5d#a5f^>;()HMp
zsaveiw=R1aJ<00Vt4{Y8%V_~;49bIA7sTH@IYCnSD3A5G+w&#sMFgj`E?v#PN1^`g
zkyZ8gH*8Pbnq&A((k|p?+{XjoX7R|yxoVc3Nv-hwf3(ZAUyx_E|DFlw`Bxs(dBE=@
z-ITh%<{Q8BgNmB41iP*N`=?7DJ-OF>(ZUr6zm{b+znd$~`|mwN;dhz0y^4=^y}ln>
z$$sEW#N+Hzk!ZVvUEUHCX1cRw9Q`NWW?6T<Z1D*L51w5!p1ioRO)2d9ma6TIXOA2C
zd8}x4efV0vIc&z;B`jiQFRlBt{w}h6;5+r=TnVYof;op48eC*sp!1+-Y0O-e#yjf`
zpYA%d-tmlruu8#}pf_C$nG1HlJMOf^`HXOmTyeNdxTv&Gh)myfRqodZy|i!bmwy~~
ztHSK*Gp~fCqwTHB4L{$E@L88IZSSArsg2??_8L)A`!v(mNM7>2xa-gCMN<uT-sxmm
z^nP*v8>z=T9Pee`Yf_!YWubPd(PF`3F0QuS#n)1NS58=Ro=Z<5{b1xp!EDQkiFRQ|
zXFM}sc7~a)VOFzwdHD5-RgCQwUiwboMK8%~Y%*W-sWr>_!j9_C?U{i~)`#wuEAQI;
zjMGM8_G|0b{%>6Kx4e~{p?*-cZZ4yugt1Sv3Fiqr#fzy`Q5==~BXqg<+}f%a5a3;N
z%B6bV&jde_M_QJvc6(nCjXPaw&if!@^0dcJtDf!G^jW6CbH}vvy4G@6$&Z;ClEKAy
zqHnd`4x7<)=0HvUJ1>SC5%M4NWtWt_XzR0)7O!c&YuuMJ_wVY@#xI3GF=(dES*R0#
zAUG;c++23vj_khE_iR>P?z6s~8F=96wF%0zHLkR}R9;(~bEv)Cg=yv?u7pnUAoeeg
zE%tAsG*Xm5PP0=piweG+B5?6v$}|6eiz=!V1Ks*oRWwI`d}c2^pQC#FpWk!c?BZlU
z-g^AQ!%O$c{ROXbH#|^H*_0z7wm+-UrrbM?^N<u*;)Mt4cl8Z7%FgNgfAi~|S+A8-
z0|TBPJUgpB!b`hj-68jC^CqeA_s4yvZ&dV6sWS-wdhwW*^6^@a6glOGLFN^bS|+!{
z_j24;f7i9XsanzfM~n3CBg<_w>gF;tUf%fgy#J#@w*Q(7U$b{s9P6vP6RNxAc|}`8
zcj)SOf8N;~5ItVBamBCO3-<1~DqnP0N^a>za}D1AX@A3cTh{LJ_@!`V?fs+xBkkfZ
z?Bdfd7F;{OW2M!cc7>f@`H2gAquy#Ua!>U9-F7lMWDRq##2xdAQQ>p<v!B?qeLiCl
z&x)X9MH8z6DwVu?d%o~)SUO>&+Y0-b+5-*`cU`!7z~XsS;+izWC%RYLGxpWoD?PJ2
zzO}_<^YN4t6OB`$iz?6GaQBUPWpQ-HHft+^osL48JH+dc=D0bU>|M5Q)~&MZIXa2P
zEC0u>GP-WLXd=gM=g1Y876^nKnVjpm`K95C!@VB){QWanR;Dp^2;7<DZgo4puz}Zc
zIn(6}Sx(8*v(4)k{`s}xT*S>Aw@UZB{qU2Oy=*w^*xZ(^GyG>VGnQ}ZT>oFcxN5HK
zugaFxvkQ-?g-sE0DEcg1s+1$CIN|o0Py1@-NEPfg@!AtS{m<3v&o?ETN+&ekd3b8`
z@jDtUtGqc^tg{eWBqDw<>zZ%fL*-fLp9Ftbk$Uw*@w;$app5_4w$DF}PuB10dH3df
z)OM}Mr_5W9E!g<aIVZH~vvSI=Pn)uf%~zhd#?$fY@4o_3mYLP3>ZfyW?>MdWW%^gQ
z_pGV6u3vfeIJJQ3&+_Kr?Z!$==ZJKR*#*^i6-k?4I<FHI_{dqu>-~!tS+D;H?RhL_
zIhD`T{J@kG8HbBy@5{K@hsd3ku5hyTI<dq4m&t4~k8+*!J46#drQh~<(Egp#pcb?5
zrgDNDyL8pmx5AZYluk0wUdu16`6|xZ;{?;WiJO1&J-N(p*3fkDoT_6`-i5wHc7MNe
zoe1ol{Nw+d_Z^`P$9nBg$zOXa$DiI=zV?XX{ypkdP9J@34=rg|F4XzJ{llDbPw-+d
zOFcsi;fngJ2V7EWlCSwbiR2Z{;bW?J)}?&ENZ94S%aT2Tm6O%4b=EW;Yd2}xcCMjo
ziJz%(7u&-)){5{G-+3LG11CAuzdx?N*#GlcC5xO@2RNRns<DR(IeqsoWSDwkM!eu|
z-ZLVTrW=Iyek@YFc&Aj-Y`Vw)wTk~A9uK?iR}{65cgk#+Jqup$X1^cWB-><l_GCpq
z-@9FhO_sD}ol2S>P<M5)=x*Ufd~8<6x0ZctNEBc5J7@o)bNOtq^GzB&JC3q^O4-mg
zktxI9cF)q)t<A@xrn#J)B5z$b(e{cs*ZHT}FWhe^&2KtYU$tXJ?<p?%4|T5=YkoZ^
z*?+{m;L`G!F}WW_Rc@~E-jHb<_x(Cc+F_nM^EONDk^3xo$!vA&!x;1R28Rpm?)dlE
zdc8a{=k&UdU1C$>RvkN<wk^B7EcQ-;zT683weKm;%#-zdwL+&v%-CIB*Rg%BzO#|q
z_hWvl*=`#=UON;ow%@hOf0>nINBNxyXO;CF78ZUDjpu`8ugaQ99*J2u+2HM~W3p{c
zikyWS+#SaxIgQIa+DwkFHqYV8i=6fLZUe)0i@<`l$JEzYI2nJL8scNr^+i?e?HL0b
zv$}#;YBSg59pJepepGhrn`syMu4Gttni#EMJG!GZ?p;`)UGHM&w($BFuBq4l-@kbM
zy3-`<@Lj!}M;>gB3J<&b++B2^!4c+99De@X0!?Pw#<Kb`3ywIhkKDWA-kS><FFv{)
zz8>RwQS|!e$!&axC&s8P)r)P*-1Kip`^&FO;@ZOWuNOV@3=}Zy)lUDNaQy<8Mr31D
zi(=Bsy9+JMeixkVmsj1g@%Z{L9j;ZaY@6SmUSD$0Vt$_D@8tf(72J73atX=Lj|o}5
zf2@?eTIuTUsY<str*MjQD+a6gocyUB>T$qnPHfHguSqXueb(=*=@&}69A>-MI-*HF
zO4se)jwywFe={l)^%O)!_CI$QTz;wJR;!AF`)|h7V@3sEnUq9Xx8xPLrf;5N>HVv{
z<I5NMc@{QC2hPYuvBfoQn6mAh^^WTj%h%nq+_0$A*JI@j(F=v)=RY|f^NQNKrTXRV
zj@j7_#f{A_r`E6+IcO&L>0OstYqoTC$EEz`I=yjP*=9>W*Z)5na__px3*oQ-_<sf$
z=Cc&uR6XX9#Zj!4@>8x`_0fvZEQ{(UncY=a7Rqt+mnYr(m)PF+?{-AhI>lc}|G()R
zImH<`UHPC}*tJ)o3ncSDrUqqyIpAQ#^}STWWV>F?5ymNh<2C10oj7lhy+ETQz1h$&
zX2u7u2fcDet;Z(VT|Lh{?eObk?OL<?TmDS7e;LFh9(VU*<U9Yz=KoA;oq4&QewtCm
z?6$NnwE5L3mlukMlM>h1TP}XZ@>y)xvGfDV=g*X={LP6dYz@q~$h<>$)fVw39YRTe
zKg=;xHJdM+sAKZ(Le3k`YMZ|=6&~)3{NMg}YQ=%cjBh@&U7lb!SEy!_=e*6^C$-*6
z=#4&NqT<n~IbV+JX2C}Ps&&(zG<F;`H{NqpS#Ej9P32dyfq7>anl9VBe9xldb4`sC
z-mfb=T=TW$eU`k*#wonr{MRm>bN4!Jy}gy?j`*%etiM9%KFj&ma8GmHgTpVjv7XnR
zdQ<1SifU9;!F#(`zboEmJWUaO)Zl9$yZq~3kvZL^g8rsPGwv|Ag+#j~t~qezcIUFK
zvbhtqefDoQe9LjuM%Pk7t8D+fB`+>5d9G`}VcG|NDVdKAOXoSpvP-HMR;Om{HC%dl
zx6z6@>nm;k7_Sm|XK?a;`w7mB{tHH4EAGdvlWTnQ=*+(GRpurM(oW8)5}p&=*IvE7
zT;O!^5|MBH+7h27>pgt*cX%Ftr2J&%j;vFMd+UV_?lEn-<ok}*T;fR7=Tg3S*6w$W
z7g^kw1y9IoD~UMQbgXud`MU-3)-0b3_j$cu^Ubz(^3Ou|-Wy37OCKq2`mOFV_lobj
z*2sEcspr>4E>3MLWZly#a`e2|>!_Bb!*i!DRc!s<%~jT6rXJ7HI)87m?dQj3Yx4F@
z{A!fW^-4Uu*lT{WX~Fr;U-kMX>G(Pyex}6QAG_m*t!v5Tsmj9or&r&P+404D)(TzL
z%-b6$h{W$Lb-DAksP@kS7M*?ETX~nBPn~Jbt$L_Lq%xExPq+F-+@btLmB!a9&U$^y
zp_cipH?2~RV?F4jt$U!J$zFPee*6ictBR*5Z`v1Cy>98Mo6oY!)`@RY4&Hah@7(>~
zU0-C2%6{g$&(b~k!_>RlDL;Ip#dr6O(up^W`c~<#`e45%a>MC)*Vpu4Qkl6Y=IImG
zK&xB-d=?q>OlXY0U();P;@m@r!xv9d)DwCYzq00V-fE@(54#Utkm-&)nfADKPW}>4
zhvlj6D`)?X$uo8;ZSF6Y+Ow<kK)3DIaEqTEi@c^edWJVmNcmXNqn_rKU25ZN@n_uv
zk?Fg%bL1qFE_d05{3$IG50k9+vkA)%2$y0%n`Pnk*Q8MOKxjd!_Lh*{k0Z<(eit3w
zUcI(n`P7t20^bytPbszK3csWJySLRida_7PQor$ymPMRdk2h3FMIPic*ndWPm7hAB
zLH6dr1rtr3jy&1jYVOdYIJ@BW1HU_$Dh2P~zSv*${PeV?`V8;xOCNohbZvRqirHtL
zYku~97-%8*mARo%uW;jDw>o7H&D#>fvQbXY1*0AAy6JC;U31qdHpnLL9>27fR~5U>
zq6L1E@=-@^_wC)a(J%FKzhR@CUdh4yy1#F-CikQnABc3lZ}<F8?yfzolTKHp6qJd|
zZhiYX?djH{6A!oC?U=JHI?KP}joa7E$7_GAow<>Ddc$(H-S3SzZ_Cv>|B)#^XV&rS
z`wxrmQSY;yZ{K`0D{4=JUQFHV8wU$?UMPOwvbt1EzI6A|^{?u$`?sZrf4dqb_hgdz
z+-$Acd2PSlS{MA(|C`6ZcZFF^{e+cuwz40mIoig~31&=L&cOBQ@;BLE-uG3kUr*xp
z+s9e@M*cIu=#3RZj0#RN@7_*Xv3JTJJ5h&h`}RMJoo>u*Prp0s(eb5P_eBr5tLmpP
z6;>Ww9$xfnn@RkU$NlEfFX!t`bMO1P>dBmlBR22XbO-OadT!lL@qfRxDuWIGPn0u~
zXyrKXdHUd%Gm9s@Y&$uj_V>2cmp(d6wW*b!O|I;Tj@%S8=YQ4osJP%|&u54fNj86L
z@^7;Usxg1)q~F4L_u(u9S%;$w@6DTT#IPmdMYhJwnYKUjcon)%{7Fc>-Ss>w=kLO+
zR^ogYc3nH-dcv*e;c1S8#Sc6_@b3A2>M+CWf;epnn~>jJ>2e0fWpy4e-%Vjy&GNOW
zSKE85Uf@qDZ-*5>T9+zGdK)ihxGAyFkTW{L%r`V*PtB3gb}h4Cw)5IoMOgL(9OtTD
zI*BFb?YAwS^1cftW*D+9;J>`)bX$90+MVp*k}GoN9#Ih8r8l$Wv!VFD@87y?Z|Z(s
z!Tk9MtI2}}^<~jz=7EoP95q}zdBG8;fa4+YyBzvA&bm}D{Pnrw8Rxt8UN@eeW0I@8
zuJGW_C*_%jEwyvzy>XY15}WrW)v57a%aP}NjB`&XZr`R<y)tU8*HiHWX3pzvjM#*n
zL>r18d2-JP6W?y~?tA|GoOhF}1EMruO7!gHI&!7O<YGg%a_i-JAGtO+bFD3Q7qGH=
zc;ihpm%)OCHy&utoblaz0`EW9nm=6YIR0A*8YFmZiP3(eyj$DAPtaFx(U&e>x1fJ{
z3F&RGo}QO^X7+2j_>UEVX*#;k7;IarqSjY7<#sF2Tm3Fmk@a<&O;}HQC&RK?bFXbI
zu3I^QZ|^=GoxGX$yv+Z-Sr1g&mdsi?vu~qy_S;~&t4gnutW)2vJ2~C2dQV~Mi3+K;
z>mMG@l%HJebl&#fO2s<|I{b_z)tk4zF*e?8`b4Gi%Xfn_Hg5_|dQZ*pO7^?<<N1o_
zKlPs`Zp@n@DxEcZ)~>}O>wbo%Gkkr+`Bo@K{eb^&?Y0?p|D?Uwew67npZ`}apsjgN
zVwegemwC&xZ|~2kTdwEyD&ZG>7If_0FE1;z){Ka$YbVU_{jRIxb6@Rk>92@44OY9a
zem!I8-Ig&Wu=3uzZT(&fZ(`i4*X&%UusB;y!!FQ&PM_4`z(Yyhb=ym~hfmj8dg8#f
z&%Gy}A3Yl2+08qxIm}cs*F|FsLt4Lue=}2^?7E2?j+&I4H}@MD#&JZaom;ilE4*gT
z1nD1NWY5SltxjI?=jNYtv%{ZXnxJr5R7YUVsRO@zWK1#zuB!0|opkKqx1r|iNzMLU
z9qFaKs>M!|WkQek)<0MMls~hAak8h*nH106{7?NtH{5!YQl$8JN~Yv?wz?wmJ*KW|
zF@JZ5M>jT@sNWBgzMMDN!Z&ccx$_4H_79gF!)EKRU32u=ClOnTxLFPF>k6hTD5j;E
z^{NZBA22*3mYwML<N7|4v=jd&D$ZxJvFwvCy!@<!k@M>|e}VJToxI{E>*xMC<oVa|
zc=aDOhKk2dz4e^?^gf9!bi1=zEGyS^ZcFN<nbQt#(Z3{QqP3l&!Bv}uDc9#vQs#$M
zg&LcGcn0nAQfh5Id;MzA;f1UV3)(O1D4mI~&HFc9dwYz3V71QY(vM!RAIm;|w?S~P
zh5m#I+BYf|u*~QAxgmV>g!_!Y6ZhEHPGe#%TC>uQ+so$i3H5~2vD#+8C!0MmtNNn2
z_W9x!oU$ye^WN@vuKZzJA)zMj-(WdQ>7@9Z3ktg~T@H^cpP}jD`20rQeN7Fnj3a^O
zb;e7?BLYe{FKSA9RkZc*S4W4lo;r>t7h`-@p05s!WeS(d?W%n@oApul6t4sNf0`Xb
zS$IFacRzfmJ@r$;Vd-;{D;El^33mAV#OM6y;CJjg$#zF8oEjdf9M{sfNL_t<?kA4<
zsVlBGe_f@WI`tuco{sO_@@E@5XU>iK9c6dBSoq$|{<RZN1_-lHVm#5B|H|dk#01rY
z)st4V7(3}7(B_-G@gVCurz+M52QD4*IW3v@PVlIR8h5Z)Xw6)fcx$OoO;v&i?r@q<
z|Kk13QA=g6kKpnZ{#%1S9G-ChLR05DPND9Y@(=@^W!Jj?RC(9i8U1QYjyDpXxza0^
zV+w!V$;gi;Q>Xk$Z+oA)<Gl9Q;Ej{pqCZS?_mh`s;^5q0eC~vw-bSup%{87jO3F)p
zHf&kGIHOo4YGZEK)e|Rg1*{1ClDlTwKSS%z@Ndsb{r;|4vNAV$ox9VNUw;<7S-dqP
z-l=u(!>sFe7q<lT*`9qpKev3MY_IPrwjC#>F4Tk=NA2rb-km4Cb#9{;&kQ-H9S_#|
z2rE`Q-m#FHncyJ%`@%{~`O2#kA7w}%lFvQYz`aSQe_>YbnJnHlxmULaaVpN`H`?@I
zi@L$#<@0t+R!_<oRZUp`ZPR73kWb>9*q(|8=WqERnf7Lu%NdEdN6R+t`Ch{($7)`5
zEcXzDdfz?%**t6P;wM^H@N0fbWv<ECJ$I`~+09G$l~rVVE^fHqG2c!*`HRuEslp-A
zHA%0p1swds{5`VJrfk;z&3Ega{w~^a&-&oks-;t(wnwR-=#^g4SX1ma)!gsWtg^C4
z6_%=>rG7qWS}NcX#AcGb&hg8`;1`Rs_VHh--VoI3By#FkQMO<26$QzYJq_uMQD=XO
zcAALa&dZB6`_55uNc!#cz1n;yLU)QUvzz9`5L+hwODkb-;m&%;;6{cZ>8Z<Iljkbt
z^S-SLU6spM%CdJczecC${l)h+jMn}<A(wxYztHBnyS3oCom-D=`@7xzQ~PC)=kC`+
z+oRO@YfmuSdvzUCj%z!8%k;s#+h6BRi@tqmQbF$88Nw<vU)tX__RHNmcZ$8dlID`i
zp5VzBC;v`XR=)PXLSFZzu5s-_<sC^Ki`f0on^<by-E?G8nC-a*e`j2f7Sars@GRE<
z$GQBrJVV{r8Brf^$`+RYn>20aPW7CcTTV{bHeT2`@9&Jn+p)htoUU0J)w95Lj(BGE
zwAkK?kEPq3c=YNgshki#B$@2?n&Hjsk5d@4KEA3ut{ri1wwT~Gr{|X{Iy|gATm?TY
zs*KAwxwP)&K8Ew9+x)-lNc4rcy>aA7*MD(aa2lVH!?zXN+?Gp6t$nAH?{sEzWpL`2
zBQnNo)1?%T8ZT4GV&jmMPFQTLqVxV=^Y`~L3!^8!%KYG3dgaB&chf!Hd*|KnRaNp|
zyXR)XoNFO-Zl}j_^t*K5pDZN#$@=rV6--fWp3+=A|Fxf+mV~z0eYm=3XXDGlbyp+H
zKK#5}|NWcvmUEn*hqpuqCP!tlGPz&3oP1&bWr6I|^N$>vxZCQTdsje+SQdM<SK7<I
z!!!4u>k8X<ZQs>zOc{%N>gy&x`Vy?Ld)})F=I!AYNv{kTUre9cwb1YAWdY%hUA`i6
z2Ob~2%4=f(=hpuh^NMHaWk(rvJEi<uni5^tv0!!Sx@+Qs!j7yrmI<v65{}~VxUo!O
zwY9nDHip;!fB4l_^UuBi!u48y2UGX11$$*ZzLq~q%@cor{|ifsoWXg+mX-(8_1!nf
z$nO#U+TI%T?ca-&W&&Rli{ci3oF0(M9i?G;L@TtR`10r323mWcIqehw8+}*!<>C)#
z+&)=M?0pztAC~d(MD>n|?siA5JQEb!r)WOCQ?Vyr-T3s%nV+<twjS=e(ZHE+pJllI
z@p8Q{6Qd1|vdx<-BU2=LUfE@qe~d%w)hg~AWuJCjOn5NmOOt_(z@F9K#X_dPGbIbI
zTYY?d!)e`zruw-Ck+Wl1mQB4TJa4}R!_gPFPc>K+2ll&MOl9&E(OGiWBTeSJ#*vox
zCmc-Q^=#h%5V>q1yTd!ZOkRGmS4HFB4)5js349Y(100le-@lIL&Ju~0diQ0OX`0Ra
z<}WK&zLPnBsrbdz?X@<(rq{OhtvT}D^GDjm{SPl+e7OJLS#^!=xvwUFJo>y*`HNfZ
zCfy5iYs@=7ws|LTnF&hFD!Odd8PQzX>d3)vTe|s8&z@7uwH9AE+uY09RlT&%&hyk1
zw$IsFj*Iu6w5d7zYQyT2_8F;?j#~RS-MSxo)y!7)>%w{l`xmcPZ2B_q6JL<{8==PB
z#!R;TW$qlox~zNi&Z(s5ypA%=J{Hftb#@8ckDQdw$ul&KE}Z&uXyWxnrVnqXi{0DH
zyCkCaYY%hf;$N1+OQNdc7iwj0747w1!{cik-j*Hr%SE+Ip+(O{pJB<VzeiW7xWDVM
z|GoEhd#hgSo3fSsao!;v6#`fKg6lVGot%62X8r%ksf&A*Kd0y{&%9of+$%0zv}mPR
zt6X>M3;!QCB=60XeAZ;$*zs?LMt}5PBkzE+yXPDCc@?gnewAq#@6^v3i?VvR&HThH
z{7~~&XziWYzef#=IJC3!1@!K1n<1?AZu>S5o(aLJyv|J*L^>auuM`)*bxCOct-DHd
zR2QCF@kK2tvweG0I&bf6?TN82=~~|9ZA@R{-YncQE3nq0Y3jKWr`1f?r2_Z7Y2$lc
z{APuz^()=D4TW_ZeD|zk_{I1BvcgLa^@vlp%bb$U*VMPI_&Vq5x1tc^*BkSXJU_j^
zEi=|!`ijsp(^+bAS6yFxI~b5YgJttphK;E*dyk~g=8xQ8Z`D;>-nyvM-0;|q(@q)T
zMmLtt)i62sH*`;I!mmBDhCCaNw#7>~{;U5X@LBMnTF$Bo{mqX%b!Un-9DKXGMRl_0
zJCzTN+LlW1o*orb65bMSKR3MRm&=c&3lF8YbH<#L4rR4r{j-BbkagMn4fU)`c=tHB
z+-{0~UAK1A<kgKfETKMuCd)6l<`_S4j#2%fr5a$FCARzC3C{h^in3D<-q`+3y`#TS
z)+gUAWx}_XH%-gR?_S;@clg4Cl=_gr!a`48ssGNp&#Q30d*-{a4;y9NXDe!MWc{}1
z%AK;~uj29!%z0#UndfrOJgK}cY1dqiWzDwFFE2`GnE1i1tNYcpb;V(=Em4oQW^0%?
zZ+@J_=D;ZN;fqrI8ZNul^X6_+&#)-E8ksmR{IbZ*os10Mm(Oeq$-7w8U3tG)jg2dD
zscOugVC7wP;lDWE%BS4b3gEMxFp<H;o;|p<m;XUdRauPH(;4e}F1~qc938Uk;|eho
zqhwpbX{9N<4(_vgF=N_M);H(7@3T$1bGPpz!w+u9%^ua+*ES#7S=pf9+IN1oTHS<f
z&4Qf7yFcsRB=7xVx9e!*AFtDIf`v=C1G;|~va7TPOZ9Z?TzuUm(CE5h)8V34=BYL2
zZ)W(bE-Kp2?Ou0zThf1t<d;FGuWLG7OODh2e1Kim{&>tS?s@N=-erhimbT5-63i>A
zjygK;n;bKDtu0egIp3B>iRlgteI!pi%1if@Z<meI@G)odUTJ(LcgpFB9QHNA4n^le
zbcMdR{XKPERxkYZsqPTBqZi%?tvvfh!@_a))3?u!7}pD5(c7jcT%F<FT%Gt~eck%g
z^~>%WyjlE)J7VVI#-6Il>Jt`e_v)?aEnduY@Ff!;^Rlq+8Jdc1&84pEvnH>Lw~DJu
zS)R!f$zSun_E18?hc%Wvzp6+7_47RBEG+xKe!*P-$7he6QZtJ3*uFt%1z%Gm_m<8F
z^XJ)TzEoZ<6?!@8;kQ{`AIui4KU;I2oBzV)HyL&khTP$k=I}PZE$`U;nJfQ@b-el0
zmVNb38~^CMy^xms@Wzr-=ll1w`>Xb($ON7_SuyjTqSc1(d4c_kH6<SlR6O@^ofq%i
zW@qsxTvl|~liHRQ?xI^*_sl=+zvRc0^=;GHw@&X2aCfbpFl*zXe_oklPbXW|#K)LE
z^6E?zR4v$^;W$MtT~XO||H;|B_vWa_{h#Jo_or&7OP-J3q5CJrD=#egoZ!8G){NV~
zWwIE&6Q5pGpVh3aDc9W9Bi$K$ct7vFd8G<duixfT`SDu$)!&Nr5*}w~=R-&A&dz$}
zEnRG7CVu<I?A;Z6j~mx6I2XGp!h7?vomXGAJ(83BeDKWTPR7cBZHaFd%5ud05bxOV
zfAy*v{a0!i_cK1cw3R>R_Eeq6o6e?$u+E#5C=vZ;a@nOMoqO(i&lgU5cjQ=+NF%%I
z$Lgnnn^!-K+wyg#wOgrX?wXeMCn_q>C7C|!{FJR&mp;jKufVetFW+qlPdXAi(aJ#h
zBy06tHDw*9H8~F*_pdnlWb%OkNuJj8W<|bfE6-OKzJ2BOGi1%`A340YV;EEVmI@br
zyuRnNNbG};-;O=GztR0?m*l+3I^II5tIQqBEp_hA%H49e`^mq$^KY7C=2hf~CZCV@
zI5JIF-{8snLLV0)Cl9?E@Af;+pEpP7ze;L3dg<kf)TNG-|NNWs($6uZey7=twX>><
zZ`}OS>rhm*-^Rtmb=SGqg-gG#H&QhYNb!!Gy=R9+N#|A9=@-lN?l5z7>wG&YRBhtk
zwtHPl_8HF$j*qN^9p|zg`L|eh(&WQyOX5#Etp2gLsYyyCSk~jW<~glQ1}WF(`ru=l
znthW#%e|hp$YrVM`!BVo3;wv}7^%Bf_gE$|$+cGem}vj~dyd%c-3^{v;(LFkXkR>|
zU^87J{c6FC-iFDOBj<?N9P66a!fa6=7pD~S;*tLh#|1&5_o_A~#C@5Z*dtbB!971{
zR_<j6AAu(~j{G@gC0SqoOE1FRwK6Tnu+7@CAuC#dC5F#OdR<G)rOY=km8;tG87G<Q
zUec8kKiP5LJD{HB-JO4eEEo4h_q>>7zyHB*z5iA*JI}i7&S{_W!BX&%@B+OD;?b+i
zv<@BFS!msQv})EP^=nZl#PjYP{=Rb6?9JcTZhpYF@oDle=6S_0_daRAzUy<W@ngn~
z59@BVF<hOy`>RTdJI}irs*9Ng?`chMZ210?=g;MNlDZRLy5}mogdQ;97PX7l4=b6u
ze{=WV%=2&OonE^ly<71*`*z0DXS?=lh8473Ib-+a=b~Q>65Zlj;zhT9F&Vvltb40T
zSAN33htHZUjqla&;BR@m=zpO4xtYas@^|;n{6BMh(OUiT#RikkO6`mE@HzGT?~~Q-
z`z<DH`1iWPd~&Ser#si{Ds+22i|$O!)|9O3in;4=$ZhMd&AcU>t6Hvnr+xkGL&~Mw
z?w)`0W8V3zE&pd;viH1ume(`C>i&ZK>zvA}+*@`8Kb-vMtpnRN&$W>YvKsRF*1vX%
zcQKEN`|2K}c>K<-OUgOf=U${tTJB(&F#C^>`C1)GK933RCzaib5<biA`8mJhT(RBn
zZNabheVaRD!OhfJ*F2_Ac|O%!LTY(0^Y6Wrf}TI*RjRDnb$9#K=9qP&T>%FlvClSs
zV(5G^$WXRPFz+an^R(4nS0XerX7gOTcyRTxcaK)ZxlGS#+<Y-EQu`gh(#e))mglYl
zJ*<Zo+zAVLc2<CY;*4Vl6;f@#X@r-nx6QW~+BzY2+P8y;rX4Sz(YyBMhU$-Qc_-gZ
zI+XsB*G_51G69SHNXB}lpL<ty9Ch5{dv(p_zoL~6K{n-COI<8%ZubBCIx+X7oyC4J
z+bivP^G|3S&XnimIVUr{x@`8=Nm@d2_w{_|mT+!&4cou}e08Jq3#|qH*P2C+$?mJ`
zNjAL~nYgCcz~*?K!JB0VJ(ZI89;|w>uYH;FavA2LXR<H<AJ~*$RwdBJvHUXcGv>7h
zX{V>|aGxb3T(>XqPLEBNNnz~nJ$Gi+9k&0xZ?nbAX;oIsi=^H$hRa@gb^H9kTj}L`
zY^%AtRnOk}vf}6AV2PRLd#_!Ikn?P8dZcS$xNG+ji$A-5IiHRw+^}ob^sS~34$IA0
zxVGfJ+K)YYb9VeKT4lTYqEGs7&4`4?u8rIMA2E5dT)x9u*nj=YxjkFzK8Sv0ei9QK
z@gzn+<L7GkDTgZ@SWacj*-VjY5I*r!Q9@!@=!<8(COP&mrgUbWHr|n2z#c5UcX|9S
z*OL!*xp$nBDs4ONws_4?7vXh*KCO;Q8h!eIl{U;ad7)Hv^@`)p!n^k+%T~{jmWrA#
zKl#bM4_=pN)<14j{9LlLX^~O(VxvPsg{<Zm1$S?>-;`t+&A>TF>_|oiQ!4+gIeezq
z!!zP;*q<|XN=jOF@UeGQ@+H<kE2?j8a6J=zHu}W@pN@mgJn5ZJ>;1D8X20E=aX9MT
z0*`l(zcK!KYkpRH`K(FjL?S!QP2ZXAuwvZv>7`ptkaGOZRSVj6bgkFyTJi6LbD!kT
ziP4_PU947~<y}YbE(>b7QM};LDkFA<$Pe2de|jh16zkKzdfL6fQ>&xqJNNF>^I7e*
z!NQ4Sp8mbk)i0CdI%2n9(35-od!wwtjk{B3Ogt~ORJP%fF;gY8?xfHV^*+nsfbCHq
zSPI%=laj5cIW9Ch9IDKxkpDQ)-}@<pg~VaK_cj;y={)}#>M+6V3+FQ@!{c8ME!wA5
z+oBf2=A~MBYUvdX_4#wX9ltcxtG_;C>z90US>jDMqin^y^^y)r2gN3MWLDLt7x!(S
zwZH5_zTQUZd!M$wH_5p2@k3}8!~5vH85Rq&4cyKyzv}Ka``W*d8@;nMZg&Z2zg%e(
z^iDWmvf{q?@`kxFe;)M&ALlz}+PCmljy0plZsVnjGfYmty;ZkhqUQy%#4N^FYPGvo
zeXn}7g`N4-xz+5_hqMacY%za5gYjf{=nTe)4O@$vAGhAK<2@--wDgyfn}qZ{%j>eG
z{wK^GdjH8~>`jeX9RB-_)U=P17q@I&6Kas!b)C7}@T7TO`KHws7V|$}FOgl%6TQ{<
z<4K-BQnlv1!t-ye_MIboCpCYAyV9rAdmq$JC_Y~samKLAf2Mf-gA2tRSKUnBEJ-w<
zTlap}b5WsLE7VSJiT|wgtn}l@4^L_&<?`4j^lLuno2||?J45&Q2{sL@Gg+K7BLn7s
z*fL#oii2T*U2MVfrj1;lPL;gr4@K_B$a2O^n(eW8a>XpYgdY)}F)mZj#CCn${FcG_
z$%R?drnjEDf3fhxU7r^TJ{|2A2R0weF5FvvO?76-uX~aPK8!bfr_5cdzwX2@F4vGH
zTmgngm$sjelj7`UcjdKx;pfP~aQmy|EqO_%mbs4ar%ZEN$NIdattaxFr+g{%YW*m6
ziJHZ8h1EP~AB{-JWm#Z((`>>nZ>}(@&}j+6rl+N+Ssadtx8hUr{-K)Yc)a?KMc!<c
z=({r0zB=^e@Z|NHy{UDcD;n~Dx_JXr$lJN9`{E6}<P^oP8pi6Mkv(scvEk4*Z-=Mi
z)^Apv3Gz#yviSVXlac;UMBlrxmppuMY@4=_%+HfI*4<wwcdwHB*uCff^IrP=j=UYh
zSkix>J<H@2E1P!Y6rI9HlWM12VU;Pk@c6s&@$)8=T{};-G(EK46|$t?DK`G;?=p#&
zH%T_Jf0(<94a{wXjwc=}U@$%NdW%fs+Q<CaqD5<7@Y!9sR+TtoP2>Fg0gJjjm+ZN;
z&sAy5tEEn^MkySJFWr@xQW^9(^!N;>ZT~j&M|D-+zc$-grTOwkqoaIIg*QcVz2E7`
z+&|wg*HrSYde%X+e`hE99(31oUSv4+e2lh(-txxOjj#6zy7zc5KC~s^;)0o9P9NH<
z`=oH~`}^FwR&~4W{@p&AIB&JI#3bv53mtTqCmCsFI%!n>k!qC6nm+M^9Y=1T)*9Ec
z@81?)_l~r05WOn+cg_F(C0~Dka+izd7feoekg*N@e`vz)S<W_X9y3e~g7)7sz1{jq
z*ZUBot9EY=r}gTIKX>-OQCN8A*_>Vb=S+R>yZZKpO)|$HmCQcWH0>+5TnV28i_31!
zy^Q>)e+pf+Zk}QN<blt*e%Xeet~Pt7tS538PkfyI-0`B(3f{)c%wK{tHc!b;N)tEb
z6J;n`Z4$llNhXhX`W(^URd;^M|E-w7(ffXH?|s{yS{%20cl<c;*=)Cu@~@p%yZ6;i
z;MjFd&mnHZee<y6Vy_l2d+5m_bMohzPQi6&)H;PFzr0!@`TgEgiI@q$cZvviy}4ok
zQeoZQf-u>O4_te+@4ephhp9dBl<!O*>3E0tdNHdO`Uo>yl`BXjty{Q)^<c&Jr%C^B
z7zl<Mirl-vs4uK?#$D>qCdGUI50_LQzce?+zu1at_J)r$m)<^8`RW7XLV?Uzt7179
zPv4WrwK+ui!atD%Jk8GEx9L^-TrF^wlVuUQn?Ff(;eYSU`7Ofl{QfcbF=rfp>$E9s
zo<r6L=PPE*nP$(NIO$}5gq$0fXZ?ZYZ@*25eZz5f=jE@b+r&@2&QMeq%X@g4fBkLm
z;OFLkpEP5Ht&Po;LZ*eX8||sPcx$aj;IGO<%v~%W=CIWA$7S9N(wkQ`?=M4iz`-+1
zS;XYDCUFJMQPKI7bY*hPtIhjucCtKNbm_R*9Zmkfn#<JqwYe@u9ITm~@Y$oS>4eyt
zvyb|ZUyMy!?7#WQ<1<^j7n@{e)cK!z=)d?)cVm22@Rv6iqzYw^WXoJ`6fBzmgiGjQ
z#`0)xS6<2KPngRq9?7Y7hjFhBtCu+N>H7CGo97%5o)=g%CGg4JtyKy(8!BeU9^Ic9
zyV@cp`KY^~UeKXG+DkT+?wkEU&~Duw^P5d&5)!?;4({Aw@#2rL-fX?M1&>QVJ~G*J
zU{lMQS4DPzB>0m>O=iC0iBgSQlyh;4tM?*3G1sRnd^B<_?(Ba%VY0(pLCYO?!zb>`
zGCnr_^-{i1ot9h~0o7G(k2i+s>lNM$;N#Kfx}17bW%c3*vUyH-{XWP|;1*i=$o<fd
z*-y*EQd#wvcmLnN`EH+L&9QH$5|=vO{E)aEJUfkRt9{Og>T_qd*A~pHI~Gu(9Msr6
z{nn$D=0e*oGM$HZ9a5VZw)(HpjqfL}6#nrOl9<;V9#$9VQ!{5$?6-B|<*w^QU&XDi
zG5qlEmh|MiChJ;4zYFbuB_A{`_m<JMn3t*7UtRccv1i&1&c!S}(-w(VnBTP9uU)#S
z`2SY_taaZU7Cc@n_13U%!{X=NH`hE}t@U<Bk=nUpoqnbn+AYi{H{U-uck+9;o2@km
zUQS3-Q&@W^Uq1fVzsGXYUg93#7QH<gnyT{ndsN{+_rypu#;45u2S2(!ko%eMVbaZb
zCdeqEGt%Tzgv7*x8JaimO{y+xZC$f*v*2gdJmKiKeoMcWwB#>IyHs&+kJtVmE?-W+
zcwADlfqn1XkW&n24Ll3gs;^Bfy7X~b^NSKq&wU3TTVBsB){&ce_DaRR4R`lszwq26
zBiA+c+oaE5OtKa*DcdI=jJmkyqQ5-X>5Kmyj2}OkcK*mNo8Q@rZgCUiC#C5ek@#bl
zQW2IsS5`K=$0V{bB1*O9bMVm@$GHD2SjhZHRJNz&a8|bJn)8|EiW|%vUk7t}bMzJj
z_aB@u`9(ovchjxCBE0h*5++7??K(1}a=X_g^Pe)OH!S#<bY9sh=ER)+8;$nt`f8MU
z&TWVO4Fe%orrgc7E7Q5!6>b#8Wv-8KIrQUB?hO$OC#fkPkNd4*oZd7$$NFRHeeo&r
zwdUQ9CC_eLlq-0*d&k*1c2U2Ler$Vw@r$9Kh06cmGoq`LCzw3T{{Ol)kK<D4j!u~p
zqeG1k7KmmY&$D=>Ui;{OHPhZbp&?NZUaVf$nm=n{PWOMuke+FGw9Ilk8Rd9?T{kpc
z>@8!knM;N_Bmea8+lT6fzI1p?T$Q%A-mxKvt7Q89b14CF+#jB@ojU5XVd>;ASJrMR
zQjGenTc{=;@N@xB^SkV|v(Ehbq%YYSZ-39FbIryxFJANRwR%~0ZO4hUpC9?8lN8M+
zCLS_k5nVLx<E*r$U!?qG#cY>#Z`!mpJ8g+n+0XB5QUh()mwl~I7xLT6lh^yN>+Xt>
zx<8Zko1;v{Z{-S~{;w7G_WBibWu<M5@ml`OoNQ*XTt`Y;X6n!75>hYL=iIXEh+fp{
zT`evFUOk5lg>BdG(!Q|kXF!LF+sy3Ae4WiT!Iw()mZwgiw(NaK#(Phu$xLeNj#f)%
zR(dYvc<9r4q4XcymzaqY&)r|*F|lG(s@WsH#nXcSlzmwAMBX>C;&l}Jic7`JDk8g#
z)t+ytc*!c?8L;WY`p+gNu?JfwNUfCb`SD?&&y|Bdx_2(bh|m6XXwrX|43YbnPkw);
z_~yX$?Q154SSbdI{dw!Vb(&krcdIK0&Pwu%Wrt=PuMs%&squCBw8lLt>(6A#?BwB#
z-nq;AmVe9dB~ppzfBx7$FuyCiDp>MD?gzd_2XoYSEGdkNwmcCn<So{*{X(pi&e^lF
z`Hoi-3)z!y*1V`WV)M{xne`T-wO>l!Wc|od*#G*^w_DQYyDJ*Lb6<O>u$ss5tHL(3
zPj5ZDOn6?}yknYljq8`l2WzP;M#q9PLPyh<&Q#*C-k7~lP*&-o%;uNRlXmecufKa>
z@<jQMcXh2aMH$^cO*%Nk`(;-`+oL(oi!+~m+;lULWmjxn4ae+&SUc8FZ{G)pl-}cJ
zI~Q~Oo?-u^d8cK9Dj(__I;`dD`n_K<exLd=HTmAEm=+7Rg~nwMw2IYFUFCYD=^XoV
zy2Qk5`Ddn`jkx$~(YJr^mDWH1l6H=Lncug-ve_Y3>8)FK#G3D2Y<5P^KAmT&dz;&z
zq@0z0(pt5~yIAhAX59F_=vT<&c^B?4HELfQf3~7LZKCX!JrDl{t$$|qH;S)h((R>F
z-_Kp|UNrTN=Is8-{}eTfRg8<vAAdX*!ouolWY^Z(usHwfB%9s5T{R1WRQCi=O<}rf
zoNAVwwCZZDRQRX&hc9&NiwLmvo=D0mTsAHBe@~N3U|DXK$A+}PzANpPpAs&toL6(`
zp7xa!-<O8I`m+6Q7t@{ZH=PTEE;bb;WoTw?{IKWw&BRMnd6{G4<@(fr_}!~r^q{bV
zqgvsM!^YP~SM=3fWLU74n~A?9V&2OMHNS5hv@ls5I^8q%>+*<IEbrM4?O?scxA(vQ
z!2)J(*`T$bCv=2gmFxS-A1oMVnDMCVvEM|+@)Gg5AjZILd1o&!dVAYfarNBjKTB4`
z`Dp6seBR&i?utr>)5FfCT?_xk-B<maeg6ECDVv(lyq~;W_SO!jNbwzOY(L)q($MSR
zxa{^LDZ$OVrL#nrdnoeUIQ%l&I%F2(ot7sV5&QbDy}f$yeyYg35JBZ1?`8$v*v9gQ
zpN&UevDEn7`$pgT-jm<<N?u;IwY_fsO@?FpzU6)Nn66QCc;dBBok`|1a{kWe>Yn&&
z{@b%UbG#;~&eQ!m<z*D#`TK19Cqx&B%0A^g?b&<g>;CP&@3W&?*kaB-d>SLVFC+NJ
z+(|#`I}%Gp4Bm3=2)P-@Z62r_v32XE9py7Nc?3@V&AY|f`260=33kqx?Phe_zZ8g?
z!29&sftKPZ?>#f_i$4)E$>q}7T$9Kx6k2chS>xovb9KSD@+#FL=XQtAzFTRh_Hpfx
z1%J!DWzNpOtl(9B$TNG!9g}IF3)J{?&3f%L`p&l+AGY3f)Z*IJO@)c`BLDTbW=~u!
zD^RPTtFR!*%y+KXroga&O4GI-ShVw9u9NVK8_eaIEnnCDH^{a1h{=8!(yE=feHAx<
zYfNXMO5MwwC%Wg|j`)y2Z+rNHvZ#7*U(YwJw<k-oC<N3!P3Yr(vxj5X-cLKfY(BqU
z(DU49hUsTyR_A3-R%1}iu5Y=Z=e=TsjDafq{hvp6rDs3C-gSGL>bB@N8>R9XmjA4t
zsrY>L(W4m-TD1o*P4tp}xc};e`j(SC$rro)=KT3qF>kA0@tlv@eCF-z8nPYkDSc4%
zT)k|^fxG#qH+q|V@aUPb@_CKAU&id6Hx16s(|+^1J~Y8OOyHL7J!Y*WCXs%FrAMZ`
z^mRAWx_4&vnf(Iy8$Pst_xt;!cbA7}Y029A{^IK&KmIlM#KF7i%~PKy{fKE}xt4bK
z>E1Xt>**6WoVUL#!D97$kN(sDwTcgaerXN6$1yizebP7AZ6eVE*~grGEklhfG<=)h
z%y)3n7WwGe<EC1-$j+*BvWL)x7w>$Q@y%>Czg^zww|V-+yi*zG=ew%+wy1Hx`*no%
z_)Y1c>%~#;E^I$<_WRk4*u5V0GT#+LQ?`8T-t_XVN}6@}Q4TfXi}&Pb*ngVaa#SrM
z{I+ZEB~x3y7)F2R9s>pU<$^CSaaZ;@@M$hucT>1gWUZ?K`>(Be{L^0x?N|^jpu+i<
z^{m**3&|!&ZrChx6AtK_WnS%5Z&a~s?dJuC<(Y!7!~aZl<<kGYw&j0W(0<E|;0^De
z^oljl{&&Iji)pZ*OZA&Gx2*(kef>Ifg`In}>GPzkRmr>8Pg-?Xs#9CocKNYQ=`Rn~
z87*S_dHPbOCBOOQh~SOd-(?M&_sT@F3%jaM=bE&>S<Oc1$knaZ`$S47ooW}#5S47n
z-KF(R>Z*QLYP8Tfok{nnDE$}Rm;X3+@^7cbX%az)wyO6_G0XBA{MI~loPB3d>w!&z
zQ`Q7{ZdHE&_rb)xnEI?cPaa<sSutb5h49-^?MEWqt5lD*vVLr1FgDu9_dnujw_xqM
zLauLYzUoEh4qul}-@7K@$<tJ=iIJDTyR5t^GSj)^blD|)Gx4Y=88W3Qwjn>Z)*3q9
z@ebPdRl{c1v6NHaJEpKbpKKJ!yfXBpbg#n0n2s&a53aNP$k)hXdh}M`&i5wMr|Iqt
zvE0$A(!1lv)kHV<#cGaB>lrl@q?L>BYixQcu+7@$Q%tsr8Slplji)d52?ify-9CNq
z8;#40HZDrZJ(QWFlWwy4`_{uh90b?J%`z0N*&bv3BJ8>Cms9aKEf-JHd>%Eeo>RR0
z-qWInnxxeYCpIaG9t=BmK;_g+ruMRpyVu$>zbxjw<y-i1q13G9MNeF01$JIs^f2p)
z`rBphyf+lMx1}lh{1C5jb(#NO?zqscE#=&y$LfAF%nq5lymx6LFYoK5c}FLteED>@
z@8p(KpHdai{@|^PIdy$zQZs{C(%ijra#v#n@2y;V;m7tF&hPK|2s{z~Q+_euTfRc&
zrTL0$TKYv!J7&+ZueX|5YWBl$nT2M}{_kxIEt#%v{B&!Z=!criPFuKl6!q`4JGxqL
z>n^qmrleylf({1HtUPs;?RujBon<?1*BsLBw2A+{DXUFm=jCtx@4jz8c<$7JPf>@e
zZ|--#dNb#YMWOKaM(+!Ew;X?J&T-g(5@#htf8^B#97fYtyK;Et^n19(<VMy0zp-}F
zmR+}u`*-o&dH>b-bK|CY9!?LoHSmOOG}7wrXg+r4#|F-p3lo3&&SlG&s0)n_csTEC
zBh%dWwbGZOdcOKCy|*$iHf~ALbZy;dk4`c<U#L9KHr;^v=2y;Jp`EN!QB%X^6*^aT
zIXO>#GFLLKa^0FWX36S>2?}rfFYb}K+}n3xr|hFtCSS$)9=<2p(ciMR>}h7sXLHb5
z`DH;-^^(AEdrzxrS#A!J_ApVoT(wPa>6>sT!E?R7OLOjgSedXjHQHOe%`~!W_UEtv
zj2Hdmn!3C0#}&_MYajcCpV_#K@y*ValfpO>d7A$=uPpgCPxu}0A@#3Mlv)m-kC?_a
zQS$o~->>R1yUdo=ZJPCSZlcN#HBCL;i>WG?j_7($JE@^~w3b22;pu+Xz-Dn}jprKc
z@*LupFYgU$+p)lqllPsJ`I~R*$JSr9V(&CnmiK%;qtCED$Ns0{ngq?SX~|~1HvZ|A
zZ>&UBA1#QqouC!`E8*JO)x53w>IW_xmA;hR{B2%9_^C{;Y}eb*A8FWEdPcCf3HncX
zzVvGHmQNYcD=+b<U8tL_e4(UU?CL~8{iCnKW1h}i-8Ju7e@|TDt*=Mlb*72EjC}fM
zs@aP!8O_BHTa<5JzA~fen^EBW@0ngVi&J=*{(Cbl_;_#f_WNSpH}`~#T|T6B>xOUR
zT;>Whwhzgr&0m_T^~Ha?vQ09pHnPs^IdU%K<6Jh!NQ*qqH7mtWm3@rNHL+sj5&WQ4
zwmoc*;n$5l*Vf1D1{H4HeoT?EX{Lr(FxS7Pm2CN(`X63|^ci1sG&<va%v4vy;@_(Y
za?6iy6*+oJ^6hC;^Yu&}_Ppf*-mhh@B}bKSdZ8*87w*eq?;%<JDsfHNo$lu+9TrL-
zk~nhQ{?XUa^#UD1Z%!#lO=jeHl$kE#wsGh6Jl3@v&9~e;YcKct$p3@CU*}4w+kRG@
zd5t@7m)3Fql5|IYAwg%BqZ2L`UZ3-&dfFQ{tE8PqpT4%l`|ggKHSy5dQ!|s7t+`;s
z^se4~z3-O>;dS56I26gIFT2>gF4%vQTFTQyoKiM{(#72}*DtR7{nPbj>kOU6=Qple
zWqn?6^|yBqZ!T}m+f|gCsq<&S)dN3H^+fNmYiRR(xWtF8Ende`^NU#S1?g`Y&sXpH
zc#+AVq1Iec@#k;WD@WIr|1mrevuEjrKL(zc?xnDGOf7ZoEC`N?)lbd!TfJ+7f7>jr
zmXD7nJFadqD&D?6NTK+Cs?oF+w~rKLUfMggem-A%Txyu8Qvc^9?-|;yIZq!|IF?7s
zcq|XfthQ7C#bz?Wk?%w@gYLzp?RVqLR^L^wuX?mbJ1T9z3D0Sz$Y1vUeMin_w|a$V
zpW#`&yG&Sqed~(#%YwPh+nYt%LINt2i)-UrmffwETV`T<;{4VLo-Y4<r4&m$wIb#<
zFmx_<Vf?UsYRluq12^L?Rpc_<o7y({nf)`f<{-y^t50Ul_WWGxnA4qGd-VR_=JMXy
z7NaMv_decURWHzV?%3^^tVnb7H|nM$Ppks^PjY@#Tk!kY;@J0Vc|5=BP6~6hd1q<;
zYs2xI2R9!pDhyfG{GdJW{pD#loqTz|xBObAIgKaHlkZ}N`?6aXOXBy+SWV>JKeOk5
z4f_$<?m6ExTlVfPh*((^sLB`<x%a|u^H;&?ug~dQ9PiLA*}EerVhMlXyMJe=wr$-i
zzw%!Ho#fKy?WK=qpAlQPN8!{Pvw$6~#oOMUyy@`9=zm@<N8srfX+0v!O6pwc7gitg
z+u|B@R%35rWlrft$<h*!bNO{f52HdlQUcWNZhySkvU5?|ZrOAXjpF6Z?gdK4PHI>F
zsZB|#sSupQ|Azh6FHR1gGRvf|d=uT3&V)T()az@0qbA_-WY={MR;}xLy?R;S(~_9b
z)ab@bQgP4Ty!di^w@s(b>eK4K%6>W;s$JwNPW~2G8hUAlwp#BMC4nU?pUynrdu>g<
zoj?=g{>7^oG%1U+tKPXMRu_|XFCln3i%rAK{hwUh)#5#j3zPM19In|q9pFjJeLSJS
zd3KQGMU5t@TQU11x&I^|omjE;;E^Y`>3O#vZdXVx*etY4`}CF%dj%euTv)mIZ`Z2u
z2M2#F*;~n8EELqwqnbN`-M4S@e%%yl$zZ)~!Nt4QeVTsX!0+gV8MeBqLGe>J?oMX9
z_^8$HkLT>%E@{V^PoldS{@q!$XX#0Gsq1cgea$4F?=^OMcj%Z8|JB#K6(-3%`6_h%
zTF-vAh&86&ZztV9eOI+GIzE~Ic+^h&bBm5S%}hL%^uzC1*yQyu>nc{9*>K^Z=+aXh
z$KIPa%y0R0rAE0+DpxPdKS$y8&GQ?N)#T1GpRaRQ;r|WIEi)cXtK~JA|7XotnQ)Px
zqGu)Cug=RbHgEl_6m`JM%1t=q@~pyRIqxgkKQ*m-$oqS8U(3Ne->N^}%f7!l?cAl+
z$BgCtV;}Jgolxg{{HFif#{GrMFYFUeUcYZ;jO^7zMxHOH1-kbNDiztc9y4NiRw8v>
z<+aR|m0#ty*@kBR`|{jP$8__8xxX*B&Y52Ja=8opXC9`XJ=-UU-uK_}c8V15mufrV
zD*?goE}GhE42#9sPc6>d#QbFbyN|_k*BjLoo|v~Re67jWKT#rZO61GumKl=gH_xxU
z*0AlIg72-jH;z2i-j&$)MO}!KSu!uw>+QbZck7mEZ#xtGWW#|~{hzm;jk{-e?sT>L
z789*TS7nj1eDRfU-W>W<^kZui=Xt}cidU8j2ppdIbHY|LdF%bAZ<oJ*GWSky%-l!u
zLGJ??w>|&O|6szR?Rz*RU$DHNTH^Xx>F2`0xGpYnvBl?SS<W}g;m~)EF%LeeFUIsk
z^I}m}b+mlP-8LPgz<s|Rj%P@ne5ti{hSQ9gyQ}0{f2{R!Y2ys<uA9g1;_mAHN&aI)
zR)~FZT~Wo^<rO^fCB^PtpNb#u>sGzDHcms2sd=Wc=jHxL8_Uga?0iJ~9_&r}^WZ;+
ztC`k@*b@q`B`b|9J6GF$oLBl{r!b#zN3`$OWt~y;mGvTT*UizE(Y3l4|L>>;M=Hys
zhsyhv5Bms(xl4D-Ros~O!sMcpt<PzJwtfi*_pcn6<;uj0PdcdSo^D<He}3}Jmb880
ztDB8C?#^$OP4z!?r|(cVlgWPB1NN<-f9~Mx%WYJ(%~4IZSstpPw(y7!)75i3B4k%Q
zGg;Pm{AGz?Zul?eL;b&xCa7`R2klttP+|6W_dk(cR#AM1zbi`ghAiLd8F%H@wyCSv
z7MLY|n`d?G(urvjp<$JW4`nz-tZk^{GSq(*I7>6>`b1$RjkGuE>wTFDdX^mz*j%Ez
zrTfNDSEdi0#Svyf_YGPu-gelyBJ$-Oho2q^!l8e4ty{k3KK^yQUc}Ec%;t}viFN#k
zdFOT=eD!GW-X*73w2HG@EdH4|?Wg8DMR(J9kBPZ!giLv=Lib3l(d!eR;yPtR^_)^G
zbKCPDEIF>#6+d-378~|c$vuCO>5c~%SKrT{6*X6_%k?7PD)BXb^{$D$nnzCmQu!%!
zv`%xDQ_Z__hV?gGj#(;af6abzeY@mS*2FYxsmc6j{8t4hKEM2pq3Z9}JPDq-{#Ofb
zvpN|*WZ{w%+o+joTB`7^UFp%mFPjVNu2_hq)pQ?-SZ!|FEHX9IPiTkS!q^G2A=h<_
z+l}L93ca3xw?yfI^~?N2(T|q7A1^%h`Yl7A(=D%v5|5?HzR9ZVJnx<T;@<du<8-~8
zOK<X~YkrdrT2yz!<a(Ef@q%?-wcqZZ>ziNg8~X85N=?JcNr#tde>r;lpG8&2nU|+-
z>|-?TtyukHyN;@fcyH@!n?uFvs=Joz<STZ4TK%oXKf1wZ@ovYr&(=NXRT1<5UKw|F
z+1E9*d7jTcxw>Fh$G^E(vbS7eyLaX6kADYdb#F+w=5onfRIqT(Ql0PF&(@aC%3adU
zS7g;HUmMt$vZN|d=KSLs7RwhdmzP_2|9(i8xzQg<!IGBkkCWqbSik;?w9BxRe|U6l
z@}`R{p{GApmiw&F%YGoH7Z||6<keoScfPeJfsZk^bZW2kzSvoh;t#fR=+60F<z8@m
z8_xxe*AqmyrZ=RYV4kD5>wwk8zdb$Q{%23TZs%y%HBm!jcK*qt@Ot+sj?}w*R3B$_
zYVGsbWODKRG{^JvTR+MjI``3F;&;UzQ;whcy{~bqTiJP@#(w{kHNV|<tzq63-%*rM
z`z7Vgs}z2PlE!m4rZiTSs3kZ@t>Lu~Kf@3v9dPXdyR3|1)4L^(llK_JKGQq<)WlXt
zW8ur6=WiWP=3|?wouQBz7(LzY@B&f!lMAcQMtY@4cdRovJZ3#f|ICNqJH5aE3S0Pw
zee0#?*B+<EpPIp(7_Z;FsA|^!v(-1AOY192Z+#rtsyB_ZYa(m=zfC?<D;OH;rH&`R
zy71p>r;2O~qp!>Zra%M#XP>pWXYSAPb>QucmcHoyVN+DqA;ActWGMsPOEvQrXL2pN
z_T`46Z0W{XkN54E%=3GDuY=USiiH)Mc-IQe6R9{h^`mjx!N%K<^Mp2A3L1VjQssZ7
zz3Pixy1=oV(&^#_TW)Jl-I&LCX?Bhj$2aF``?|e+IYsymbVqC|Umv-m@5iGHxfdM|
z9M7NAXYgaHG_%MP_Bq+pb7ZFG7-j!tiMfC0qFKqLCvkJLUq_xlaW38^;qwe@C#(K0
zIR(FNGuC=X4&(Zqo#9)aem30Qo)up?=fK08l~H>b^{PA<{XKuDGCVC|*}{u&mPY;1
zvE`oSIeW9T!r~Kq?uz?yy$;rR7jlo+H@5D6^aVDpfV^))izCXaPjB0Cz46e*wabln
zNL;hBdZH?Sp|hW7k5SBvB|a(BHm<&!JZs_S3-4C2#2kLLn5m<_@&2U$arHj4oa-H$
z=EYi_SLf3>9cmGi#U~W@h(+(^dBzIA?%)lRzVK=sIAQS7SmEE6m2CV=`5xTJep5Yf
zm(ct|jl8HAdIy$<Jrv--a4#lkgTeg7#EHe5BXmrj-h5e+XOiU2ZSrx`f%K32(+?`e
zKD#$RSTw=0!E~a`_e%Q!0iDQOx4wmb+P~)XzKbu8t~u^C;XvPENsFS|3A`V#F3^tr
zK4E>e@=s}Jvzh0f)V>XRH&Jon0@j}qpSw=|d27p;WvVSOb)wSSoEeipz6{nhxH;>J
zX+e#pd9gsW+Y-;aFYeZ@o7S6iUj5^53&Z9~VjA2TvzpUZDQ-IY+xWojH*bE6UroI|
zef_zt{Srlv2QFN`6uC=qM;edR-lf<6{K#3IVLeCRVCCt(w){&MuigKdgL!kRVXx;Z
zxy*UN{GVp>rQIp%Klxnc&Rp$lxAI<Y(|c#_6B8Gu{x&v(@41KL^xi8~PRIXc|K&WL
zeJwo9w<i1MSHmy6m(@IY|8kS|1678w%DsBoi$flYD@AXWIGT{LFr%7#{SD*u{1b#a
ztCq~XIyJhuyfjfH*iJb+-2LA&DRYh~AvZP#vY7qypKAJ^+v0?y@Qjon7Uf3WH=~ko
zOm@#(B(bn3Qu{{3y$_-4Gu%qU*q+Wg70&6y>rh+fCDMDMJ6%x5KH@H0F58vurM(CK
z@A-Z`Px6!1x?4GkOiJ%}Mpc~S-FE8CrEMo?#@&iPbT{stn6<L!Hl+yOyUZ6nEkZ=^
zoV%phxAWJR17Gj#y1G8~bEmI%tm-Yn?On$cD~s1EsHpK+Z8EgZ5vho>>Dn-(Ez6vP
z{o3`u2^%7BO}_hbUOcnwiMc<{T6+F0;kkXz%lNV(dw50Uf16pZd#|qN`XaZv=g8VG
zTURWaf0t!Jx=QlQOxK#nenGx<|L=NV_Q=?0v7_nc{Mnlt_H8wcyH(_|&|KwffyROF
zx;d9wQbS$N#BuV})hM1@ICbCa1HFy8yo&nU{_np&FJ6W3){)r@EWa!i%e)&pKVjdc
z2Zw@IvPd&1d~FumeOUU+M~#avogYs3Us6*sUeeRf=%pC)cE{ql4_ls{thxE1!K;4V
zZl*o*?M4q*PuOxpblUnKUyklBS+08X)Vv2BvYFL}H+<bb&Xrbs5xb?Pq)~PL+H?=D
zBaiLxFeNR0p5y!0S^aPP;zxV(V@~`qtW9ZqDB&fzearR4R`rzZgqei}^WVw*-e0ro
zz1^0?=^icBQ_Cmw2qb$b8@QL*6s>+gafSP)nJG*Khfcc2>|ZglS@h=V%DtN5lTEw4
zMK`~Eboc4u<7Zif3N~0R-0I6I{f8l@sZw~B%gNR~JZk!X_jaWFUu0bAD^`{8>%en^
zhw`#@6TBSPU+BLSGx4&{%@Y5Ob572P@3Rf&OI~Yy?oGnSH+L8e-l<=4nO`4k<*6y5
znW;15LQcTicikuFEcrA)W7e`x!4(=zqW%&&+!NE&?JmTKKi~Psv{FKg>0!XHj^MI`
zPIG_XWQ<@~*D+_SQb~bZv!K_7<?o6r+FNU+g+u>bm2oPH_~*pEQ_`iW^;2Sx)OOk9
zeLLMIN^XC3*5t34mr{Cj-`qJ?e2i27_pMnY5i_-qWyd%3g>4^w_E)ggHwLY_XqPA0
z?X-5+N|h<0i(@klH*|kJI%l?^(iy3oSyhUA4^A<5)n}G`$)x<wHM6te!^Qj>7jeI;
zkVPe;n;N8<&RpfRnc!^nrXldhEu+8O_jb3dbJ;DuS#)9JLubi@O-o8yecAHZ`LAZN
z+;@;s5oz=C>CpUn@r+63*LCmY-u-;%@%3-%?NxUEqHmr0S5}%^T3+FQIq%t>^8q1&
zy`0;7_%poHU!-U}*V`EK;{1_U?%hAO2_AeMuOavE(bQPZZFe|YO7FF6i`uSy_NVBE
zn%rkaj$2B1F6iai{BxKz^{cCDpURHF!dC}+>(+{z%GtgXyFK|t`RDr68{bu44PBk9
zCM5QAo~-g+Mi%?K58tOUt%{FhZ98S({$lFn>5ftIkDNZwo$UXPS!8ocnzrPVowGJi
znCW;{q*h(q;Us(1tKylCuJ+~`t`D!S3-DYU`Gakf<+iN5a^FAq=yHeuscm_*uh#d6
zo6#TEH3Iz8AB!DM%Sc?6&!AtR)4$MmtwZ^lxHEGKxofZSXgfR3t>M{!?2BfHR=)ju
z*Y9<|8hAv!9v`_GwIlVfn|FV$uFTvbhME~p9`@UAC*Np1{%g~r3kz3CEO;qywM|ku
zlHd5Eje)grK~C5N4$WuzlW*L4Dy?^IicC-FtchA1>)s{a@Rs|?`q?3peY!^AoNdo%
zPHf$?G|KKUPrw9@5Bl2m^|Loie3>om{K(*_duQ3vuzxDc=Q8K5^*lGFZPC6D)6Qx6
z@SoUlP{(eL(YK~+Z<jS3H;ra6vQ~N5_15IT`j%UlUd-=^^5I?i{Y!OB=)ba?C+v65
z-S>F@y*b}ZX1vI3bx3?^5ajqFUaer;)7p+Du8JIwB`kx){{G56GMi^&ZP10K6*bq+
z{cp?ICA+*T)8c0N61C<>lN5OzW`Esy=w@odjffIEPh<T97c4H?W!{r=^;Ve0ym*mo
zucq?B-=3$~dmHsy+E}W7#>+8FGexX2i&=1+MbRTX^!>NlcV5Wq++TJ4b+(z#rKBin
zk0j;Lw~<_2EBBw7x7SE&>hY<Y)Ktss9A@2Geyx~g{$BmZiqrG*d+d(Suer)$tKH<t
z@g?K5y~?^ZxArzMzo~AYotLV|mYh=T{Ib;ENz0HkQR}OEW5{POi(~IEU72PT{^6;v
z)3LL2I#*0M<+V@Yg2jIS{wGqK!;bQmN@+jtk-Fe1xHIti>RSc>a^?kHxhT7E$-Ke<
zp3}Txi_Y{Er%#ozGGBLDD9z8{hgF@+>F-k}EOrtwE1&6OT4AJfE0yKRPw6w#mbY*1
zT4|-RZ~dFy`-;|15`48qIZ!*le4V!?zhuRSH>HQJ?9;q0eeINqe{7)K*Q9N$U&kzS
zSYdpE)AdG!AEV~nOIumLTMC?*|7F(o>Rzq$hs-@*Zkg7=_H)mHBh@cz-^@KE$RK0q
z#p?cLwz&xN{&yNmA}<wp39%&mm7L)(a%p=p?dszGA6u5{>#plv(3s8R{Fw9li5;mr
z8ogD0ll6I5?n#m;pChvKi`9Xv4=hUeEL>@F=<D=^hwI~t)&I|Zogt>2bL`3-qk#Fh
zs^^*CYVMAD9ngILrp-nEv%T{73NGg!@G3Uh_C$LspAzdU-I#fityA~M<yo(}8KSj(
z)k7}38BZo_x848!;&YHw>p~`@UH3({t8Uwso5UDBX)|ZuM~hDWi>X|-OP8kY|MKkZ
zn~+DB6;2;sb9$ooN4~FjU%!a64BD@-{Q8f~2P+?O>lbESm#AEPasAykM={fV5<BW%
z#X7S-ZLHs>+mY+Pe)_Stv|~%TJPLGNOdS_}zhb6XHgCa;yC?rnuF$#O@F(W|2`#1$
z#Xai!mxNXQ^p2|D-W<oK?jQPMvHLnzhF*h9b9Q};-e;gDBVKr6*Y;ltvT2eBEPAGL
zEw}DpwEVW;66LF|w<hgMnYMiHnaZwMbuIRUR?h$t!M&!pwomBYzDRv_*rghQX<<IB
z#T7c*H5>o6ytr$ztz}-ZokY>c*87sTi#qRDrTuv~Z%1*_Pko1@u|-O&x9fy`4>~ws
z<xQeX_0)e;rq%M6TOQ(Cxahgd`nUgOKSi4ESbWy>0q0wJEgfzCJNi>E^wliYRpn4y
z_+U@n#aQXq;AO>kA9=~%-l{ysYG>`|3F5aNTwbzbGP50nX8QkY%6}fayx)B7lkzF)
zjmvVq`mdOVv+X*lHf`$657Xi%ZMnATK<J9!2RpnjUDk?S9~PhNBoo!-BWmIKU8m#L
zdc`we&6g`C{@|HW@HilY^H#|b<E(^_GG`4qay$L1j@59QG?|ODE%1_24b@$4kb3=!
zkX5!wjyS8${UeQkr<-{mydsjw@tW=NlRJ$wHh&j%Ok3tN)9Iy;s}RTPiP~{39fzhX
z$(F_}Sjd;j@M$4yqx-*zn%;b-U`dJ6mi?TIjx0R!QLo6_W5))GOP1oCNgr;jtl9R>
zS~XTRQ6+_C@A(sxJlLQ8+GqY}^Y<ebIbw@kw}dt6wJy(Xw!M{fbM}cN@2WgEe0uml
zQ}bNqeYT2ay}TDidH1PG1!zn>VI<Vf-@N5O;l*i-PfEozOq(jmlm7nbpL^|0+4<{u
zUYoQjm5DqlSYLi6baPdFS=H3-yiRjO5?-i#+ibde_3!H2G2f>~umz;t$#dvFGo$PC
zTG!s$-tY2PuzJ7SqWZn`nCp?^^50yU?V<Lei&UPM?fbm2QM&e>xQd-#qV_avohQss
zLRu?ZyAKE(1?)ND<?yp~_ww(#+pj&`s%fCOfa%Ds=(bA9G_zKJ);|(S-y$!#HSP|I
zY%JciSe)}VOQ42rl1XWjaAw}BQ%030zDIQ}6(*d&V*56#M(0Au(*~y#yeZ2*itYKV
zx`EAAgI#*xAEAV>6%Ne3>r8Uzuq|j=y<nbJ$A0PJbCH~3sb%*fYLzXfK5)u8l)xJL
z_*rVK&ZCmLw}uffdaph1&igO*XHnPd*SCXz?~m;3%{ty6|8>noTX92kpA!$y?OO6F
zPx`g<iFR`fhDV<Zdgn@?5lgn1yeGsl&f-Gy#yk#T*0V>}6;|ZtZr;250r$UEy!+T9
zJwJV4e?@!BpX2@icJ*YfG@C!&RjD^w{vD^^RKFF|H@~tESn%`fx0@kXC;Vucm;CzU
zfpa@5SN-wlf4RTy=i!x0e!b!UX63Qckz-@6*u1PB5w$OM9FucAX3W)HtGh+RBz)1s
z+(7oMU*9Jw{rnei(ez}(B3aI_m+!tk5&r(|-zz6)arz0K&yRj0Da$l<L$2f@si;c9
zyW%Sz{L>IspRK?c%ONem%TYCbw#<F|Rg<J1-s$#TvSrHkz*NN-?`O}HdU{cQ!POmi
zQ<#6U&CTIDdqs?Wfs(wix!_($o<&nu6b0W&xL@;rrM0ZC<EouEeJB5jmy>vVDSSe9
zx9z8>>G{W*74$c^#Cq8Z^zyxuyL?Pb@~`RER{QSit9i#S$SSX8{eNubl*B(@diV2B
zxw-PreI6C=iC4qFt}5D}{_?9s-7FTK{TD9Y|L3>Q@XmU_Y=xf}Gbe9qysz5#dwW|q
zzf4GR2UpX>C!24+YKgGnVLW((Q-5AuuaM-Y8O51)w$nV+=cMhoNS|?U3%lu5ft&*g
z2bR9;tNSP2?^u1fJ?NbE_Afux|IKZ`7d!n*N>NG2of(D?Lxm)*AM)IM6{7OQcJ>~}
ztBYs(?{qk=lymj@$K5X()*Gzik~{a{*EC5RDc)xXuNeyoooi6}#J(lDDZFsTck$}Z
z?d-qjh;k}-V>^N0#5mF5Qg!7q5t~!D{HDZT>1cgik#$O7k;JTx3l7MxuU5{yG3Pet
zw;hI3{|q-WSMjcW6{r^~EV5sE!tF4t5J}sInH!gBef<5!Z?09zbjCO7Hxmnk+4e;C
z+>7AmT|V*kY+q*XFKr4Fov#ZfH!aS5v1#oC&3zN}b@y^_P>#Ci@#~C$^;+q50SN_P
z%QgCxWZwp_nDPIkT_DRz`z=~WOA<{ddQCXobiGie>&N4-u@$Kt`_whPrd5hf=h}5i
zn73GC;c<U$yN{Cvd*_+IGAcHaU=XywQ|mF!_&|5K#R>TzR*`;3U!00u;Qf$0SMIIB
zjwiCuzfOC2ztU`9_*~Ung==2R<j8!tt8(QRH~4s<uF_aO=5DxuYq;_MT;*x&KN)8o
zkL$X<E0Bq?o^M0fUk00`vJ9Ed!{2tx{M@(tmd3B1=$rE+_D$IPh;7n_ZBxaTzc48{
zdFkobSmAGrcUO8Uiro6Ct+>%)n`(|p=|br*sox{!a?3vNT;%v>{@VpVbR}QMGbgM~
zQO^9Ha9NAJp-<Au*5ql;?fXjCwa)K%IOVq?(DBiO+XYT*&O{XV{8hT#)$@rzO;_EL
z_r<lvHNVoIo#+0-e@OD8Vw66g=9(F619|5gC^-~ndffds`3Cp%#a~=b98%|AEct#;
zzy0lbQU6pzPHj1T^+iarchyEK2c^BPCu4Uw_VE@OU1{2UvHhdUrP9rtI?8t)GMDRI
zKRG?l>o=cj%{iStc~(Dkrp)~QEULKvZxw%tb?9NPeFsI?A2Hp$JzoBFKw?9+(1fU4
zM;QJtKDaaeZj@j8>dpx<+xzw2w!ggJSeEjr^x&yI-FE-4-`IS6(%~&S1&b?|hdt?)
zRXI9C`Uta=_QXlz7x<>jiQ6pYo~OaH{7ZZ7f!C9^v;G#llK%S&&s5ca*BOhn_KU4G
z@vA+Q&vWp`%2Nj0Vp4cFEa5--bxQcImoZ7DD_49duKXe3WqbXWW5`h<i%$!kJtwoj
zeI9PX&$%@zRx@m^#)6~Mw=WQU6S;f(!d0&E4coL{C)q!=Hdo5uAyeDQyXQ+FWATn9
znIhR%=bU%A#zxI&yK%9#bjuR$qkYHuW=iO&E-L=ecZ6x4p52O*vwzn!a=xALn$v$V
z+Z^VTX-6OL{<Eg;U(prWD?u6on@T*Ugw*bzbp6HWnzYKqV^`XkZ6?pzcqh1Jk&;sC
zEWI<5elzYp_0)-bApQLl$NY~~y4=;9Q#z!y4$AS_URj)$vHEAh8TpCZTk^8(D`s9e
z{#@GVe20<J^dlAr>iv}Fw8mzo?UB6mE3*HqtB}m?OLH?0y;X1CG4W61`YWxXZye9u
zyvzA$QjhP2*>j!JiiP?vOrF`Xs&qT+2|kMrza}}`?Dm=J8Pua;kz4b`)yR6=@soRA
zNycux<|TiF#o)aW=Z&j7rhJ_G-TC5@3ZtvLj{Ia?7nXgXF8may)xqcN5l4Hn|J=(@
z+Hx|%#!G%jy6CwLZHtWqB^jSinAUjqGyD5}K7D1|JnfnH3G$e#n>8joi9d@MKd!bW
ze!I}bpOZqK8$HgNxP0v$UM=pk+?V>hSNb_h*5%pk%g$y$^!>;INuxaq`7`&j3A1H=
ze4nn@&hIegx>@?ODHGgpl;v*RajYS!@QB_*VVe_c+I|P0yT+T&%vR6-Q0Mm!tI#_i
zf8W|RXT!F}5`OLe56Y*%3w)5xyPB4APxAJu+4J-n3Tr=nUb_4A@6X4t8U$`{U3Rf@
zVRzKOb5?tg-gV$P{Mm6SyY}VXH^u&cYTCK_`jiEyGk#n*QCn7Oay7=1vEa1WSDt+r
zlq7bSOC(=iwP>FZW8bHg1s30!uQ0EiV&A91d-$kc|Hhx3%#$~Ar8#|w+8h=#@&7y_
z^VwlPRP4Ow{g9m8C7*x#T};)B(}jW8i;K_C|EZj&{@wGr-@5y`%6n1^<~*?6xPwv1
z=4JL`jz=z+uT2j&IHqr`+^9e6UWWOS(myrRSM0g@@%5zU<MMmk7X|(6)|R_&u%lNe
zW2>E;k$Oe&_MN&5pNL*rti3lkq2Z>+j?7n5U;8e3*LUO?T)i8*I(@#wR>Qv5!yIcS
zif-PNTw&+g^22QQszpwB#oc@sf8WxuZLur&?yOxK1qx1weT^0BY5&8JCEcBM%VLY_
zFX0Ug4}ZU8Zxd+BYP3GZ%lE2VZ{tZp%{%XE%BKn5yypC@)Bi%yhv_@F{^{5Le5Q7G
z@|Wgk7apz9En+(J#`oCE$e#7_>0f3BrCwu_j$1dmY3jke*-c-!`~TQ+b&;c|aPZvB
zO2$aXpNt0kvNFzW6nXr!gGv9e^v5zLfx0u1mzw@A(BPb^zDVQHj>XHG^@}$#XdX6b
zU#!TP#qm(Ot}nAiWZk@T?+a$w_IZ2Uj$Jal!L`Y?VSy#rjn$1-MM)>Va-B<3e&sI|
zYO1hO^2E<mQZr;Wt7YZp{`OQo>womLYt^*qzDDB@qPPAmtBXnfxI9qwvX)ld%ICcQ
zl0~hK#cwX0S$WiK9e=Cm*>`g%Z8ErZZiVxlJ@bM$e(K%H`Y7Us3fETUFze~_7AP*A
z5;yH{=Qg%&E4Rt>&H4LfqrX)0RSS)Yi+=`n)Mm{O-*BIO8~d)di|@b2o_nu(*y8%z
z^Ye0pHmvWs8-8W;$$)dQ9FM&HJHlq?pIh<uNPhJ5ii&M3%=VjHeDt2<?*A_@R#qgg
zId|TY`*f=V7n6<K)u|tKu2;W5Q11RCC@XhaU{z0C-|_=}>nc({FI!yleoE4cuy5bK
z{Xae_%3LCA#`f3DaSqZu-Z*_TH}K!T%T)24`%1f2Ctn;EDqwGWuTn3tf01Y0hq*5*
z>>sV)cks$#y%WEDlQaTOrFniW_&vR8vHrRl5(3@H&T*fP&eEPdfiI}{K?}pNRVP-N
zo~UYOkomc=X8XB+-O6m5)pc(_ruAQ3;Uw|=|D}3q_qCa9Y_GUOb@raVX_L3QUg(Y8
z=ZvpcwItH^xku*qSS-%%oSr{l)GFrM=CucE`}m#}&RV{CgC+l|$*XpJek`2NP&M_+
zE|HMz`{Ju!Z{&U?!p<g}u=>n{lc$fZS{!gBW#c{u=@zA(I)9ne5_Z`97GJKtCbxI%
ze6`Czo=lb7#x{Rv(WIMCHrhVbir#<jOb7qU?<$1?58ad=K6v_kqUI9OxHC(Pq$^vd
zS}x!-s$n_!DrQ~NEf0Yo#a*|zfBCDfrX6Ve^3TLQ_c!eOUG9;3|3r~c{pW4g^J|+#
z?)(4dG(C~DRnOE)(8I=1TdrQ?vQggRiHts$juUlGDY)L4UL+*EENRD%bpG>O`pdhX
z6+PpAX(K(aaidYDBR8j)^bXDBSr@AB*xs7furXe%Y;%6b7dQ2b3oPU^EcWI;PO!dQ
zD<1v!#>CCSogx}5k1yLAd7vqAo6;G}-1h9fOguZXzb#vHZ~p%8)sxTaGCn=y7ar@v
z`PAL1QqiaF%Z&wZy`73|FM91bBz9|-(6@bxv$n?=9q9gjreD*A?V@pgs^2NzLz-uH
zv(H<-H$nFQIjbq_jNg2ECs=m$%3_mw?rW2+&HscPsn1(r$Dvg$tn{@`_hg(|;K9V)
zy~oWD#k_m<$amYTd$-znWtQzdbXtiat?<{m^<TI2DXQnZO5wIBKAb*bo~$9C^O=|f
zM=~3)+y1$-hsEgZyf=+b%jO;UdUBJ?mm3$lGmc#JGj7U}SpR6xgCn;j*0M~xx5dKz
z>(K?vBE@rcKkfZq8oK_-3lEFX>P?}ijraV2$@pw)_`f<Q^F<Ceol}d>dL4}0Z#H4s
zO>4^~n+(@l8zg@F^oR9gkGG?zdRHOKymxWhQ=*%$g|v8C@?KljdeT7knD3gj7O#a<
z9?U5=OnSHcWspIF0VnsT3+*$5%s+mb;KrfjRr&pr`QiJDt~nMh2~$g_9bwwi=XYpo
z-r)}ZYYD8DdbeIynHU?sD1I0JBst>qPySg`9-H4Szr#?eeKSUy!M{T}e0gbu)6Y|J
zhT6Nn-a5j_f9a@CPETD=jB>BRSqZt*=jJ`}o-ZY>+p%srm$SN*#jk>YO*eA_E8=cT
zO0GV#b+tdso^#HOo>u~Io;uF0+~T0CbZkeL_10T%5--mg#x=|TKP~Y+zBAWIY1W0t
zlg)R1*6y3KrK)Y#v!ioVT)svue5n-vhtVZybMCv9jmDnO>n}b&@+N3msq;<u4@En^
zM*eAuGkvX88k6&M|GYXAk0~x&*SnwFXUwyv@7=Zn2alJD;g7iw&or@i+9~sMpYXM~
zX>D>3Z*BL>^9yR4)gtDXbESCVv-#O}<x}5!L|Zu}^XGE!I$a|!vA$aPgh*qe_TKL|
z@AY##$UJZN68Uj<@h84Z^MB|+opHM%)nc~7o{uTB?`(+h4sE}3z3sneDEqo67u$bC
znZBPh@si$c(WaivtZDb>onlrB;o-hCC%^l&mRZT=xuGSKLTj&NDQnM*p0}rDHTPeB
z3oAF3znAs7v~KnP<4Hfe*ENEhTgK&t3rnwWAfNWS@aUZ<#Me#d*!*?1f<_2S@x{{;
znc{ppNhdevwAf7AQ<=Q;=t;9qkIIhdpw!x|=Lh{SEk1I!Z6?p<Tf6V6EKG~8J-++s
zlQ$7>H@y7c(Y!<_#n894lYinXmBUe!{oZP`TAcZGt!RauSfk|MxLF*WOCIoNi2R%v
zy+vl;%603FUh3wY>;1cTLHVqiwzVA|6Ls7~KWmzEvA3$LRz)bp_fNA@yr`-jS#XX`
z%}{XvjH5kkOk3XFG1OqataiG!(2q&*>bgZ+ZfONR_|=;H_rl9C!#{67tNvUS-m&i3
z@<&@Oqh|jLG@rWGH&|Ts8Q-3mPmfN|+q9`9SxfKpgx~cJd?u2K%0AM!xM!wl%UzrA
z%+*qw&=`Ei^Yo)UmXAkn&QQKSVc+tU<$W$q7TaD6{R_PKVBW0o$r-=5Up=n;>J|6i
zw+ttQ0xHxluh3k!lDYo&ekBXOd;2zbFLq$MmKMkt_A(^XbYckSQ;lENtulN6C>XWP
zn<)9~fM9bOo0fQ{fh(K!)_Mh1S?m5~5kLHH>Xl>%Sj7cCX}Yu2V*MJK?zIYx7FOT*
zeVWx?98Njx_4m@z7jgPuWxC!xsQ7EW#VWijaGw2T;qaAr&c0XHi)Zl4-nYmjSCP#>
zPI>N~dATdLtaCOxX<zH!6dmu!a9?i6#n&lad$;~s`OZ9i*_XGgMNYf@U|3$Ua^6Kx
z`&h1f%Wo}|y;|})?*T*f#I1ofCzn`o@1B_S%fgG1FVl5O-kw=g%*&NRf3D8GBI7Nu
zwM(b4&iJpf&8y~aB{r?wk}t$h2VR~d{fuQEuPWP<!{ONpzaGD;cTJsMuk77;JS_70
z^OFJv2cLBw;pc2!WZv{4?9Z)piF^ABS4Zbov@JNM6V>8YVCCz3y+O@ge-gLUw@mkS
z#`<4w@=8@3u^l=x%RPINxVd>><gN(*#l=&)tKXDJ`7U5dTYt<?;A~k_@6zVDz|LPn
zr@dJveP`bPx^zlMb(_)m=CVw;w8S6JITu_?Uw2&X#)UnbpRLs4*mvgc#VbqpJItB!
zr1;*F@@Uz|yWah9-e*^5*Y&MlK&o<%*scoyfT~U9f)l<aIcqJK|0Q{&p<#u_|1|e`
z>p0IciJ0=+9X%;`i*x!PMYk2=j}}N>U28M%#(mB0_Kf*E@Bh2>H`Kf6-iODEXYRE<
z`Ih>utpDL;{UTYVUTO9%j8p#o)#|*uJ;83~T`mT``H#NVRSMoYpp`9tS-7ENTJeD=
zuD@6E)>X+~xWS^q_aVLLc8uehAN%gh7>fkn?zQ3g|M4!T^#qmXRBnA2>%#(TUj~F;
zy=gVkMEIhoLg%tcPdZmM-|$P>bN%hQrdq4eQ$atL$Zm2s+EWni9J%FOX_wGX{nH&s
z)=Pgcnw7Gfb*om@_TT4{(pP^txQl7M+p~{hrN{56e9Ns~mR05Zzwy-uUDuC0me=+r
zGd6t|Wb_Z3qY;t&E0)pfa;4hKR}p__=%zEB`tva1Rg~@y<>0qAJ0~vs{y)n1u2q7V
z*4ahkZ{5BAss@WEys`V7{cQebvv}{-KHlkys}dp=&8LR&+u8NzZhP|olE!;R?xb0B
za?5fO#Q9=Ayw(0G^hhD;$yERB4Ceci@ok#nr8SaI`)|5-lvSQ-{+PtqzHoQ%t?myN
zrnXVf#51RhKRtYL`fd47&%XbQ_Ax8V(kh$Zb^67(^@qiM)X$j&hp_TD_UZl76gG1;
zysaWqx$T9k-=B!-Tvxe`-?bM7KamNOtX~&(>VdX(@`l_6KWpbNteRND`DoUQ>LZo8
z3)Ku6+kbt1qn-ZF=Q_(T7qv*8ndML7^}`Qe3N&6mQE$hrv=4m8_F1hGy%Kn+=#~5q
zhLlQUgAc0BihtcyCUm`Hikt5=aqISj^Ik5tn^-Q__Ui=4-SRT=-sr;4F7tIiId|u8
z^;{Bc5*1Ok=8(s{KVA*iRcA8{kEv(d-rZlmG3lCjbGy!SMfsS+cUlUBA4>dP^r@=N
z)cN4laBaR@8o#&sHz-TJ{M+%`?N4{!IRo>AkPu64<BS*kV(PRz3(g#@i%*GlJJ$1`
z;ln*grIiZbg^gq+%eNh9aeEdydE?dih1V<^0z`UQKkmGlFi-HppCV2{_Xl~q!?KOf
zpL<c2`22#^tB{FKjo*WJ6h=J$xsR8tsBZVaj#X9nw|&vgZQC>RP5y}&?yJ{6IO~6}
zrC{=@R>42zkF?fW)h02kF@OBCM|sP-Dal;AbE+=L>HaqP_=Ih-rK$bgkHO1+m%lRX
zFJ88`pv>LvGw0>FiE0vlGb85RTPW^l|EBSn=5OskFC}GUq*gxQGB!;)-f?w$lA;{b
zfBj0o<C&f@&su+8>7Ajtv^V1gf9K@o0n3s;#@(4>5cc=%L!K%L?snOl&CQu5jMrbN
zDec`En91mtt6<*1E-HMW%&Tnj+^3&DI4Xp07c~p`)e*qxA#*A)-1GLdrEL}Ev!CpD
zVZXH2D<o3M{j|l@<y9$Dk^(lIF%z-ye%GLCZz<Ke;8(h2oqVAD-}-Bc^ONT+n!#mg
zwL7JG>x1)O!yJx%x)>Dr$3MVpy<T<g&mTMG7$ROT%Jk%&wB*yBybYyO-d&!p%yF@C
z15do!&gzt#rAh6RRPL_L>6o+jC+DOY+jlNmu*9MM(GAljRk3H57J0dp@AG5Jk#*Tr
zymE`xowkQIj-1~=EBfznJ~DHAQd-R6qZyr_GcwuYINGcHc-++I?v*i-%MviW%)sI!
z_VjYE>4W0|+e;f3bMD{YzUWzg|F-@>C8h~a0z*D0?yA=}5s}_AnX@xO_MEuxCB5%z
zYY)oRO#0ub5X8i~s&2vSuy;Mrdo&;44qMjx=2bYS^!}HTa`ESsyeFk({MKQ2Tz=<6
z&zBDefA$x03(ecb<Qn<#SZi<mwi|P8rbv{wznHRZt$OzEkmfnJ_Bef7`N8UUxKXId
zr)hut-t;cWF#fG3THsgO^6p^z)1<q10*kYli~fqebmpI<yI{NX9tZuSO@Vx`n+&bC
zo_7nk+;yYqm#w02_Mz%MMwXt7bD3UC+}U-+Q-S}U?CD~s4c}+6h)3jX$a5CoWOB15
zvHdmEJlRFoQ!eYv{`)cMl#!71&nc%YMGJ+aSjFbgiFuxySG7WCp^cx8qOH8{=E-3n
zPA7S`p43hM(6_m$MapN7+pkmA^B;Mvz4o{%O(5c#jP~BIf31AIR-883cmHYbn)-SA
zt9Kn(@paXcS9acWcd=a8@~lac&^c?m)i3q1R@Y)XX^k)s`=<3j!n!{4>8?`BzH=<n
zDkJ9X)quv&v-iB~*x%95J9Xpn#77-f-eJ185-uBjJM)0I+1{&JX8Ah}foGqajkx?A
z5?b9at=4&}>M9<*WTKG9x$uGmGKZhO6*<w)yGXQ9@UpHy1JmYhi_J49`M!!QcHj2R
zeE!2XDHdi*{Bu}7xh#>fp1+v$qfBgH`hmX58}|P3cl?`n>W0S5Jr_7GDERIxoc~`d
zv!&5<-?!>D#?#gF#LqjohUR_V6Lj*FVc^+|Kaa7m4lVkyQbcol&T6ik=RLo6eA#<t
zU+8k)v|Z|9V%=>yMGnsw^*EY4E^1u*)ACM$L)_6*T1>uPcO<%5BYsb4T@kTu%L<K!
z@_~}gM|aHFtjCZp_%XmxUU-|g+M?p$!uQ`C3)K0ea^(87O+x2BOiw#~Tx9>`)yL1x
z{hvOMd7k=qM#iV<N1Wb%lvulRwYJip_?g~0{L`dLaun4LWOx3{%C6Y*N#v4>XaAS|
zN(p)ujc4DeSnXy%wfW_?9aRg$Ha+_E=izw<*)*U1^IL2+3|~YPtbYA|k)V?73)vgb
z5=7Xtf1MB8)q696Gh@ZCi|W&6oP0LtxAu7_)v}q5nFkj|)Qg{gyTid_%U;i(MMqV7
zyY6m()cxtx?FfHGG3|R?AAX!#dRuUn`)<J)j(ZjT!tFWh%9_qe8BM$;<dx0Fx^b_>
z{nb_%bvGVnZdN-xfgz-UbKldr?2|gj%$~1#e6QYpm$vv^-@7$Q-8*Uw{Szh5m@KY~
z67e<66n~~!EO6oMvg*9jFb=ExYfa;d^_N~{lFCof6<)dV$CWQGtPSas>16_+ZcKmi
zChv^z*Q2|XwOtleh=@4tQhIC>m=<u^m&e_Es<UM3l>_{{I=u>mQod)^oS1r9;*`nW
z)mnw0w>b*EZF%C)5Hrd3>eD16rof0(2C4`C`CSe8pi;Bzn$X7oXTCITnc%p8uX9~8
zhqY@$^NZ}~or0Xc@Ac~nrHb~v;CkwMnDscz-gjdAlr}DSUB@LdNxYX;L2~csZ9a<^
z)pbYOc^mWWP}NSCXZDNLsd#yNw)|2*TV?q}=k*td&3q#GzEYY`$6oBir>^+6Nx`Q4
zzg!bF7cvB%`p(~bwd;T3>eAU=))P)t@vPuAU{+jh(5Mt8Wa@iu>9%7m@1ME*8hyIu
z-KE?*^Q5pe_gkhP=gOTcmzvtndVVn5J^M`Sa*p>?^p1ZFIy>F$V8QoEIsUy$d5JHh
zDtL|lU3m~Z*}Tlo^<-M<r-X$sx@Mbnn?LBEtTZXB<(R_bi|gk+IW;xtlgFuGU&iEL
zzSc92e|WLKDeQ5H$i0qh4+>93q{(}(cyX(`{$pT$%R%Su8q9N}uYXCC71>*H@l=>`
z{xM0x*Gk>qdGEG4YaXAqO7Fvji(yu;RJjgKGul~~G^a_a=Ii+hehEUIQBh0#zyGzd
zTF)?_anC!4HjX!$(^)13960>>M{DD@vU1Hmr_MC*nw7a+YT6ayP;<?s>Wvp<PDI!h
z*RL{epC9!s=%Kb#yZM56jZ<%&bH&=Wxvh;+ubTYGbl#!m+tiJm-{rrVTd+<cy@&DF
z)yzFy*X3SLoc#LSlZA_%%|&8rc9rq1j{UwS&`7Y3HGcku%~RTa*YYQ7)=r$yd`$FB
z=lLneSx>HK-+Fb2{d$kyv>y`Yx3rh)@88?~hpWL|L(t=1_+)?Sw4U3||Fn3Y+ElJD
z`hDrljiz&z7ni=@`}pOjKi09P&v$Z_a{pTU;NY$LiJQ*aEL?b&C%|T6myhi+)@KLV
zA12RI=@iaaO_K~iw#nJ~J8LFWhp3ODq{ioxEq-6iCQSX#Gk^N4d;4FTz5dNC`9G_v
z=XTG6uZkt7rzystm{<CSqm8HcRjp}>^1B5=`+xmf5MxyG?$>#l#{EZR!=#yRMWx?q
zy}NDF)*aI~vh#je(H>}QXY(`sT<Vl;uPN%$lYAl!Cv4)DsN1&x%IUp}G9S&nW!a@(
z`~UVMnduyV-hTM<<ty`_`X?)NR+ne7Kb`y8VpZ$HZRehS5HY&T>GMPU(nV>Z8B<fG
zWfc+_o1c4s?=Oyhzd`hJoGWvE{F9v=`73|yI<bET=Y<P<bf?EH+~POO{rY^SryGps
zIIF(XR?zyqylk5K&x|9F3U6I8oSNS((K&q{&rY|<sk~Q2B&JC%|FAk-(`|<J0^Ua)
zGYl36EPU&;O37kVc>D9RM|mZR5B<Zp-`*nRm&+B_(&4x3i}J2M>1#8U87d}OZu{Z*
zrDEGm38OV8QzzEyI8R~<oTArwq`r9jJyu=Qy;}2%Cg;2soNT~<f5LR{1IJ9;?K8bJ
z?bifa*`=~I_H<9U^++X@cg4-GehVe-HXq*gCQRpyn6lGfTNi&9jw`tm68hqjX$Iwg
z42&)8lDO@Z`8;K`uk$=M;BMR&tPs>AsefD1_xMS{xf$F6UXKk}u3xIy8F$<-YWAzc
z3J$qNnjJ2AcYVIjO|lKW8+be^Ex9O9^yqmBy&Io%JCYYld|TG}Xu77*qiyd@MAa1h
z6ShU{xSh7>$Ln7&)Bj4(yW?{4ObeHyQS|jBS-v^%jApn`_1OF3mtQ`+?S^M}|G&{x
z>0Wx5P3gz_YYL~Hm_=)5&uG4y^}Vx8cY4$rKdaX({boJ;yleNX$ea2PDy}Xs(Cbq)
zKf^kC`s^3yqAqF+#h(3A`EjPTlxX+Dt$sJlw57HhoV^;iRw6?4RZnw})J*ln9aFla
zlNh#s4A%Y`^LpK#F7D0k!H0^3)9sQzF5+|&`my}S^jLvE`OBr*Bz|UV&+@9B{KQ{#
z+K+?n3npp5y10qw=9`1F&bzee9N3?5?L3EV?X3gXoZha=Uiw(xfbjs6w#XKn_QD@A
zZ!b;VQdiE}_gX{XACrhs$(Ju)5g(iFtA4~r|LKxw$vy6QY`SdZwFL1Or@Y;av=54I
z;Xn6V=YqfTg8Q|x@_vPilK(cF8h*?3Tw`}8Z~xD(9d_-pJ@cCN%e4e&zWS)x#Fbz8
zp&<FJnU>I$4J$HO4^=!obY>CDxiBTx4u5m;uO@6u7S2EU@T&AwOO4_}k*m=u{}Ni_
zyZ<Td<NBBR`_`nzA^$6`Y-{{EPhL+Xd_9*xtHxBZRTUW_OWn+t?$mSt$7&VN^7qkl
zC!=?}UhaDw`Zf1poaa&NqBM)ob{-3Rx_<pL^Ez>1<>$bY{{<hX?tQRAN9H5v;x<9$
ztS5{&m;Sc@@Jw)Tc+J_0?uYL*#4;P!b(J|K7@qyUjHTzRLe1o~Gj<nJL_Tcv)!6GC
zB{hdpj&GUX&2P-heHS}^J2L-obJxF$`rzG1P5y?@Z_D51sH^SDyi(8p{U^5@Jf+GZ
z^J6Z}otQPbF05+au^06pkNwq~wkzXr!N&HBhigiH7qwcpP2Z!mzVgC}_k{=4AHS}1
z;dk1Q<|k5ak*lrG_Iz7LVVILUzxNc|`SA`N_6KI}&@wpJ)~Yu9*r%-IrQ2G!zf^Kc
zYOtC*M?C9UYlcHP=e6$wSx3Ftw;$W&5cKK$q8WeY9QHYLHsVhDt6w|b2{65yvg-3J
z!_>qIvs*J4?3&HQb#6NUv2#o2*}PI0%$}$FDEUgNyBkN{@m0Fd-P)c@h-|jl==%6u
zGPh6ngjrm#^!3YPJ|7Hooguh-<1Q_^r|0}OtxdmZ;3$?<Ah}Os@!ySsI#+)i_SU=o
zKD9PSI^up&|HOTJW!VBB@2%3)OyqmDJE{L3ThW<50ZDJO{ZoH2Sp6*PvJ;<jvu{H6
zj{Q2XYV!6)_q^|&B5*rz>&De)w-}!Me^uYm+N3hU?{WQ(`k&Q&mpCRoT(vxMm#m*S
z;|#Y8erYdn|48Rx486;#A|IV`YOa${RgtDASL>43tWI*ZFZYY=6%&2Adg{igDbL(?
zl}k^%GP`T$*RPX5%nX^s*tsg>cU4ifYK^q~+aq@lZm#Fk=8P3E?`n1D;dtHHY+q>j
zwd^`~YK3`kt!%ka;=#{tPAeAd`s-#=v~h#lyr38UlCgb4e~hoNeoe_PW0a2g={0}d
zj9#Iwr`o=L@)e#@Ib&a*yR59X)5V(YMc=QTNPhNpUaF0pdZUl;6oH&D+dEMf<=Y$D
zB7SAZ&f3d;cFR}WBSQX0M!u6K|4ED5WGl+EJ0wIw)+=D&{;#nzdiLeZnuQiI^yI!*
zImB)MhvkdLlH<oGwWO%eX`kql?vnLF`S@n0T_uk5y|dS4tlz({^{chQnuD5OoEJat
zIhA3uQfu{>Yr$7VG+!uf2~kWcJpM0NIjcW2d1txKBH1r7r(Pet+j;(6)+yyQ#S8z-
zoPM^)OfEgRT}wf^;E}*ghkqOy@uHknaf>5OpUn_?ui7EPxptvjj@gono8``}JGA}Y
z#bn2iB~xFx&UEG0+Q_>x%B)(y{!yetsmqNAl6&v|&J)l5JA3~F>zfJ|c^oEIkKT9u
zlX>J6|Lf%Ai>wbM`+p={tjapMJ-Fg(S6}cy^<UPDs}`O5EP2zYyWjY-dRCz2bu;1c
zpA!o%a}>_d;MrKaQNGsJ@PnkmpB&YP8&B`wbvyX!YtBO5lTt@cpZWVSXcqrs`T4ea
zUyXmsNq=oi6ghUgJmc}Pw)ORkRM)>h=D2FR8vo0m#y3}Wy7mZP+SC7(`_{L|7VY0W
z_wwdi?b&)zb!v*ijC@JmrR-k<)lPiq33<P6%a+Lx3uAPpww>QsuHo@>tKY4}wLurm
zx|cF{OTYcO=Ca{md({V0q47QcMASm7FRyZXnI`a^W5%A9=5t@~PFpmUt+sWR#XZ-r
ziW=L`<ZyBw?f>_}X5RUU(<}r-cB~G)%AP;r?wZ*le%{l+%%0e4G&g<v+KaWHMRj(Z
zzMJ*BIBK5j@i%Gj&I>&ay_GGsD0~0M15+MEH*p<%eonFD!7gWk(6}9&rWu_G3R9A}
zT5;~sQp-bfN3REEbX(ne$yRfOLB`?n{%;vitSsC@&ncIga{OEU)9}k`S*wMN&O9Yg
z&q%$xb7t$qM3#ly3hdVMm>%cZXkIj3;7EGRUz3nGb8dbPs(<G+sYT)SisI8#u0*qI
z`MPMRoU3Q(`1S69cUI-0#2>0DOiEXHEW~d))qXiJ>5|vx#Pl<5+wRXeReaoKiKe`6
z>)QaIt>MNtN9&5#K0Eqz=||z`!ncys`18IkTRl@UbxW`1<A#Y>t@Lk~GQLq+#_ZMV
zRQpb4iow@{Wp95NtxaIRvwXddliKU4&F@dX^VumW@?OETB#F1rG(b`I+{R5_Wrx0g
z_j)s7>c#1HscU8IPVw8yotzQ2`t&vl;bT=7)E?=j{NcHGcyr3fDH+A=3%)deI%1+1
z`@-Q(%;r5je70@t+IIeyIG(U{vE|#D3qHDDnKJu7lkJ?ywC{bKRtfi7EcV?#`@w3B
zUwD2@nBR>My^i&dPGlLLUy$K2Ki|&cNd@mLMw@L<mRz6y+r3rF;mMlD#U5FA=DP7b
zT-KSt%<NmwTKg<L@7poHI*w~5ecNQ?Z7uQJbH+9a#%&g7-Q$liPVnoKu32g*^wo2c
zRLa^q%dN4@1^-q^FMs>s>}P|kPXczUttqqj4!IF!7PLZ}zcs7)*4&gAH>7?Qe$oDW
za+%Q75|O~Ce^=$EKB?%xGtu5E{`Z@;x`w?gOmCaby~W&NDst+%#+Ckn<xJl%9O7CP
z?{~H*Nd4rh$cXPY^K#4rzMs2(BVO36IBjEZHQ&yjQ|jl-ed^|`mHHl?KauNfkRWT;
z@9m+*epM@(ES4&o9_W+ZZxq#iefQxLlYVT7UHW&axsUwLk5gZnE1CQio0tFbx!L~n
zpKD&cp7cm5F7l#Y&7mzv)O+%Ha*Q8upE`5hf|_UPoXKXLFTU*QKkd7yw`9e?T+X$s
z7iMl=!*qGmFV{IWQDuR%ex9p*sJ%g3uS2R{=7QC(efb-OG-VA=H_7~|_{deUy{MtY
z=td61qxL7J>&}UJ8d*+0sQafN`CW-IcWx`2%ctVW`ubs)-bSPx-6Hckv{o+m9A9V7
z_8T4RG*`VzX4x8-u`xvTLy}O~{&@A@(;b~ZEpCr?Fs_q1^)2-Jlh}1<pB1m=zvg`2
z<hhPwUSz@Fy`e(Oc)ZR(Hsj!$q&G3w^jYORy$v5@AN&yI(4N@vI&F1p_?!o?D(6OW
z`krbL-@0OkZqK!)lbsR|_OrOz^WJkk!K{5)WzqT*uiD!;T)%o}!=f2q6tnzNS8`8I
zJXPtsxM;-=sW_#+J68kw74GE*R!w5qrL^l$a?s@HrE5hFEfr1=On$J@S;xVWg>lYq
zp^1w(`sA)-p0YJoL4x1$(wirb(s#Z6pO^Bc?Qge|&R64azjt0KwXXc9_i*aks27E`
zMSh0Amnq4bJPcSCo7bw&HzV;^*W}L(3r%}`6_=XX>m4?>uk8BPUwZwhJ!@a)q*q&X
z1v?(DTcy7uWQTOc71_JR<<HFaPq|q0)A^44iUXlvn%4>ai2lubXvLd)l_^^vJ&cJG
zE!y)xXIAP-^|FXbM)RvukNUrNIKy_WwkyJiWqRVqRVy~lkz_i*B1QQA`#+)ew`FHb
zuWEbUchBlg*rZv%+*j_~`}gDblHh$Y=OoQPUO6urn$}eEd-v0FKfV*0_YEh%TTxmk
zdRR7pY4hqm+a_ig-tO4+<Mu_)_6xVX#hz~Wos~K5cxKw4_B+$go=FR}`~CJ~Yu(<)
z`JDC}Gd*AAF5J&k(EX7)S^B7Nq<QhZ;%ep?=C{-4+N{34W%q@ew!^u?{dddlE<S1S
z*mqNY$DAdbU$BO@wy>EU_e_uRJFk5^{dlV9{=BdUey2RsKXYxZWZ0x}y0v5GG)`ZS
z>vJnjzRrnFH@WA(?WcyQnfrmLnwv!hcGi#8E>?II@XfW$+$K16MWb!frc~7lSIp-v
z+5F(h*}ZO#F^li1{|psrU7mSg@@97MYTpZYW^qKXZ?k?Zy|wG~J>U8Xr_b&?xuQ4H
zM6#)tQQ75}?p70>r0V0jPePwvdB^N1d}`^s_G2pA<;Sv<YB>*CefK<R|3B4`rzQVb
z=IQOHKkxCp`}UIh@!Rj72uSEne0lXa*Fh^mUXAeEt6s)_pBDBmTUtM6V|p=bu-#kF
zrEX0b%OwI$<>GHM#|Z6clKwI8xlF}Q&HfijDjHmd-<SLd*}azkp8|KQ&T2WQA8yT3
z(aJl-RExgdmooX<HsyEGTA}0mP6=#^-{gNU+U6bm^k7r!sxw9sd?f<)RbLKz&D?XL
zI`*`t@szD=Smk$~eVo2*nQw*i={JEp44%y`z0UpVjmA;4f3B(<Cb{v1O8zdd%r4=}
z3i<Ug^TbIJ_MlMiO8E_WH*K#xtk0YKtnE^e#nsONnv0t`jNY1WT(nDR-}$g@4ymFl
zy|<n0g10wV-jJM<VY;a2CGXBBC%#z4#%a&<eShJy%h6Z+o_^|N+OGQh;i4mo4aaR8
z4)v=q39r{%6ux-biJL*|Se!ZTcuBptzR>3uyE*vTd7}c=zTf&a4<_xuRpxxs_B!(m
z=6^4XZH(P{UjE73DO4A7>+G$+0VQ|m+ghHyX*uuCg#C}+$Y?wd-mz6>!cEt=AFgbe
zcemc**zQLgkFY#1w5qfHAwHFPFH`72wqiG4_Wy@d7x4%`m3#TDcE#N_t1pJ#7D%k?
z&{s3>TKlnX&OPD2_3lm2x%jJMpQ$FgDqfJ3SjEEPG{tjP&9pmv-~Y{(-N3wi>f)Q$
z`GzL30@uC2u`W0)`;kNB=B;W5hpE!Xk2EZ5xgc_+OUfuW)K6N7E7H`I&)=(UVb<w0
zJjMk{2io?W{>ChkGwanXEp}Cl;!w6u&3pUf-o4h`HR+4mk2fVBChvOGJAcWkkGD*g
zuq!!~T;KL&(OsSOnZ@^>TkrCkkzKgo;>~o|+JiIC#XPv(*U@6N?^NK4C->K<pWUjx
z<8*eV+}dqi+eAIzPf?w6c>mNRmTugN;uUS--<RGjyZ%fsL+I1w%ei~z9hh9%cWSE#
zd#dZ?3oGiLA7A>)d!63tHICj{jqjBYonHTiv7u37-HGj&c4{V?tlISDWZe~>m8%QS
zyi#IW%W&2>_3gh~$4mvDsawC>cg!!n`Q`1^nidgllEEK&cJp+uyJ}|Gu7AFLdW2TS
z_U(%A<f}uE8Na`I(eldUi(e!U*K`S~Y5%faw(XspRj@{^P?vg}XzvZjhiyhum-+J#
zZflF4>bhjLN90Du*;^_d`o8|&*WPaS-e!^M{eXk=Ka$oPH5>e0$u8#Zb-?1tv`~+*
z46EHCi_EuoFH7!cTk0BUx+7EiPtM~{>i756$3ArX#eeO?smDT|1uG5&cneF5ExW(r
z+s$jbT&LKi{~7BaOHE#0FL%!->Err+=Nfm*9jJT4%Jq(?#JA+%5r^nQjP;EDCzbDi
zzrBpdmQjyqK7;YuPv7E_^n-h4pFUl%@bbRq#Yzu-8%4iGZ}QR?>-0VnC{umv-3sS)
z<rDW~EPI!fTO}NN9T5LopiTb$m4)f@*G}#?|7tgP<-O^J#^DwncKj*!bAq}}JFarR
z+}&37-A3@R$#Ho-14mO<&1t7MnWyBdeOd8$pIAZl$}bY@H}u%(K0f_fFYqS&4xa>`
z9oe1R?JmqXdFHlO{=Cnp6>`hYyh}QfB*iZ(IDv7(&S!#a=3S{+_^<Ee`W;!ijpd6k
z?Edybhv`XWU|OkfT+B+rYq$7gZig=uFN^tE&~@nSVGV~5Hyg88PC96DcczVi!M{^G
z@Ba$^T5+gK>csNO^*2)#*8X~RyRU!4oyz4Ev-Cs5zl7O6^O3B4BD{+sc)eX__e#6E
zWoCCiq@|?UcU)f=S>UWC7?GE{e#@nM2Y<<yoSq|DXRi8>uY8)A*Cd02I+1^VuFWeP
zs%1W36H=R8A69$+#$107x9eLAA5ST{>s3){{o-0mo!<0?Q?<{8>vP}XaDQ~`s?fLA
zQ<Y4vjf+)+<`vdon9c8Y^r^<9<Y#;`rxtD!*vVuxDMIa3HXk$p-(vpsPQRcCxpoKX
z$6swbvcl)Ru#k=ydGPk7!2Q6RA3xpSbZlk*RdFHjxZte|L>$-ne_>eD#;|0?u^Msx
z<L`uiC+ewh6Mo&5w^nfB&$17lE*jfJIh>{EpW{)>*idmz^7`$aSNKC(7#IGDo$2L$
zz})iQ;s>nL=gR!Fd?pp;P^_QGWN7Mhv`<i(arxCYga7=`^@XMjZm`&&F6bCGA$0ZY
z-)98dYOYQ6?|XQ`y;t_2$?V>;Dc-k>RchCm>Hb>Z$Z|JvV$_?oz$iI;i@h9+0)JZk
z_OCmkweZH44T3_eP2RO1e)?C;rC9v=7umooHE%EWvTP2CNYi`os35qrGxB7Ih{eup
zuM)P*=<kqjH(y<}SG>~Cah+DvyS+k%f>*4zPnnk~5b5yc@#deR0iV}vpAFuYnZf6H
zZ{nJFA8*VERh3AcZM*Bl$>fFnDS^V5o%T3RGycg`XE5jW@!#p|#oi=2g)T9PF*CJ)
z#F;iRBt=!DXTzyC{1w0W&x&d{u$7qhsZ4E|@s9nU%Chyd_?C+-XWR29Tr&BNTHWsa
zTD_-R8MQyj+!VSJvtPO8-l^y#eFt;;J-jngx5W#5KHev=m;Z^FzQRHg{>F3P_n!W+
ztzA<oF`R{eE7Q!fHvLHxE~bj*tLSEbVvN2YxK?h?0;elVQxyZE&NkNFa-4LDds^w_
z=<mg|__-RI|Npw>JCh-Eqwv8GukJmyc(putPNkUe&1=(b;@;)1jLax#4u9))nDO56
z>x@Cm!aq6i@n0!54qbX7R(!ku67NpIsf?OcwayQ+Zj?AYFAAyt&+NK?a)hSq4Cx)&
zQ;toU7<cM#;J@y@QWa$^S@9x<>zNpziL+I-#TRrdwO`nqUT|SzW}T~7s;2$74Gc#b
z4{YMDo%HGNT7gt4Io_{A&#V07*7jPnnQVQN#OJi4yuLBjc&>gxVO@H`y_bf{{}iUD
zJA1k=;Juwwwn>yf^496=Cm-Zl9MQ1T|G>4eyv}Gx)7eSgKNAxZqw2pTeQ#`9-sy2u
zz4Pni4H}m#W1B9_J=vjt_TEwHhsO&N^wg?dYTnjdi|Eb##QE}mkb>x;ZwGE9n)mK-
zuju}GI)0VJmWi__Y+GH&)a8EF{<QYIzL==plbhE3n0oB^!Rv{d3k-MPv$V*HO*fhQ
zeD{^;HtqTk8@t*L9)A+b$Izwy@tGv6FY~=$g=K|Zt|shxb)^9-=P{JF$o2mBGkp_K
z;HA$p&3y{zw=>_$TluFq-|H7mIF|9m*Jba;h5v2$czsyX_2TmF4%e9_-*5cM)Tx^G
zcamb$8;&H+3x*<nrfP3*Z+@S&-O|_O`Edz*&HYV9LeE|wHLf|r^{HJ)!1~&SN%PgC
zJQbJRUT@6*^8B4y%uj+W|GZmc#^@*=eM@xps;g?kF@{;oZ!Db}JnygCmJRc^ZksYc
zOXB`J!9OqF+}T*}6Tbhkf9<5uBQuPJ1wxWJ&rDbrtKZeccik&*L-T|AJ9kg`vUJ<G
zUAJB?RMeR3=WAhJIZaRVl8ySAH!IW6lr_EgjOU%DVz`v)-IB@Im&+w_eS4yGa$P^)
zLz~*22l~5~87fu0Yvu@1==l0?>fGB*N4vx3eBQW9<MF3kmC>CSMK?~ku;rAt&&TX(
z^JR_s_EfjOkMfvt$$U+~`Yg%9nZG$+Y~uBHeEat3lTY;;>Xw>9Yc~a0q?>=Y+p$qd
z_}O0eXJ(&v3WViXuH7d2_or}-MYh@DJBMv#4NI5L%Rc9LU1@pFecjo$F(Lcgt|{G-
zk(r)4Qzec=vHLlP?y}r(vv&7e-25cV&i>|sX|`D02L^q?hb7G-$A3iE>Q0T~(9hc9
z?{Sr}#45L~OGePZ|J&n#_b*NG71>cM(Cc#9YVPjti`?&*?AxrI7SJ32DRqPM*QCca
z{m+v+RQ^q=J-+XwDdW0$pSg$5>pn`a^vzBP5V|XN{>9hJk-8Q$YOk!1S~2M=*Y<@q
z95qYIPN(g6QWej-x7;zQMSL}jp=$ik%ENQ7v<tZUH9E9Ntd88-V6EmXuy{TL>+_{6
zEY9BaQ{mqc^n`VRUB12D8S}kIiWe+#^tf`8wd)LnQns;F!OqOZjJEds?H%KNYZx3Q
zuj#}!thweIu<mV)OUR@Oo7&rr|HUewhD^vd{G+11ig~HQ(s1*de{Y{m%Xu?dvwG{f
zt}CCo%-2`PuKj1o_(uNzi9MXhcA9PVi|Mj4+VRRx&e4ALv<`_|r~P&{L`h%1cS^<V
zW&Wm<MTZalPVOt~+M>kdb~~2SYqn_9x5h&Uzr-9#y|C$i!Y@}&_x_#J<Fo!IRyq02
zPpv#L-*tcU3nu<&`+a$Jswdh0@(p}Yv92*XH(IZ5`mT(eU3}l<Qv=%#7+$vjw!Ukc
zGb`!;OCd9{SNSegU3QLb6Kc=3WWTAsC~D-QeD3$7h?VzO+|3fczwXt76J5;-abLsq
z(-%AGyU*HsxZmkl+o6ud^L|9<a-3S+@V;-qdi|YD=lIu$^I7<QZ2bG?;^KAv>%MwR
zADeknW$P`Ir%YLM*!+GfNxL6DHD|eoTJk-EnKxtl-d(L>F;cv^Y{d#;{i3<wy<NY#
z%J6o4KAZh)*YbyFH>c}9NRIpMajWgU-ltYcCWR?yuL^(Ae_ysitZUZ>^V1&-T_!dB
zc4U$h%sSa>uC(dWDxdi?z0|5zmb#o(Sbu&3|AK{z*Svf6*|Nh5i?!xWS*j%CvP46|
zbDHO!X<uUc9#2bLHAB+-PoL7H76m1ZhLnYyS370I7vx^ldgNn%!~20;^sI8f1zklC
zPQ+cfxufNE_Q%MT-~T#32w%u}n`3={Nc<Yf-Dzpw<zmk^UEN}P(nx!{!9s!A?<A&M
zY_;BOsg#qUsU~8tbZ4DXLd1*nHl4i(9@^G9z2o}3#n>YMz|7kXzeTSU1pLWvzn}6$
zrFU_cWy5by#qDm=VwWt!?_4tbyZk4o&PSJDvS;ROvM{JU#hJvXlbFAi#ib$T;{rxK
z(_<3r3$#zLUN)$0wqx2{s<yZ7Q;@n&9`n24ojU3d-rQv7DD>GFmwD#pB_8YCXI}G#
z-Y<}9U!wOs_t?dAZVhgiZf4E$dTArUlp?|~*Wmc-!o4q_tWa7RKV{X@`wImb_moXN
zSND`N?Ri(qCf4t7-kjqMS{A%yDaVcnMn1<L#T=Piu#2t4ORz|y@r3&2^}m+*cv#f6
zhTN6VGb*^BczDS~YbRc3zC#S@CTiL9?CWOe-#9lv<mKzH`!e4PpADUIU^znqd-vtp
zYd`2TKCt=6-4Z)@!ky`!Y_Z3h`4VN9KJ=O0rj@3t`|Q`A#U%?|r%kzWQ)$1+_lz4x
z(SK74f9psyyxVTEiLLf{mB8cYdM`phf4QUhyw~yO)-(4~mQIzwJ%huDvv@E2Rm1-^
z$L8CV=Fax@$YoCWCwZJBY?H%R&l{bKy8r(7PU@=i?%FQDZZ3O+_$%KZMg^_OGgp56
z=g`VO&!*Y<S>G~+wI=R&gF918HmrQPJCS3WQd4Q_@hqO-e_va^DU+Gx-^W?~|A_m>
z{x3Qak<Y@kzouSWCC3z~_V;~6DaWJgMuClUwq99s@k>FJKzY>f3$dl?mzDSz$sc0v
zv6+!E!Qq0>s})b~@4Fr^e(n0{2U)l8OSL^yX5#wsewn=J+m-H4<zYL2PcrIw?R~SW
zyg$StzG230gV3|H4?LARm><cwVw<Q&q0KIfW1C*^b`}L5&$c`F#M*byr<qrFoD8pT
z@?dZK{&?cDqJj&@t~gaoZTD8v**;fKefoE;Id_yM`vpB|Snc;nuk=w>q;>msTbq^+
z_wEfH?>94VWshL4=<$B?`uTz>Z1t9Fwygc0_10NiXw|$4(jP9_DBPdFW<Td2o#m!%
zsc~OfR6h%u&o~>D!Lc^=T~_R)Y2RL4-ox;{|LaV@<&HH)C9&40YxX|9qY{23`?>MP
zLg}v}<x4LWbujq5ZDJ3dQkj}J{hCp5Rl=HWGkQZG_+|gz%8)7Ezvi<3+-WZN99MTu
zKC)`XiPo<wy&)Ns)&8%Vxap{mrcmWMONW@r=OcWox>zObedYPLAN+Hc^LcvIqVRm*
z1<#*VFwHuWw&crxA=X#9{iiQp@|lq^{h5xj&g|`x53lv#Yf>|L7ubEUdU=&!vBu<I
z&K?X|();#pni=<7=k8i(=AO+5m!011@Vx2D$}87dwrsq6^Nf8-%DV||Z{!V6Zeoe;
z)Ge}0ePP!VHtG2^7yAu8xA`_5dUH_9i_6L9;JyecDOq#37e`#89Ahl3UKH(!xhKvT
z$<6UG-><$PJ6X2c^&hWEf$HmWO;+*az0Rdef1G2_`m)sV?6T^`f&njrYPc7aKAXMA
z)6lHC@AyTp1*;Q$Cv(X5$2P8fD9Isj=kjIiukI)9{O%WyJT1S{@yFKnPxjd>pVMWl
zrpK6VTl;#|-LNL#gI5_fTvzWX?Q?DC+_Wyzkl|3m+kmbb!LHzC3pPmkT<70XCnna{
zndUpc^R|@bOU18yPfdBS!u`(^uY}28;-}qy(sNVFds_DCC~?kLo88ne?6qRP^ZuOc
zvPI8=&*;b}sk`o5xBV9De7)9J8MVyQ1Zowd7w_e|vRnIL>iWRI`4=b7Yg1g1sbO;d
zO#bvOJ_+y3JYxG6o<7?-VP;9fIiE)oo4S^YTTVTHW5;pc6Dfxt>c8Qv3*9N)?qG4{
zp!!`y`8HYe1!tyeeBgfiqC9j?(Ng||u(+HL1>GW(j*Gh9UVKAhg~-;2=cn(S)#3PA
zc%hh*tQq$&@p87CeSg;dT(Ib4beF-RjK3Fth}>c+dGvb8Ci@$|&e|#c3TGE+t4Vxx
zY?gcFZvG1P(Ce4nneG<)#9S;}H)sAF*317nuAM(&oW5yON^;zevoq&?|5|^;DP2qY
zqm1i{b6elv%j+_17j$<v6k7V@mL1dixdnGZdwyyCkt_+DKFRo`y5Fy*S5nPi_wngV
zzp|S5MxN(|!Ty$GA%TCgnwLBLJ-NY%E$EP#ZR&%o>84UKes{LtyT80<+fk{v*QBHu
z7nCsme<*UR^wOyrC2d^ub*&oK+&pLSu=;Tm-+?WRwvQAK1{dCre(HYmN^W(h&whi~
zQHC6Ao;oQ+-ak;nXOL)msrT%<b9LIgjy1iJzNg4M^{<BM4)Z522Tm$KZdZ2j_I57e
zQNI1zP&eCWR^8G21<%Fn-bUUi{(AWAx4mInj-pppK5x3aVbVk0N~KI+<I{4yCpVqg
z?QrDgUh!#0+-E{_G`V+)El{}izbi|&;pB9c$8496FJ-&TKV#m(-XD#>9gDp#Zgo)W
zHv4qt?0Or?2YzcgCs@mtyfH8?-18)9*NvX{E#FxsG_}fgs?M&_Ix{QcmeiCNN80Sg
z{@EO<d|9~c-;Oe#PT}=}rF_AqI<HSL*?77$8m&7j^H#91PlSEO-ubUXUKBU@^=kHL
zJ$}#E<`f)KljnNoP=2goO%KPE7kB-9e<p3~(q3^aMKzd*>F5>fc$OWujA`}fnXI&b
z{rxfTUY|<EMB&vZISrDI&n?qCT4QMXCHb}LhSyWGK1sRmo6h(@-mrU8nSK1aj4u;S
zI6sSqnVgz2e|p-&>9Y>$hF!d+cIy6$N$N5WUQFBR=Djl|@7SdD%hk7^n|tnjwDE~>
z-8J!TY&RHoJP}NiXx))_fj_Y6tgAt{P)h!y)@rS^&c5ZHJ147~o%MY);VrjVy2ypk
zi{0{gGrvCT<9l4zFtL7Z?ALEEem(iG(eiwK6r1R!V@tlC)ZWl{_Uu8eqqhnQ_RGC2
zYFPe3FpsY(bg@XX&4rJp8Q;ZD3SOTZ+{v1ve=pg?RezemU&jYEh91GGYiDJf|MKTk
z`#E*}yh>dGMrCKcL=*kp&o<pi-Qv+yFloX~3BQSly#Fy6w|x5M<+$)s;oYON+*a>x
zIC^gK-kdqpdRuwt3l|?qcD$YB+B9>6@wKAj7k?z=jnbAbQxX4pi;Lr7-~T7#@0`8=
z<uZyjJ)dh5#;J1u=<(S*)h0wO-Mg?X;GNs_tRU@w?k)WDN+njsY}b0bI7z2eHR|>R
zqds3z4ehn(uAH-GC_J`e%e_Wz#gL866+357sY>H>Rx{tW?`YfwsT-@^3O#pQUc2cy
z?~g;w<C|Se9&i7rq;+Y<zNLCqOJg}LnE!nKeSC^gp11e^H>IaVzF9upY4`HOq1^C$
zr{_Ms9@3C2u(39?rPTOY(n{CW3LCEUEvk*Z_H^yGY{l<$3O8A@9{zCtl+gbbAp$u;
z7I&BboIA@`dZ+5v?1qYO70LTUMO`8V&X`PR_~FI7SAT|+iLR>-m*5#`VNcT|hXv#W
zKPP4K$sV76`4^kz)XTPOot%E&x~z4s$o)=n(Kgqk+j}^J4Gq=yAGZ(9eYv%L?);_k
zYh#^X9c_8iRiNN`U~84wM70+ep8lwj`!!o$V6X1W3wu^<ub9a+;dO_)>b|RmSrREH
z_f6pW_oiaw=hqF4r#EUR&nvm|*~anAw4DBAZ?z9Ma=%48<gcy1VfvDN)yZ$FCDyZ2
z`!xBle)wKf@A~b|!IJAH6_*uk-ZEAS9`x&2dLd#?pweu<GdH@rD{d%<L<*Fv?mPd~
zN?Q2$P0b&EwpWa}oO%0SWVOebJ;>hsYSxz<Y95a^CC$E4Ft;>W?p*Ho6s<eYwg0pB
zOm%)UC8r|W-jcCFsZd9LW%TWe_X2K(j#W-kM^--C=6y14*PnH>N<I|xTZ_3Th^M^S
z^#7^icKPS#OhRw$Z%R+ta-7NcXuX9u`yZXFk59d+k>>r^P<J=N^zwwDO<$*ekeof?
z>#GSHQ~o&LdA#mo(59q{i;n*v=l)6JNj=r@OIv@}`s`UNUahWNHg!(#uI=kp4fenN
z(=lhlvz*VbxJ#m2eyYxUGIjF}4V7QZd;6tZmiNW!`kp(&+U~H_Zh7Z9$Evf5)|1^`
z`}h`A`ky<=y7Q~-G?%OAOL;QnIg(oruD-u?bE=rJqu7Lt(w74lFLrYj{%kGnWw`Bt
z$=BQ0qWRvtEED^k)ahsMv-R4f?TWGN`D;3Mu8ItsydZ1)ds*+<1?CH$dwo!y$XKWK
z=ZDt&>U6aQMoq@<D`fvpG&3zvd6rQb-6pp_*o^%}7pM9|<C1D@hj$oTni$+TUZAlh
z^A_Kkk4fU5lh5|1<ae%=<I}2Ft2mK$A$Udh)3EZL+S(d+*P9JiA53!mtHiYSyPW&1
z0<V)FSYxln&YNH!s_!HF?zpe#nPa;({H|H0ynB01vgvG-^%7ym7aL-3&T_l)@%=0h
zn^lr*$BMU@8eMY@-}H=+Z|?v3?h?OVi_iJ7xKruvp@#N*_h!tJU!`J{88>B)j}u>7
zt8(h4B}OawKjc`Q<}7_7(IebBFY?hD#nK&zHU2j({17qwiEG@VL#wOpbX=-@ciudn
zb>Nln+S>n0^Da4goc<*5KRveX<EohkuHM<=E$lb4K1m+qZ~XG~NVVgxJvK#mt~=j(
zUv9LxqQ>mig|hj=&rUZgC;T$xnV@L#>)ok2(^O)mJU)fI&|MN++N*BlHe+#B$C+N~
z`t<w@DQiM6mK?t>byFfx)b!znDUzQ|+?_Xy6|bv~@?OgDfi)!H_L2IP_aA6{e4Kkx
z|970I(dIv?u8#UzRt*1ZA9*QfPOzVCn)2Px_v-Txs!9tD)ec!+GJbz;-^ug~I(D*N
zcfN!R1Yi3Vzi3K2Z}@(_Nf};eggAAdDea1#Ie+Q9U6=nE2gV-!e@p+u5qZXqdn=`%
zX<onIxXjk;sJ>t4_m$m^(|%k%FhQuYt?-0Fu7-1CXYLYimB~?2+a!Y{%-flr?|8;K
zAHIEQ;j%+P=8<2oUDR?6U2Cy&ww34CQy1@)F&V6t*~wYM{o&vh-I%(?z16}(+yUZZ
zty8A^GX6RKwq{$!_wCshp6_;R@0C4Lkr6rhwbkFr-OO8F^u!1;F5mxbJI~r_6Q0cZ
z7i~8^Q=a>(=TE2X%{kM~@Lk=^wCC{5&6ZrA30u#`>Tl!f7GvnYbEqs|?9YSK3<cMc
zR#cj-xF^zlPG9we{SR?B@wbz;XMde3)p9g;^`z&=t~zXeeRlCHt63YwBc}FomNpqK
zSkydmQ33nO&U?Q<>{|4y?A6_bulWUBy?(m`^S>^3XPV!!VE#;7ruzqv+c13DU*;ip
zbo&p@=-xey#(WH_e3ddz4r_U4T)h5kmUl9j%YLr&o1<@?lMvi=^;hQY;NGL6hW_U=
z_W6FxPiVH8aX{jV#p?+EsopP2j|-F@@y>|pDK09^WmsgbZL)kqw(=E={`HEUB{2b+
zKVIGYx_<l9z)dS=yCk&<PhHoTsDCH^=)w3P+4h^YYrhmkF}~N?ojO@;Sq9&9pPI?)
zhbEbnYgpJ+)XiRSX!^XlC!P3zN-v9>Y*pKTsNr+4v0G=MxbSvmg}se^0X~dv*Ev2n
zSAO#56Mx$HdR@=f4*vp+N@n*>Zy)jgOh}x0bk$ntog6R4_P?}Y`&|8Z2E(c-%>qxB
zol~aq-Mu(##RSjaA^j<id4^YhC3>`3&ray&Z)&fMyHr^g+`@CszOQE!OVSz{lf*5l
zKYZMk>rT|Ry_@m+(v@Es`}%fI+10uB&?2u1UuG>dK7XIl;nO<FOzW>x|L0GcCE7lH
z`8k2_)~gByV*>*66!%<rdYHRz_pSRO=EiPo!rZzVcL~T%I;h4W#iXmuxa*kWB)6_Y
zp`5NccKR<{Ry>*Eb@obk$LD^l1=o+PEdFTzl$WVi!D~|8hm$Jp0rh4E=k%)${g2#f
zEjqks`QF!`m)&u^xMm_(GFRqn#hu@?4xXLpbN(h@nir?d*7jvNL7Zz>zZKld>Juwj
zRCUGj&%%?&?*G-!3$V|<+T%9Sp8eGVri^#z^;8a?)?FKRGWw@eaYo};-j7#`I@1L&
z9RK4SH|_j_v+p07P2KOrXthpdgYOx2K5mxjb&HK{TYch`-{s#t_3gmrjO;@fHglcN
zGd5Hecenl3;S_tMKCn(*uIFo$^?c*fxc{%^^!lF5UD)@))|U6+`pNenDs}x5F@LfA
zsr+L(N3p-JZY=m^Xr0enn>p`7!-JRFu9u&ByOvEg`fs#R@P5LL#)Ge2Rto6-t$1`O
z>CNR;Kc?My8J(%V%2qqRtlHtO>AgqqN)z3-cZ;yArFBPeEx8x=Y@YPCl}p1G+|RN5
zZFldA+m3tb7e4k)J?&#0Vzb2RFZ-H9cN96kl@)Y3dfdC?)=@Fd>wA~v`kULnI6o1e
z^8d|ox9mH5n>;tG?u`7|yO4bf^TYV4+j?iR&LvE{Q@A6rLC5-Zz)b!nY+jo6t9%Nt
z$!Z?l$Ft7i+P}v3I&Ky9wmOc#YrjriBJx=9c;}o{rV8yPZ>wME%9g(g@-M!7QOd4o
zaRc|<y3$h>%ePq9GfA0*SASMtuKW7qrTtl3++Ip@&n#s6rY~vWfB#3%NyCYYU+fYn
z+r2}rx|_FzxwVRkuQw`v#|DpUYws|gUp3Pp@sfZs>pV}T)jKvOOFeLI^z_(qYJ%WX
z@$3ug3!kKJej3TUw>fIE!8Va=%YLr7@cu&W=VgBjKhHVyEXTfVX%2U>_~fE(XV-_i
zvwljtzkC<>>O@YDO_>fA(@%X5&{CS$@96k-VU_&#xswj$3R}-UX#LP=Plxn+=_1B8
zdWYtl%*b`(UDvpB(&~rRF8539neA1A?3*WPU5g4h^v+9JdA3~Z%s8*J`g?Xx)tNP)
zm1}90ft8`buA=0ex$KUu@19i8YyF(RAetk@ZBuB8&sV|xg*E?Y&42!8V!^`ciP=>-
zXR~j8nl^dAkxg^XG5)I+rn_sz3IzYe$j(uI<^OGa%&CRX46mm4eKi)ybCWrG<FP?c
zVSmTN`Lmy;y>Ih<z9j2s=+rALyUwk=k;B;UnY6Ux_44P1RVAywe9YvScwFwNy7uJm
zb274K;d>QVZ~IZafWhIQ{}K1+%cK%7zFBnTY74Kc;Axd#t@E0@SR*&@dYH1!?03tl
z-FKw#zCL_svBX6g!9AC6RB+e5?!9t(ij>HD-&dJGE{RMKkNKVTWJbrff02r7V*V?x
zDOor9z`xXmkA5{iPcq<ZF}}5M{dA$5DZJNDedOM(B(gI3{S+ZH22}|yss5mA=~L}|
z9tnmu|Jfk-;n#f+k82;+^2_&L^vUatjObT*Rld;i!Wk8w(~|C6lUJLwi&h@G)$yw8
z@yF!#e6KhX_kL=)#cOX`vT?p&)08gWU)tKA!mQOcbgxdo>%QA&6Mq-ah1bFvTB_OI
zH+~57&C8RuQ=K{MYZccEhTU!by%F!Ht@|PK@dvBggCLJNbHB0P=b5>v&#`o&c;Lr2
zt^2c{GzR`zu=Yu{*@WQ2dCF2=H_HyXR;6?OVRB|lzNhzj=^GOV<80H!lY3@*mK^@6
z^!L>@#=i1b%RhBCCZ5)BGClvGue|@<<?D=*$CtlU|HQTJaF&g`ZoBZ!IX<@GPyRM^
z&w9LvIjqj}66+2|@dz99+bS;KY&exSw5?QX<+%9TZu9h<0zKuZn=Vt&yl^;~BPv*L
zCADGyD^J^N^OIC!1Vk&1Ta_bbr5{*tvZ+JYIp)&T(`ttz_^Kv*{Z78FAQWh>B(=F*
zBQ<N~&7`vB{AG_kC+c6(d9pV|xVr2_tpUr2wMpKqoH-o(FGlU(_&(e{u-QsyZRGsA
zEqNVvQKBB|uYyey55@AoKCq#eEvE3j9rwhv&$l1?A3XkT*NVyBk7sM0@)mf0j&Xi;
zW$8{al?xt!vequU_DTG+^b%g3n=+f*xhFrqyVPHKlVo9K7^h~b@Vm8+FM@fWBpfmO
zs%aDR@$S5BKO!0QZv^fSiof|kN>E8-ulGKwCVRab-I89XUO$kZWpSuYmglt9vXVc!
zYdBThk}cXZ=H2?NV^^VT`>Wiw^!QEZy^l@a|M>M@Oyc~WrrBM8C)PH#dQIbSdb@1j
zv<=<YrwLbkz9^FZtMWl$dG_Tyced)?x_h62J@np3E^B7(t%kc&rmV{Akk_``CcOJs
z{p`Pe5?f5%5@!CsA|IAJ<74ftjT08U_$QXIx#Gsht&3MZtV$JBzsl>m`VZU1#JUqa
zJB-tJGF(Yx?zS?C7R{Sc#yjotE1?5h6H|1=K0cdz^UeWp2G4^Y_A|YuwyE6P?PkoT
zt2rs#$bPT$_4a%3mKsHrDJ2$~dheEqEWc*<^46tek^75Q2xJ%Du=re}q&<;$%7aZ^
zp@IwjPhE7(o6ch!B5++%#K6o@Qg&z1Twk$RH8%VD?+KD+Wq0p=Y1k;Wc~*7i!>5;i
zEEmi=J8#;ORcZ-qOt)n$eBp1RmZd0QarB|V?<tpa_jF{uyY;rB;7{zaS+h4D`LNFI
zyWWG@ENh&Oe41!^(|GNrgnJ(v=dsK3e*d6qy>>x9<3UZEZ3mA8oD7O-5{OV)r+ZyU
z{@Txb9r;_AE!^d0``;=<W1g;e6RX1VH|ypd;O~9+f7Um}kL7Q288{`X9xeI4s!D@L
zVqIL=yMrk^FP}($#9$+O_j0XHpizIz@$A2EO8>|1a+kl<yVR-acCD<Q<Mh`*-An3<
zrFSy!7G8Bk;zRcQ;Lj#|!}o}&v71+B)O=AqA>&fGZpxa}o&VNv;hw%~{*xTPpDdR|
zw#Qh_;!?3suwGVDRPesbndQmp-iT%E-d^@S!er1Wr4jj8erD}X(aN{?rGDEe&SH4+
z;m%V-mfLLagOBo6=~fu*PTrcjAdBTJi{=%6R~M}{4O4$^4*MY?y5)n!pU^x}8H1gd
z8~<uX#HKAZ=aja8rTfa)xn5<Kozc%-C56h@-!46WphjngW{+{ttG9Vum3wB}cPIHD
zc(~l^hn$RlLYw|m<(Q(21&80pNoZdGx~V|#@Uy*hGgw{I@)W16|9_yA^|5ur;Ui(s
z^>)mi)mVF6lQrExv~$N7)yEq$*ZsB)4{F`lE0-SE9C`bGNksjDqtfyUx=tr%FBDL>
z|7~i?b?5vwebba>?%J$>V;@HCy0-4(x7SQQ*MAqLNSc^#t_<@2q$t{uDLaSp2iGE5
zzjmP+8V6l}9sKrJ>SU8p5@Uu)=Uy`jEe+}9yN9o?NX)7^_-cm4%_++-Zf;@ZXLRO_
zT(Pk?Hqj(Fb3O05mWpJzbWX$7f7N0_PSn+J?st9uW*3u`gPnK;!`4$ho5W5X?%m-y
zFXze4X;wiA9};2}Z|?Y&8tQf9%&|RYLdT8vEYT~q@xRZ;aAygtq1(iy=Xa;{zIgaM
zQo~4P!tE8_VYLQ#^zyd9XWQVlXY=A`5*co3W&GErS8siBOIB}>?aqfElmACWa;<pk
zag6QOE7v=ZLWJg~PWEIpNGc6BXI!8;k3%`__-wbp43_P3TQ&>Ni4N#J&y&5>xg;jJ
zbEC|;eZHHo&Ai#s_;;c9cB{U)3C_YtS--9IoGiDF@%+}l<kLr|*o*h`eDb)g`}l#q
ztUGh3d|8^&)yng8C9KbJ)#@C3&QrKec;g$Lmv7#?pDOwmSs`@nR>EOl&dN>l?Zs|M
z(guYdlctNu_~f_+J?#Cr$5=!^qh}t2&0;%o@!dI|%o_2X`g`{=F0e4)9-Fwl#bfu=
z!yI-l3z*A3y*$0jkK0#mW5T6B8~r9_hHTY1dw(sTN$gFzwndV+TxWzPGar~aMO;?(
z&tW6~l!ia+#Z`A?-YEDpQ8C`bMt=Py!(YF2PbSO1{M&uXDfjuyuDgck81lZat9jGr
zd411$*PnkkzvBP)t+YSl<IVs*<J%UTZ?2^*NxoRtw6)1(+cu{2=h;%S^gBD2{Xfnm
zH#<narT-M$I$Mc3r!%f_T;Z~`S6s+6jc2`ADqFwOZkwqVFXYz$ejmGfrDo!z?V-j`
z(~Gj?4!XBUL`3ox-c9=S?dY?kqUPP&@)vHenE3n6Ji92*<##;;dS=zE^nM+>$=NkQ
ztGaTpTD)#yx$TzJgA3#iFHxDZxY;zZakGPapg=PV^P?XPRkH<?H?H<QFZAt&?1G4k
zyE~Vr%zbVf-oW_y_a3hjp}E4n59TxGrf~cUem-fU-J%8otycGW4wJ3-3GZ-;dnR7I
zB=CB0YkYqB<*pwx#V0OrK5V?$_Uz}o{_{$<tu5icaAZTD-J)~cO3_LaUvj;$xV2@$
zvL!tpR-4buuD`r!-SJ7kOr+l2So%Ho+J7J2XCA4uvby$kS03Xi-Nd(FsX<xsfS-ZC
z$?t`E2@BUBd~0!k=k{fjTm;YkxxajWWLDI)FM8i=k|&kO9gj<U(#QVQFLQTXi@3@L
zCZ_`3wQnz7kn@@S@aj?f*MU1%TIh&AYj<56DwyS$aeAia_R@PSD>gn`$RxQkcdbub
zs>W+wt6hgY-!5(Poe)#KIYHRO=Ah7ON9`xVdx}dA*0|<7><l#DDY>V3lHSs1XE(lo
zdoOg_H&rgd{nMN)6w2dQ>b>jK%~}~)Tj#=d{;haG0dwJ*$tIR-try!qh+m(Z`%^3=
z<%czE3U5W)%8S!2dAi#hSfBpLX8pKxcSrqvsXrg@icMRPdh6Pjo(Avy><e`}+b(F=
zM|sXZ`Apm-HT;L&e1}I<Qw`)8-S=KnkSgUYmWp`1gx{gM&}x^R_ea-DT&Jx!O|MY&
z42jFWdgA)3fcM`x)V4qLyX%_Gr@!}yN6X=06DdbSmPd16-Tv-T!rLC@y5Of+dCzJ0
z?x&fK>&_qXe!2MfgLosE{}y|nADovi^YrkO9bN~Lgf0J0p8LPpp=WyJf=pMJ10pS7
z=1R?;oGn{hvccVTVT=9zZMzv%O^(02KX2D|_4${B!=8w3wCoK(H?>TB_0=7+8#-2O
zewn?Z?aFV#Ri`-@#M{LAh?nd>eP`wWAhRROEvinL>_1ZR(ItHES$nO0(*;A0%}rOU
zFl%c!&G}N8{{Exj&9|01_2-->-sXOF;DZ69rhfa()lR3+_HYMwALDuU!OzusgO;(G
z<@BTaJ5}8E`rLz8axO8DQeS!O_Q3<XyUmUSMPAyUrDFG@@7RYI%QoL^KeXLQuggrV
z-Dyj-ng3Mb&2rVBSiaskc9H$B$dxslTV{NYUnF|+^0XJfQ>7JOq+Qs3+q>3NHEH%O
zwp$xCWXmH@i#@T{RMpPhQ9Om+xl{Y^M!mClpViGgI>kuKKt0TI!j{Ty2N^FL8Xr<r
z2|YGf%WiV0-t!wu`L4p%$qC==e-y7cD8c%8`*qvfci+!Y^ZE9F@|D1t_wvPQvlk@?
zEGVD%ZOfF&m64lN%M6t7BtF}_@8pAf>s02&oEG2evob7CWu~ua-dyEai|Nh&A<<mH
zO~pNiZ$z(&+L#zWdiLtl{DLf=sSkLRxR0D}zG@^Wz_{Xx#96ze_a|@qW)rBXzI{)P
z*R~7$%-62Y`gL~ynq3Sj534kmrq9d&ePD-*<%10qtHS-y8#^}W_)Aurt@G-A^*1Cj
zNniVbxz$S6*67@jHE$MhZhChkY37L?+U^gP9rs_m^5|-&U0?3u=;e05bVR4hmD`qH
zIAbfF^L^PvmtVUDo0eA=7rIO;$_@X={QP9Hl0=(Drn1573J-<T4ILj-#B+8P9hsDQ
z)kye4q+m<E<LwvuBF$W1>n2Nm{d9ig;^Wclv%>PW^D#V(f6UUX=`~62;G?L|Pd=5+
z5UJ8!EBa3L@XR^lRts&FYwI1pr>>m-<g>*tkqeoJqLXBfJaw8q^;I9wVNS_g&B|Ji
zyq7kM)mzrj;|$-v<hjf1=n|RLS57GXxW1Q9Yp-6Ff#a5bX=ce2#n;{};aj?=(CuR~
z5AXKL4~o~m*=jgR_mg><c1-l~ptn+5FW;Ql|E^_!^MkntN8Zo!wON-VRN&acl9YWc
zj4!ff=ECZUNAg-_!ri_b*6ZFce8~1V+9x6R>9vg(|Mq^2y?0_m-c*I|#x;g{=E=Rk
zc|xVwx@5nsdKMybtI*K>-LKRI=R&`QJa#MX<cl#n^rmE*{_<l_I=q;qmzdkG%~t;B
z>i+g<R>ZGwU94Lha<)F5xTsx=WokFW;-f;37xw@8mU1yLCUSw=o#dzw2dX~T*}W8<
zJX`Be*JO>L1@HVGZV2a@_j=*olw$%B0W~ux_c=3~N=wJ~{yrdiVqxFar-2t0?O8)P
zdyUMw&5UpR`95Eq_hasYr8gFC2%efZO)=irbDc}qXOr0r?ce4k9ar)fQdxelRjcly
z)`ugvz6ftv&UiWb*}dbb3s0?ZO?In%y6D0qZD#-K<vMHcu8vS&aJflO=Z^J!#keO2
zgm$ZKs5|SzJ?G4~?$pP3GcRdvni3)z(Co=lyeVN%oHzf@SM2Y$DqW6xq$zcd%f%+Y
z%e>~p|FW9nU&?0xN>-Vzt1NKlwlRZ_(5(yigm)-R-Iv|)D>r$~Y>nUru}AGDoC^Oe
zd*W5xW$CILK{>(y)h2J4&v0Qq<DLMn$#p$VYuMYr<!$qew&<v9)%rYRQES}9ueU`)
z*47FaH#VMFGodeP-A)ga=oRyCKbg9Ce*71vstHqG`P=H1`(5&|Fq-HuJV*ONU2oKm
z`Hgwpl{32DP4J&zwq?V{g@-lWCtF1e&V0G>$cF5IHIoGIHXjy~ky!Eeph$$$jt!o*
zXM+CJDr;Q17AWjkf5fIFYN4xTagx>4soE84DZg*~yUu<&`*`MK=eIHG$5f>FB#Y{!
zZvU}WGfVvY!1Bs%PS*DIm9_ua<QQ)4*N=JiFkQra&T*AQSH;Xz#jpOaU+=zSl8Ae7
z)CSGPFVoc4@87wtJAKEa=!CtWV-D>T-xYWEOq+S}@zZNnQ-V1D@JKA!H~Umb)zfWH
zV*h{o@LEE~ed+AuYrM^uSI%}j$^PJk@0P34MU8B89sNobXTCZgbF7Y`*1lw00+-!X
zuGq~Eee$P$LVvZztd`efD5^Ppi!Eucxy0@u-S1)!HtTAaZ4J~nX#CNr`75Z)YtOTJ
z$#n;84rB&6$W^5!t#Vh_-_aNSxx$w9N0RLwlP8w3jeR`2X7(QZ^Yn|BEDlZ1zn{))
z@PKy}bKtS6sB3<O6a8Ga_Wvk+lsNOUe!%<v9iNolR{E$FOUL}&8lq4o)wR=hTRiWH
z<vqoR4)eXLVk=B~y_bcrpI`Vx)53r2E<VeCyIQ7Yn#MF6ee<iI6FdVGzuB5RJ>*<|
zzRYyK&)rk!JC^%$7B(53x6aUXELb~f-gKwJ{BxJ0JQwYjYhToG<(INZ^_D&BEZOFt
zUT(g4r}E_OC-u*taSC-{+NQDc`wd=qXWxvL7g8)KTSWqt;x0Yfx@fQFlOAbR9i3@;
zFBxz4HqL+a%>2Ps!}K?iEG$w5p0B41pDmesQDF9>X?HI0D*nvYNqt?KxFC&h|Kpdl
z0%fnf)$--avN|Sx%c@W{O=Q{3RCdKg!)3F}7D}uuTM=6%p5?l2cA3NfNA0(NT={iq
zdqLt`miz|k;v?H^&$Xy8QTyX_SN-9=(=V=h$0#{foJi$PJiYaJUTT;Kqf%s{y7qx1
z)2vPG{@fLi|J=E+&5id-{y$&d#oW9%f+QbJ**^K^LCudwAKcWEZ%A;;^89b_v8b|M
z$8ny0v(Jg8pHK1s(bBrV=18H9NiOUBe_A^8J}kbL^^13LXt_#j?%EKsOFmw`;*ZN8
zGcK>bSQ=t5hhy>oH|Yz%^CncCy_wg>w0wd<!gpiS53NmF-p*%JuAF&z@$Ay&pR2$8
z_xkcop2IY_BL6^Y-R>9W)9-lPpZ@=-sq5rB+RvY{UN6&eS#|cphUA9kUy?#z=07`C
zr99(TTCY$#-9IbznTdW>)lFt*M)@h$%Is5pe6|#x$omt%an0_CHLH4;q|R6oI8C|0
zTyo>>su_Y2s(gR=d3<Fy@^ATapJ7^vG5ev@`U@p?eRyWN|KP>S`)<_{8+ZP%sVY^~
zXOBK=$8Fp*$H?O5{RhAI*fm$C=58y^?|LR<<-p-rD-^?W>fROk$Fm=(sXku9?XZ5X
zczyEgzY!tb+xD_?)&1t@`;llHw$p31Oh{q1<ivn&N~a&O-AhR=FFbMNbmi<c?-vih
zHgL@F{<kP0Hsx`N>g=>N`_{?@IW?xO=&C<qa8G^a&YEAxo&GH;>HHS`v{iAI_T@)U
zolLUk@s(|fxOTj`apjyI$)y+HXI+W-`|??e(bqtW&crnn9(I3Tz2NWV_S?7E{xYqx
z6zQ=1KE3@`@v&9!U#$Gi*UDXIXOOnUM1EKH<<zHcMXus`KOZfu(J#_&Im7Yvz^^Sy
zUysy=95MN-P-nWQV{&A|hW{^4q&n!`wcu6zI(wOdm4)!3W8d~RUR!9Vb8xj7`%U53
z6>k^+jr?2d6Sw7}bHb98fc@3|hyVBqo{sqS>CG9Yqn8UFzKYxJ^*%)UmGuO6Up?K6
z?_JOT<~rrDNau`!T~4>){ug^EOcn6c5$j>Q@VmjpN^8@HnFrLRZ&WBP5m$a~nzF4c
zXh*s2!9a(zV*SS!@!q$dB37{5VB2eFzgCeCo~E-d$Vu-o<h*40YU!e<>P>8Src4W(
z@Nphjb-H89UDkca-*ecP^ay=x4XF94ty?;!Fe2=TN{Qzup?|XiTqo%D&J5yvcI?!_
z_!&oMd3LPraNTQt(@R|M!Nbq%lkc5<wPXIC$kRI>J(QX!-NC(W+R2v(>$~UAIDg@Z
z_A<TA_jF5xcVAlR#eQ1%-KyOmPe-d?{yRT^`SPbqp@rg^Y2P>g(6Q<5c#=DpsWv^R
zDIv#0IWYV|=<E1N@6Fe1>i+oMa^k4{lh+HAt*>0!J<Y@Hyp2xv9EE?|g<83{tk3*>
zW_Ot%OW()z_ioz)y7s)z*`<Dd<?@D$LP5!)Ys(C3o!;pjo#E7ZVy|c5$+ydO8u@>`
z?%--_ym4Zh$8zOs2U`n61$kX(h_+_kmzdDY>ACKz#o99g)yoeZF`ZFX)FI!L_Hi3~
z!o$Y99IyKuzkRWN@FLSa(do;?d91p#9`1Wo{m)yi%07Dbv!k&${P>qJYM=5v^j81r
zvTw^C1q#<H-um^Tr#9-vxjp>J7B(j|!<>I|wHa2*)n_`FH#SdTse2^+w)CpXOivAg
z+iOo1Tc5X`D}1O$;`D~>^Pv{=HZq=lQgGy0<3$^diBB_njTR_wHaSsaGvna#bBzaA
zWHU7%x)PqDGSjhW>hwo<UR~x??z-Yu<5}5Vxbt>Z^jgDTQt!nlY(F+LyFJfqea`+V
zn^(TK<6ClLQtGGEdvk@(rV9tIHA{5sE)FV=J1+PvZ(peJ>*;(|KUpp=S?zjkv0$<L
zy-n5@&xO`qI=Qum?M+k;pRYuVF|QQ=_K>}|)6M67`Ssalzt7gUhn23eE-lp8@%-;t
zfAw@q+DFZ^ThDMVd@}h<QhF0Ruj%3mQ4XK&nRt71#2X&iE;C(VVPezsKC{tHW77K1
zjA2{u#hf{#$MELt7ZZ!mS!;#fS52*~aV#xR5H+8E{r6w%!-?Ds5(c~X3Ky;YxKZzk
zN|g7z-w~hPmy0d9Bx-c`>8b;%zTa3Ia(Alw&tO@we$qFl`{`;ezkFxfMEgna@Ok+E
z+{4)+b9M<|5xjl1=*T0EP3`YETzHv_9OBf%W4KwrDn5-CY_!)Yd+}CnNAHZ#s~Z<q
zcerVYT<AVgHJw4s;pKj2b7RYER=V+9W*lERX$RxWzrPYS6B}n5zOG!)Rjm42g6;HW
zW2X4L8J;aK&L66GoS_iu^kReIi#2cgZh!Eyo$9Wrzs-HGR`aBHE#{{8CR_bw5sJBx
z`b??k$=;WlPo>3w=-GHQcKp!2d*WMl#-+#4B_F!J`R&0q+0LU!?Zwv}@gBdgM;gu9
z!}5J@ef8Ci9~CC<JKGR!5UnH>vU&2pcRHu;7@KD6-?M%!usyiyWoyt@1;_f;Tn;ny
zHny!}+<j`X*wmLAx*F|g6~EoenZ@j6vneLB;6l}3#iiFbw)FM{T$_5kMPQ<i+oYuv
z*3|19zdV1dRPBGJlvR_a=cLKpU;o;!q^VHv#K#SXvo9C5u6rESE>K`|$5=;n^#tqB
zhdfzkU(C9x_G#U|lh=1FXRdBkUzqUfMZ0(K?cljn&wS+D_3;Wv)|qWhz23cNZ9BET
zT-~dzvOl-)&@wijM;Vuv$(yIFO$ol&tJAX7Id#&(rCT5W_!j?Ur~I~sFN~dyo`~2~
zD#^Xw-u_D2vHO6@M1`t}zh0Fn#LPF8IzRJSTYpmWvMqZjWxI=qWPaH5O{UR`eeog9
zKyBf~i=yY*Ei^yYIIexUTIq1k>a1OC-`5;{Uu(k{{JPAyBddS=joac&r_7o3wNJDB
z(3dvW3x+4;_oUuP*Iu%p<KvOXi;vHol={HnhvaSj@79JdMfb?f%dEK~`K78@Xo9D#
z=G&m>R~N4FsOowec__}#c1N6yRnXjDMk`HSrXN@N`zr20f{n1_;??)fSY_te#Juk@
z&G29U*U(s`s=D1Ly4-g2t!jqHC%3ayRo_kBz2Pah_fd}Wxq<6aN++B9?yOieqhX@t
zQ{7wdu9URaswmy<&#*rDl-GCFevb*d90AjOUieP^;I8H+-#fEz&OI%`zgxfVEG${3
z)W0y*hTA*0Dt{yE_NTW_wN)^C&p2Zd%eknAule6e)yy9oznm2oX%7}R(+>8Tbw<5v
zz1MZt;yjt)e-rz^hO*4KHDCGN!L%65&F`*A&ZrVqtYJ7Rn0e2mAR$*t)<kAWf-|$=
z{4OzpC4DWT8<tfpsj<JpQ?Qv={>jSBMT~R30^c#HAF$keOn#2jj#E9^Y@daXTW<;P
zTID=3a8JvM=<H_Kh3saPo8_+j)9k6Zk<~Wmoo{~AkEyS(o$}IYeE-$C_SI|M8<y*I
zzZ5!6TlHa2k{;I_bLm~lSLIs2Cv$vUBdVdD^)&Y5>;(R#nt$7-aNILHe&A5Uk$R86
zeTR>o=VVHA&e-VuYJUD>3-4liR{5Xzn3&kLZt$GDe|$1mc<t-!)meXgE^mI=#90}1
z*P10|UTv`Fv1>(LswPLQKh-%lRTup%{Pf3k_8*O1?!7zHy7=xM6VLc){vl~;!*uQ$
zCte<nnz{WbpZ6B#hkbPwKYjl!Rc+E=rxEeH<VVGeFF#V%pB4T%#pqSLCcUI2_utnu
z2PfNwAGsra>C~md9jE4RJ0~-f#jLuZ(sO%w@X^f~dfZVy-&FRqG#-v@RyeSA!<j8|
z)74+@7dabL@0MFP+iTJ@zG*)s{zfn3W&W){MMSq}kJWjf9rL~(WoN2-U3|qTVoTc=
z=}^_ICcDYgmLA=^Ryx!A;>W@&<2W~^jpcP)t2Z8fp(6IT+x$U9W}?bxm-@rYb2`lb
zKZ@X;aqHnrkD1AT8Wz5t&gZjj{qIlrw!L5Ar{CYk_I}~3c%4NDY}Wi@iJrN3U5J6N
zu&@h%=6cpg*S)fW(pP#iI$e+D_}kZb^zcKqZ{_<>lpikI@qoRi@_py0*E6-db{Kr>
zR8Y~}9^_al^EaVF@`GUni<E5A*EmL=E9&#6r2lMv`qufxx%*;O+FrA3s+EevZnZzZ
zW%l`pa<}Qry0#kYWXmtpd5_#&)jYjs`aajcS;uDVyx=Y+9Cb73>A8;|mpUDOBwb_r
z)9}&<tI9u&IWykK?|g7bUM0-BZj(aGwTHG_&3`QabN2AN#v^kd?di~Byp((Eox)m=
zGpR?f2YqZ>G+%DZjYyO9)gFJZ{dqLM;G@sX$rE_K{XevgGqtri?VjEvrH3yJeLd#4
ze0W}Xgs0p)KVy2ze&wCVkK9=mkZ@e{)vQm`3vU+hb$<0^j*{0*-<A1C+tbBlwTwd#
zb$o5F{j%YN{>9}?AAdy|?i9ZF*SMoR)cwh0#_w;wJY$TLHTLE)p0E4<--DRSSuWA%
z`n%e<{OEj^D-%)k>Wtu_uR4vcZ2z@0j3?R4zt;+i3x7LDvi8oJ^3;j!7o1~OoL*P;
z`9F`wofF2%pBrCrt^8Uen6%18R`pt`Wzf_Dg=x!@^()Wc{Cn`7N%(Bl?_8qc*21n+
z?%h!}Yc|Lz2$pWitG&0rNyTJ=-08^w`z@C{i7;Q%sj`apWYM!oUugBzK-XeNA=itw
zTMhNE#IJhu@Yb({Z~Kg{b?-Xa5x?!+QpS(MVJdSA{~uhsbC=e+poT1)yXn{L<f5)H
z?vlC{nsq8R;P%>1sa3fOm4bHnl^x}^7y2CBmE=^L{r-zV+#kI)Zz`^;A81<Rcx7c`
zpLOQ?*{&ChWW;RJ`DazXnf^1Vcddd_=p+7h-=|vf)+*$QZvCS?kK<Up$9mhZX9K1+
zt+<~hv9MdR>R8^}rTaHLmyEnrR_UmD`53o+SYK5CsTVSBFDFfE=52PbKh<(Zq9x(e
z<)2n*-47+r+H3CfS<O&QxprIW7W2Lpd~(wbpB;-^Iq7!FyxSW;ZrH#rx~1X6&fr9;
zYulfN_IFMfoFk|^`P=LXmV8rUKl`p$P>L1|^59Kv-{3m?;$52w`=#<us&8Gi;>rs*
zCBdc68#K;6j#-%7n`osPAR=+yk@Nogl5)Ek76tAEi})q!8~lE0XfAj5?0neBv9=*4
zcyW2o%|%Bx$=H;sZ{3^w$LRVhhNlG$=i2@~I$T{i|In(<`_2k1o50<!^K`;x`EBZR
z^tbv>T%T#Se)qA{KMn*0b}kiMofv1$7S%an?g!ocA9r?o@(bslT-3}{#%xnB<l?9M
zQtEQ^;%%Wvrdal{Pdi+x5W;wNgHBV(%0eA~)+uX6HmZ9`ToE{#n$K0Q8OOHP$z%er
ze$YqPi%yPf`W&PMKE6L~k*&GNW%JVd>DQW%TK@Ci#cwUKfkQCXT<(LfrT3kq3(q$!
zm;AA0T~6gemXaA8{!H8Stm=Z8NQhX_JH74ps#UH+Zf7rkoX&CecH7;W$)OKlY!G?L
zzw7goT!u?I&axkRYd*}Ik+Wgf#C^5b^QJFsv3$rMsV#HozxiS&f!pt!PtF$i&wT&y
z!f{L8T}2#SF4HSG=S)8N_}a-|Yq@U9OE+xdu?YSoeI{dy`=ZLq+-34l$~>>P-xI&Q
z+wG}kkMy-w*Ytc2FXY<KeJiu)F{2RU%18IE$9kS@%gqV0(de`L+Ina6O?TUQf!^Cw
zWON%|&-*cX{)U&6XT`JmynOJ1-~6(TNy4V`j@dJ<5AVv!KL1rd<M!KGOJ^j^+j02c
zn*BHAm*q!ky?PRNws8Fkw;#>lOZPu5$tYR=ncr&B@AbtZ;o&!5dhGMu(eUHR>7Q%A
zofkUFX)$kC*v0nHEZvG7ucX8ECR_??oGYAMFUQn&e9o)mL2r2%h*WCD2OMeH_2nhQ
zvRaPHY5grber4P1ZM)kR_{eXG-n;iUTc_LQM^DhpPVdgDxVp|d(C+_&b`yD{QqP2X
z?qm1o*r;B!dUVC^pBg8RWQ#`G#a%(Gv!i6M*F*^MFzxa<)zxj8eoW&;T8a}}$J2#x
zvg?G+#AW8Jo4#$rd2#W@4*roHE1Bxw{0->&JBLB{#nNet3-;`qrd04^!4aS6bL-ap
zUA3jLexoAiu1y;Sg#Z0nptQ4J;^&s_GW`2hghJ<tn%uUPQ?uzfzvAMbrOwZ{Gi=xw
zuyf;NCkMZ6PCM=^Na$;Jxi~b}&$?j3_vM2F&&7aQUp+jO440QU>|OQaT28Le#wNdG
zMeimFge`l2Eb)6)#E<)Y{_?+8m>m>4rg3XY^NaM-BU4?R<kb&swT`-z6)JJo`@3#M
z=Yl{kcQpgOa{>#kJPw5=c_byQx$V2Bc3FKz&`Ue!otuAtaBi@;qx*mP#Eiw-irFTX
z_i7FsC1rRYoMzCqT=`?iWYu-gLrR|B_v~X`Z_~BoQ}Y+W2ifTxoPNwRUB6Vo=h7md
zLuN9sI<`k0^7*n;{etCI6N!~<i{`JL?mo?$W!~ByKc8?k@ER1VPY_rk_W#?_;we0P
zjW@9@pWw!yQ+95C*{b%hk<7c&p1rIKeYdM8b9<)yp|hn<7OafgeNjc8wub6iY?_80
zQ@Fo`{=U7<T5%W0f*q<xr@VYZn*|T-DOz#gaJS>L`Ro<^(zh8hgQxGf7Ik>mwut6~
zRg;BIowfRTeERm;4Dn$F{}xzR8V1&eI=r5e$=0%nKk0Iv;Qt+4-d?$R`eSc$PMlYa
z$)q(ZFVv?LGKz1T)BJYfCi}g!dIDd6@;c-pClP=5#@EN))dA96qJ56+@2BS5HT~;k
zn*JoG{ck{{OrYO}4AZMdZv+%)gt>Gc{KvR~p_KQ<90607J6AXs-I!6;#N=8Kw&+O5
z-YMJ5{%`s3lUMO{v72sW`&;ka)ZCyJ=IbnrUfq#v4zt@aVbO$(4<|5iOwi=K__N#K
z<;(t~cX=1|zj>z@UhjMU-OA~$R%d=adi8)^CTG%wTR-Od+)n%?x=@R+KX*}a<3Hu*
zehr16wf*i-Y_CZCUHM&pa=G?54)cU;$Hy9XxJB2mm>hC^;Sn`ak*i`cvH|P3KY9j-
zEeep@v`~%h;rE12?{y18x}UK)lqB8qV*M2(K1cHJOa7*pA~)O>78hKseO$ZI)lkYu
zXtLN(2iG?NY+DXRDNa3<CfZ}4Bv7Vyk!z!+_U@$)M->ugoC=yc;Ww+1TepGdvmZV>
zj_+^oy?A7o(pMMBx694HKi|Q@Gp%crk^CX1D{l=?aDSPTURTfPqn|6Y{+ex<$h>Qn
z*0a^t$G*CuD_JC~$?E9SE?+#ix5eI`^T50V@)O+ka-umD9r_qfvOZq&aDLEh>5|=V
zHD|Bkm{cpI@7J$$>s#&#e}_jl{YDjBiz*xfyr+2fRPJ;w`d;n#pW$-%E8(LKy?Xo$
z!WUJ3I?5mXmbLxaehJyy_!oxHJ6@<S_@~9mZdc%t@GSFyi^xIAYj>CZ+!_$5@xA`-
ziZen6W^Xv|TJpZ0Sdp{+M>|JrwUme}uN9wnx`Z2hI(xsHV#ll{Yga7M49c2+Ao0(l
zZ*9+2nP1v_w(*Ai*_GXCb8?T%U0%kG`kq?eYb<N7ty?R6dQRx!Ng50?QH6>Yb8eS&
z9ocyN#BQmZ_bm8-nBA8Zm)O1bX8wmao~OE4_VQd+DEb=8!F+aN@O^!*vi|-17pEI*
zWk&^6GurPt(&?1d8v3g0iKmI*;uk^34p;a)#rae}i(-Dtx={1#wS3#l1)iIOo!F{O
zbZzDJ98SJ}x@dO8(a&=>w@2E~{<`ssQ((alZG&s?pB?dzD3Vy}v!qEzzFUUrTFU=*
zu|h9)7NyOz)?xbG8g07Ezoe;$Jxu)ev2%5LRnHjOq$D_|%zPYqSJAdB%TDv*v*(^^
z&nj*TzusuK<afpF>o+x%k19;s;LpqABC2z#Q(vC<k?zgN={&3Snmye6*mu4be)awC
zp2!LA)jIml9^cDP`SEJ2FWnG1qd~`1YvJ*k7kKt2X|ttPwKtn=4z{ai40-2wxySFa
zL5|ss^;3!!-#A@a&9+MHt(y9-Q#?i-+*+we13uq2?6}2htK<B8>75?WecZz5zBu18
zx$MSulRHMjHlW<W<kau0?-(_L9NKHvrE`4Tda1bgno!dVS)TvJ7f<NUjgMgZDtW*8
zT95C!lX*6$lcIK1>-#lsIo{m!*jn@TP2<Z?#8oy~ow5@Z|EyZpb!}p1x%`KFapgAC
zK3{jb(2=&#B*b+0-?sOj0(*A!tmRQU_+jUcB%jY>`9Icm>rVZ7pV#uC=D%*SD*w)P
zva{2_eX+iGv2?Y8_hFVlZAD=lzU6b<&aurf?Kn0uiAUSAihJef^2T=`oU-;dRM;M7
zWj?~wu=ldp!JDjeer-N{FY>J0gm2rgeat^G*J82Qtyl6ssZA+*FKYV3r>t&nJHPYx
zvu)}YuVxD$5k3EN-8KC~8<be?oR?O2E*9^<I;Ztq=iieGyf3qQ4!?hqy`u7q(v$^!
z&IzRxCi}5Rmzlc0(bK&h%Dd5Yg;(WlyMVd{v30%mlVW~1`gweMWXGf{o_?dHoJDW1
z=(|!T`}()+XWJ8<U;AFI%}<g|d2gtfzTvmp!-+4}`#Xq9C!F9p=H;}q?1OwLUvbc>
zwVOXyyU%J$tUell!6WV49IYy*$_p!|zL?{n(4dg_c*RE_pSiD`!av_wYZCo7>WD^l
zzxo^DUpY^InA(TkW52+}9QjE8^~6hzF>>8i2SrmB=zV9Ovg^CTKfW~<OBfBJF101R
zS{g7{#@}6$<%0Y4MwXY)<4PHRRj*djY%G6yJg{w>YL23QX#1<r!q4rJf7X6Erx4?Q
zAV==f6gJE9mrJ%6*3P^6=$*6UM}zp|fg44Pmu5?DGir$t@|N2%Eo4Gg-HiD985}#f
z%5-8v*)~18uxuM2ds^nEb4Qndto5DO*~wP5GTU)hVw9D`+1)jP7gK&|q;J|>)86rY
z<A-~Ke=Pof`?qXW+K06YmrkBMv-4s08-awD4b4-Y@$JzQyV&=w;H_oQ?CF9N0*il@
zUt}&?_B-to|NL+B`b=*hoK_UAUnG3+`6E5SfGI23TQqf_pZ(I$vvbB8{*rHx*I%77
zCA+}>&8hm1wfEli$Su2FC453+&)&tk?ROFvKAs!jb*lS7Wkvj!-WiLVmBphY%@1){
zl>D65^7GTaFY320O?{|aQ}3Jp@80zb;RV;8Utnyh^v*bxGTF&OM=E#CXWz-JNh`w6
z++>P#y7Vsc%CRlWo=0phmVC85zIxZBIqM?WUY5@7))Q&#VcaLod-*EEGod2afFwRY
zrI?J<*B;+|b;v6FTwwC=GW9!0X58J!6TNSHeQI_kN4|BjhHo~vnc(&xAAT|~s&iZU
zt3&klf|<s3zpuBk^&B`hPp#(Z=~Y_q_ZL{a@1NCk^UfOkOY4d!pX^b)*yoj2=VQD^
zM)zq}^WV32jw==|FgBYd=`(5VV|^KJ7eP1cS=PF%b+kCYobP_}@HOAAd-ok!te<W!
z_7ax!G%Q&V6K&$vyX}>12d{v~jte?<{;qezCT{K*uX-jcn!G89rDU<x9i`WOM{{#i
z&n3-xt$wXO@6fb@&dAv-6=xOeKIf@={WNQP-<HV7lV!iH`o;Tr*~@q%&jk-t7cZ9I
zv3-`<qh&VlyOyr$pP(z8Y3XFzt<aM0xo|;%!^St?fBwJJc%(SyljyYQEVJl^ty@xD
zW@LPw9V>IS`$p)a&@790Pdz_Af7!gOb+yC8*)6I&e-ux-WVw;~)C?1jrm3d{mj6x^
zX`8=K%}bs)wB|?mM7yQmsz3AeKia~$@TpG9BG2Ze4Rh7&@<doZotBCWW93|IcJSx2
zbJyOS@f2e<{PrljjCG};+ZWX(JC2@Ps}b)hY5Uu^?#<!V3d?*Pgf`DSnw~WALRkO#
zh+l=Qh7L=cH+p=meDg=S^qxXmoLRvv@3|Y550qHXWG&BJ8NYlZ_vY<3^;(M;`$zgR
zuPuEY$h+g#)V$w$QG9C0+U}N}eJw3=^TO9DS0dB3maXdw`{(#LX--K%jPnl78HZO{
zMBQL5*cEGa_Gj(v%^xrB319wC*geD2s&4AKllKiyOIe*yu6FvG5}qih9~~1DK5M6#
z`8|0_l}`8bBC+dO7Hz!P{-~!gMj~8b2jjtzz!SNR)peD+N3IHOb6`5rDV%Uu_99Pk
z{UxKE?N_yC?RGJFRS|3MnCx0`k)8XxUu<B}PJ88!;tvKLq5Y8z`_7;ETOAj=sAi(>
z+{JHf_O3a%#CGzR$NBAN{M@^Q9a3}SO;;Lzne?L9M#>{Qw{lPJ?k~<U4d(wtRe!n~
z`z*}jQFA>~KI2uQ+;4}t^0pkFq&A@iX%)AO7^0-NOfUFTaPW6Zj;aPX<5M}wc~TOk
z=L7q4FEDblmfv@~IhlWlc$4Q5)$o@1HAj-(SLi%D`O|vs8&17j#ZsrvJSqKQ+PEe&
z=G~b`mm7D@%lgCW(Q#{Ane)F@&KFHZZfp@+^!by*l6yzyb{JKk<_MoQd-|02`8!LV
z<bH3B<k;jT%pJ(~Xk90JNzE1uL&M2)Uw1X07do`Vecc(YJGBuPR}|g&9L3?tc9y^8
zu0K0dNb=TC=boz1nbJDBuvN>W$hlDe_J<F7ne4gt4Kv#v3*F^<eDiOcI_ya|T08Y)
zH<wkcZt~ThX*}EO<1_;r_FPWvnXAM%KeZshrjx&{^WmxAcM=&th^KmFn4InH(GLo^
zbjqf4##g3Wf{vPhub8bmr7-PhQTq{156NP6@zeVj-JDw?sb;YBZ<+uXJ9k2S%9oN(
zmE?Pi7+3r?OZ=)WcA716VT#HG!JI3$NmBNmH^Z}E=M<_-?Al!}6Sd-7_iv}u**eU3
zbTyWpP|q~y{qD!IH#YtC^KEz7_Fq4|CcW!_{M)uY2E{2Gm?yoFY28)(W#`Nl$Lm9E
ze*R<<`O3Fz$M$>c#J9$tSnB?OiJ|$+g<Fc}Gp(*)DSA59LPc<<){U^UQc2&{^s=?I
zgg8BCuR5>!yQ91m`{v42gYxyJnrcN3&4w3O6~3SI+sj-2cT&xKiOPg~-3#{%zWcmF
z@qlf!Nde<EO;Op?+B=h%7SFt_*`Sx!XQ93~|4PQum&T^j{X!r77BB?<`P6bIFSLkb
zZHVE{8Sg}=`=8xealYZK)G5~Gr%oO?cIba)!Jl-;r&CxGH?~e)ZFBlk!&&z=8*`R+
zJ!f5hJ0nDxH}=x8o%Y+@-i9?_V@Q=vo7KNA`AqCi_QdKCpEm+K_6ObgvA1bO)kN82
zjtk=aSkK-)=^^J+w`+|u&!3z*CVR4HevD;L`|NN!g8%aO+{AUkqLZ^8eon|&Qa*iU
z!CVQkpUxB41zZa}5Hd5lipBa@u)nwJa_1}F`MNUe_L?M>dYGk)g?NT9X6w|rcU7b-
zcv?-4qOOR;pKB)-oM%mU{HJrdcgr7%?W`IXZ@>F`?ALW+n-|BkH_g8$>+|oA`TeNB
zb@42-i)KZw4Y{81p}RG0-JyGhj;11d3m#nz`#4cSRCG`E@!;u;JsRqJm-YK@mC5_G
zL1OPUMXU7R%F7Kmn5J|YoMx9;zF_lh2JtUCGiUP8a0*`8Y#+vGm3!+!!JD&kU1CfZ
z-b{IZ*d$z};;2>gp$AjdB73p~inzX>6gyJd_<Lfg#^&G26P5E_qHDG+ool}!Ks)y>
z%fB11N*k1wp5A|&TVS$LlYfR?@Y;$cVSkOz%qZ7jyOP`YyKLin2bZ(%%cIZMW%9KN
zuUWh3f$=1rBwfXw;g&})7ku$>Wz5Wc+%`wY=hL#C6F$~=Y?$sfiE*mx+9K!r<c;%A
zw9N8|Kj6`O<{EdI>&m4{5-|?G>sOt+W@aMO%u>m-X|B(%hg>r*1~hMddh;b;|Ej$b
zq2~_<nifx(|7c3#=_wcU_?x>fIXIXlPH)<D)bHI4DfMNV$*xJQd@1SAO4K98I}dQy
zziTMJDwnG8LcBzr?~1&yv+Zw>rISU(4D{9NM5o{Roa%r5<-Rv(jT6_}8m?}YUw^Y*
zjlEl5_V;4B*9TXG#+)zv(onDXlf^v2!2QoT*}V;MhjKaP(ktc`W}XgDT(g1u!u<70
zTfQ$n`u(Mgg4$Y(<9)AR>wd8*_$aWvw)!mpA4Tcor`RVSl#*xvd}?2w=ER9JbDyvK
z;K8tS$qiB0yn6;cUHV&Z$t`oKn^ZZy+4kw8E7QBZ|A|Gj9!c14F3hlHL!o!dkLu<%
z8?TpKQ~d7yG3Oe4z~nVy7S57u+__Z6H;S4%w;an2+A#6WrOomyRd3~7-;{CStxnXT
z^-t~>-g4++ICDj{e74Dr3Heq{_V%irQkPSLHi#c_N%W8XQvM`%+M3qZh8>4rR8RcE
z#`x&;#jF`Bn%;jBqaI~HiI9EwWv@cJUEG?2>lT^`kBTY|1)KczD2(~xV=a=sTSJp0
z??4uNZ)lBzbJLC!Jr}O4J2KsLoX~qQ@XKtk6=#<P7jtKO&Yl(|pYyysL*MOI>&2HJ
zCT`mvpOTgwaYnaq_3XD#^xHT3EA2}7TfoNrsgKKmKksIDU;l=fB@gWw6gCvRPfCgY
znm%KGjJiddL$~X{qmDDDPAGBRGchc7@ttEz<{vMAmgh^qD`R!~&e1<IyB5!=+c?v)
zB(zO(MtlwLMOF9saPdOr`M>6Se5*P2HC}s5{+1i>vl{1L`0{gx-tRsCp6Xitbi2Mj
zN%gR1sdU5vr}WClujZT%sWVzz=4jCNP4NYL=bzIr^sktgOj_r0Id<<hof#e{mxOzE
z3eHoCs=j{XtdPggQl@W?o<4;=F8{=8e_Wfp`czGj;2eb$%Zy*Sd%gZ8v&M*DJZSm-
zkWX%5ua-U3Qb`V7TbZzRt~L9&J#*u)*6mYJk=^=TE%i{uzE6ctlQ~u|WmmeC+Ov`6
zjNlZb0LItuzv~xTe(+&**s*qpeynHaXSqjH=kCAj#`iAylSIzHQ<tqQoK|o8W%|v{
zYyP_LlbU*D`d)2+9=)U9a~|gsz7*MmmA+bg+4gC!zW%3{uQZ;`-uim#;>i<E_?@yk
zXs5qDV6kH6ceAo^p}Dr)%lH-a*py3BBG>DNe0b=P$8@^l;30)6%e6ObTEx$tD&{i5
zez)b$@1k?Mzbo$D=XKR+sejMOb=sOaIv?Ja8b0~g)FgQJdBYsNRAEE0KrWSn8$S#*
zPn+{4<~M))YjpAKzj-Wm{;%HH&ae4bFEu5M|E8d2?>5<nTHb9!Pt;$@e)9Se>Y}G`
z`RmFSiSW&rY%NPVCUb1>n?2wBn2WMuoAZ<OudCmE-pD2N_sjo7RVN;m7DX(~Yqog(
z(%ZfzMRTU_?z8L7Z^u46T6oT--{Nt;?cU{?6;pVZ&08PvwpDx8q_{)>mS0r+_h8%1
ze`WgKQEJ;>Mc$rU8h`HLMdQ7(L67@BggUdwhF(ude>~~K_b0kpT_;v?e|^<-tp3>>
zJI+asl3^DmBsjbMvt7>oD%~2o<$dFuWz{#E=W|usFvT89O*rZ!vHLuS%PrXtS5Dnp
zwqbKF*A}-$&qSZ*bT1G()Oc>%YL{<<UqZwKeZqEL>TSI$5p>ICmsViw)fV;~)@d5H
zVW;}JB=;z>Ot=5UlXG<8^bkGk2k-0G|JAy-|Np}YN7f$>EXiHz?|8>$ZG6&hTfKxA
z=X>_+X+34;*EZXJ^2s-zllkW-?c`fO^$}Zea@yO43lGb${qf-BAtw9A*}JNbE}#2&
zhqYD4(;a2zDW#{*?vvG@q;>kWph^E@4o*2Q>&D)<Q4WzSR{j)xCoX@$e&MvW?HhZP
zJk^52@*6`_dS4X&5xi8%@3c+k%bbWAH{Q2;KlxM>?iO<>?(sBt9*GBq`>qE5?#-#*
z?|PNT+COxUx1;ObmRo$kJfBbWW4HSuBdE`^Zb1&e*V#+U4j4Upb}&&n{HUN>vx<v<
z&)$unZuPMnN`ASadx7nMt6|{bv<=rwBVFqRX7aB}+F0*2BQqszQ%Oq3p||=cZB9<N
z*<pM%&ZYCznzF-TM?SQFlr=ag8u(wX#x_DzxoJw7!ZwCkJ~Myi`K>=Hzi(DTcj9J|
zsh*2%q^6o4^kVqpKB<6D$J{Y0@9fdP)0b$4ZslIB(!lrP=Iz-0Uv696OTKBW-?80+
zJAUO`{gfK1i;|vQt+S@RTsWiC?A#X4C2KZhTzl2EF(+|dRqfV?)l2R-%%8A3iu>h4
z^Vd>UAB{OQ-|OwUrJdpN*S~Rkb!X5+hAE2}{5Q0jiq+^}H0S!5?V@VOwq}*_vk&qK
zTOP%jM6Xg^dgxwLzq!sO(=U0mU*G?EH`(dYz0F!twhnve=mypF7oYg^@&M1I)6JJA
zEGrS7^QUm)o-gxF8!L65UiEu(hFexNan-8J2WOnW!C~#tX*^-!&2XRPH}v=><j0AG
zhty7X_1U}mh0K(VB5T){ZoFzdFFSxyZi=o<t;#`GgEzCHjz636PHMuB!+Lfnili7$
z{!NXjTzE>Uf2n6`=~n(<OA}W`>4`jfP;Ma`{C2<M8aA$Mp1X2q)=qHbOJG0Jp5dyX
zXU+E6=6~T0&y=?=yfqI`A6>QZ`BnWh$2&3vnkyJq?0tK7>YwDA+4CkH`H;1?cCjxr
zga1y)<cs_MI$Ie_?6~@Zv*NbZ)u&;urdDh<R(H#{a`ql5o*rTuIf4K1j@hSvtWj1^
zKPs8omC0^vQ=hwhzvkt%17WS#r|rA`Q~X8z#5pp{uB~Q&c9e<jsBGz~N3Y$KrmsKc
zurgCeK&xVwj$B8HicL+(77mG>-l73t->0AF-_mjYQz64;=d$nOb22XJvI+jykdNN9
zU2USf;Q~&k+(5UKrR)7fj;84_|D2Kj?qE~I`jFt87mkQLWGtM%`tXXpBblel_0GC)
zT~YKi`0eM$_-DbZ1u_^^7d_^G%^zUr7qVcR_eq&q{El&d6U7_Scc@L}ezfP(znOst
zP8%xz++j7*d1>K_YJ0Qr1OJ}fn;Y_*`%tmFct_8jKo_w{u`j%*_ljQ&GktYI@txz;
zX{yC<l1_O(dwr9Qd%_WZ{WX>m;=C1Bo+UG%dp`TLk)uP&#W>A0UA>*jfk{EyerxJh
zA<mLshl20TKVyS7*{;>hc(bUUqqA*hnrh_T|6Vg6{a^g>($efr(@%;$k2Fs1ns!Pj
zK~Q=5I`-3*2Sax(Tr9I>zU{*^y~0Ij=d71%*DAhl<iH)I@c30_`M$Wtg%Y`Yn0uZ?
zv7h%;lkq*ow_iCY^>FvvWve$FoGrFQ#mMYD(*y4rNwM`?7k2-3KhnhM!2f-tNT>8)
zj-sx<Q!6*ke>p2sfccAa`;4{~JuJ_s)&9NI%IXl5#*p5BvEYVsO2Di5`BLAm@6l79
zm2oGwe33`Zh2x?6R-X^?D?3g4DEZ;q`_mW1>x`!9^X~H4x8v@O=49*qZ3mL%g`de>
z{(9%jx($*SK2$09u)A6wasAS8L#uFF^V}0Dp914=9CewRb2zTdAXEIPoU=pP3-(!S
zcYSQne9yZn<R$xy51+o@V6k29T9UX@x_Z`c)}yy?-}=S0TBG|_;>qSoN&ep!9%JAA
zf6jxz_0pfe2|qeBwfT%r`XjTtk8^%KWxVwBY|m?x2o140#W#)yEx6uv<>jaMVbi5J
zEiO&Jo3pT-;f(f-2`=92`-Q|0$kjfO*m5f*PWf}BVU(KL`lHVml_V`U7ur@A`rzc{
zllNzpn7wd*wJk_@h2Z_Yb!IzzQV*tgT#B(>vgm~F*1r|v^+zvh&6=L`-{sB)H}}1M
z8c|cGOx<(rMteXf$AQJa)O1!nIAyS2^Rfh^#{${O71xYc{%+nb_FCo3L)nSbo+PKR
z%00+ETQoanqmj$a6-R6sla>{o&fXZk)HjuRy{M166jx_(@=+_-+5RgJn^vu!UHhX{
zZeo)|n7+~d$9L}EOL)KS?FvcT)uw+<ZpAvkYybWHhi>eu4IG|P2Cfex^iAC~>_YYi
zdIep3d#CyRscUUlR`40H1oEVN2d;FfS3Z()BDKIxfT6GX=Hk93=axT?&na#ZPr9V`
zgX=@xvZ{{m`-$3e-;H$(6ZW{=bn?z}*stwiAJBRyT<phu^`Elr?}Q87pO_s@<g@q{
z+M~4QSjwbcQ=Q-ZI?~(j-K{#~<@2aU;Buz(jGMO$Z`@7f><y1M)6kdRxHdgzgN-BW
zkEj`H3pnMCPDC0^+52*v`8{)H<poJ0(NZ;EuRMR26;$|O?kBbhUGrUIxzFq@lz6m$
zvH`2ZyAogi8^_N1iZxrf$KEMf+<yAdVX;fkY-V0(UKJs=WWN05oyO<SlwW-$WAAxs
z*6z0#8lQDv*AKk6%H&zZ8P6@Ut(=CX%U1MF%zlu+Lm(vfm{3aZmTgZ|=XXZRx2W|8
zKdktgswcPIW4RG`CJRGZ;`NoM9j2yEKQbvZ`!`efgBPd!N>$#n8Q9yDW;x$sjZmF3
z^RCX@&^b)9R(t~W2VZ!<NnYt-_Q~nWzFE5Ehuzy2)!k#to!*+TzNmI0gHTGifNq+L
za%Y0Jn*F`}Y}+W_8#9hnW*uDguIisG-~Xdqc_!O$zp~5jRL_rmmweSgxv(&U68i^T
zx3sgTT;3|SCwrallqI#|rC+1k7^Bm-eoOZK?^LlxUE}?X2NPa|pE{B9#i8J^^4*+;
zQ$x&!)YE5N{uP>0{Me|+$FuJ3M3X&kU!L2AGV7UTzl#4}KkbeOm!<EW9g|c&J3f8O
zx_)uh<dgY7O1{th&h~Aedy?karH@vwbY7~v@l(mQe+4%FWx-c{-Uy#&h%MfC`Pq^A
ziHEpl>v$sO<@oQgY^XCjW^rusLf7S!&lRbbM5s*O{OoOcV$fG{%g^_+9_76k+jyqt
z!0m{2*A~~_d-vnr!a1dHEKP6!zrp|LP_bEo_qS=bc4`xj{}p;ObHT=$+umK0G;qwh
z_DiQzs;zyU_<KqHtiQ>%eU2u6mpng*yge9k*`_~H%ReCS;e?kVTjrZ>Unex@#?>_|
zTQAhD%d6V=W1B%uu9&I|)0VK`t9==^q+f4MP``c0Ta&kRz6r;7_J@qs!F*x`?`Let
zGQ6Fg;?wtFduHOH_JI3Z`*njia-QVph_G-z|N7k*ouXe(^?&u6`)qTY!i{QtExp?>
zb4irQ&bl<Ag*`8?(ssveRWUopZ3!Q?m`q!o)3o>U7OpK``&6~oY|L^s*?G5dpPygJ
zCf;riE=Juar<T|UwC(>PGi`E}LeJX!7I87>c3fY&mfLY2$6KdwbG^iOcEqfU_-bus
zdQM^Mms>%TMOWQfEUzpk{`$_b%(L@<T5JydezEkiVWZTuy%qmlY96W?D4h@vPGEcY
zpUbyYD@JLueEHSp^<RA?JkLt~zbGU7Wtl6_%-?Ui4_O)%Ej-?2vXjN>+MWmQQukN3
z^ZN@szKvYF|8YaZWzHw(({(Lm{<E!{qBqgx&+{ym`nodFrJt9r?y-sf6VB~;j>XV?
zb9C$n?wi}zR2Ht>vijb-T#aqp%T8tPnabkz&4r=G&fey-!}^wKu2~B@vkmvjn@78(
z9QrJ-Y5wBNn(TKB7iAkS9elwP&C#d8d0WP1-ShJ<tr}5NtL~L8Q2OxgkjAT$(kE^9
z5xnue?%7jM|NG3ARr^70?*h|T&$h38BlUb!^VZ+<v_yClw)M~c$amJqr$6<<v*V!`
zt2(Xz{CgE;zT)(<sNZ`Z%THPHBX4_7_gc;g`+Yu(m+!f}a0;7P0{fDqijU154Gq?C
z`$zo?OG#?pk$xwWbMqSRYwAmGY<qugzOtD4;)xdh$30^91|BSDwn<cdTwk}-{D#<z
zgXw1_57_8UEbKhrc8IsP>P^sb)89=orwnpbX6G+->D{B39ou44`Sw)xSCjMW*^ODY
zuReMAM%U}U%~K!je)PAMn`N1j`LYKquc}6t&De1x?A5t6vD8^d(sP^a@67z16I>CU
zt2ry7&-G!y%EVl`%%I3r{*~8!lPxzidQEq#)e7BU=fHC?x4C1H%qJ(cDmBhG2jmj>
zzLK00n#CPgeN`k$Th(`S<y9t6X7h5THx6gk=~?=){4=rM#_;B;Rp7mKJ5_wxYh(7#
zFZ}YsF)~&Axc&0!VhoFR%!qQ32(N$iF=Fz(hHJ-u#FU-xay@n=Cj5c<dlo?p|M@=^
z*q82EY<5Q@Y~Lnl9*5$hXUn)2y?@!$Z1?WVeD_+jpSt|X{>LiZRnEK!RbAkyIYCzJ
zd`HJwckO3Yrr$ocpGmnLE2d!if92QLkGJPC2fN+S@DgRZcBT7OJkJi-=2P#q+}`~*
zKGb>Aue(4n{HOB&4HIJj={THx^<iG4-VPm~iNA9se3sbSB`@>3m^`C%U4@3CasAv+
zJ7+PPDsDFEH~3~7&7u%|`^&PAuftZT__vqE@iKYEZ?WGwqo`&3LYc{y@$s+UE!d~F
zB0qeBW{ZG}mlA)(ttW4~O0D*^vcKG#UT6P$>d$R<URxhLX}X`z{p68<;yZ(X0e9S$
z-t6Ds7J61%bKYjvhktXVkJ#EAF;<=ZM`nI$)b)<JKit-LRzAyiuHD6ZJR|hajcXkW
zu~XJoFWAfGl{@9E`n(q&>n~U|oKBnm(D1^>y9z7#F5Y{4XcMzZ^}5P+{ClPZ7}ymz
z^@~6KC_MYA=xncLM$;GEeiO>_SI^WbtF~UZ%!6(B=I8c%xB|55zD-m4y*st_!jYuE
z;j2&k&psQxe%`OF_otU+Tg|-xeCFSi;S21Q?`%(*_&3>1dY<6@nA{eX(%XyXTJ~6*
ze7*grd8fD2*}LoB2d?4IUVD4vey2XRXJ17_68pN#VnqMVdHQ+|PvHUn8Mk-w$ObOx
zE_%Ok{@L8(*2&S<FJd*Nx;8KS;^)|qJMsPtS0%N&DH8vpHoVq2`)_aZO0T~wydTNg
ztK^l=s{6%z%s4sCrce3jBd&Fvp=T9eD!4Z-v0`dSoUybuHtvy|^Uco%>n}tnGhUqj
z=J@ohCP@<mLUvx-9QV{qdGp#O>`Qx$9C^=W@aa}QUbIosPfp~#e|c@igrjUd{b!^0
zr+B!i=Y;20Kl~D9m7{ZE!D`p0zsJ`Wz80Gz=&d>Bujtt<Yt_&h1;?ajc-C-hyf3lY
zs>AZN)WZ6*`sVI$`5N{4YCG?U->8vED1Q)g>vu##tlEm!UoK&Szb4D8)Le}7m%Pfu
zQh9&2L#OAfVyEzvYV(rwqXMoipRv2>-lKQ#tQoD;-48b@)yxvlv@oxo_VS#;to$R#
zbE0?Z=2(Yc+_!ehQl%MYhZ)N4SNoj3(b0KEMa%xr?$@s0_D3B$9wFq$eE#&hS@TNv
z>0Nmwy012I>z$Q1i^B|`w#{Vu|B{1+r8~~O_26BJspq&ewr>?V7b3tZ?X{O#XyeY#
zLwsUQYp?a^#TR*$X)vU?UF^$#kR7ms-NWpEQSu*d#)4fF?zwVq&JKu6*<bqa&wftd
zJ0{uIFCQJ=zu!4oetB;F_A2==SNZfbFYtO~N@+H-l?G(^NxEM?VA$2SZ`S{|bk%2D
zUzdBn_B$Kc7ONA<B4x?uHN}4K<>RdmP9Hku_s=}DQ}X47Jf*uUT(92vo|LRyy<N|1
z*71gGJ%&0v>*g68e{<PbwRJg{#w5?uXos9f@i}~vyUrz?`&}aSWd6wpmGG+<nnetk
zpX*Yn^4`hzXKIUs`-R_Y#d4xd`2!TRi<VyhF~OkWvFC$hV#^n4T6dKCT0Sj|(kRl<
zmt(E&w<wCW-YQeM?&dURp|@g1Ti%Br*!ki9^O~S1)pAR?b9b*UtY7)0;rBD^^;ezT
z@3H=Fc74HRIQ!&G_S?sQ_NbdJ`+i=WQI*B3iHW;(?c6WdIowursn(wQvnp-bZL#Tx
zA1~_XndVt5nj-Gnxi+qR)@i{#C(i`0{8_JVR>x<%_Ey}*pSh>fR5+b4T~_*Z*JxY*
z?LUmGDtGE$-yQvAtEgd6-}|%AUaSy{v0(khvPXSVqSP|~)5cerm>3)Hmz|dXb?^Gm
z^QxBI?^k-<V871v#BTe$ZSxwrKgR@|eY`ryUbDVj{p5>}D!XTHT%{Fh{i|;7OFllK
z+eJxS8|R2M#(XXNv6JCr+o1zLCR^*@xp!YM+$cT4XVJRK$vjb7y9Lsn7IW;s{@KC#
zTHMmO|F;@eCUmoSy+2<lb6&mLe)_+d?giIopKzb4B@wY)ezJK%<dv6<NuSG>HhWyM
z-+xGo_rVH>r|*3#t~gC{czOQX&qMEKv6(w}#{M<B8&#jGxP(u(;#s|#CYM^GqH@iR
zcN)(*zdo9Dr`>+~#&_=D)bw9nw?8FrXZ13B^;??*2bSjF;9X)Eux9y96Bb^!lkc_%
z{}Nx97`FV+WY%cr@YepvG4afwwm#uVY5ey0{awek&yPMakqiIJc&Z?W=ekBwT2xhw
z$p0Wlz9)aG&GT2ar55Wi*Dd4MTEE4~e3jd>9*Kb7d!IKieDki=(=0^s`=U8^{55Oi
z#N<VlFHQA*Q`ERKcA02>b?mJ9XX3&_N^S-H(%j|jeePhHK~l~R(b61c3+cUYHqN^L
zd~d9UZ5v-tO{><n!v=TPxre`uIxjIbdy-*WYeC_I#>ssuQH$qWmuWh_UwOavI%~<D
z33ewB+gzFXv$QvCVMA4*_oWWTD-)TfMr+t`tSor?&2sbil2y0$nJy(ye6_Fe?vV#d
zYks(JiheWx?EH179nZE;qM^t3Un-bc<9KINr{Hd9rBj@>hnglx)iV1<^n6QDVYT(v
zx?s2Cz0DGbC&w3do;csYp&WMJx<Sfb{n6j_?f3s2`J^~SS^Qa5*xDODHx#~c{l3+)
zHD;%Qh?GR!)?<8!JRa>@ZNvV6i@9ogyHMD<sL7|Ycpnrgi0k)tR3uLSH}Q-~TcLRy
zzc|<S<t1C@9oixPHFDvZ9a%zuVikf{uW5ELp8wnD?X#&Dtn54lzJC^OnDU*CyZKPI
zq^EYk50kxVTV`#xO)|^*_9-uEUcjcDN4tyrP1!3gM7RF%ek`&{rEqWc$;}S?@4fW>
zxuSCSOWTPbm7h!Rf8OZyucw=HPy406JPGbLSDByl?3~_dUe7r<Oh#r`TY-cBqA>HQ
z{oJNkqZdBAczQwcg~Pdif4Bk#6g20~=6%nrb2Bx=WJBKT{k(1>@!vda{{1poXydeX
z)1^zE^P2^H&a9CCF!f5W_r9m%)otGvb#nWJGn6|Y+cz`fg;~<kPVol^mugfj->N!k
z)$H?<yp!)<?K+<DLv7wB@frWWY}9cHT2ntgh+)C?&{d)p(b9P=)2s{)OJqLUq}e(p
zb=_DLku*bn-F?>Qo1c3==rvwsenzMzp=t5~Ve5HUwOLosynS#%@I2q0A8xSRv-vuC
z*L?fuhDVA-%F>fQYs!8po*nn1&uPNv&wsk7@_q3B)zByR;FQ|zol~{f3%lCCzbPX2
zc;DVF>uq0t%?Y)2x?5%RgwOIVYow@#y-544<1==;**&j+yi?=tQQ2Z{-IJ<Eo317Y
zD9(Jb)?>ZbzA1C;qHlT5-THRJVz+-)2W3SzgjNOLSavL6iLAz_W9DqS&6BoHl-lUz
z(*8{_=-Sl)Wwwr({tJ5;rxu;R_$Hf8?bYiaa!v|zdXsECJ8yTd-<PsvRnOuWx6P&9
zebrr>SAyP3a|AC7a&A>Idub`YC9o|uqdK5mg|X|VcUm2T)}{A`;+w=okFU5_=*l5@
zUOhiWDD$)xpMTBHd9EKrWO}VHEDC>h>)sV(2780@0?9`W^9<%jB&yx`<~2(sH1Gz4
z8=FY!zo-)#vh8XcRw^9&!|BValfZwof-Ac0+u6VsZd^;ZUwUq{IAyJWZl0a1z5Aoj
ziXjs94^)l>?yczz(@V<^s{Xl8<J`>d-kI++y>)`E*OaCIUcCL%;bRNmEPXz)bk4)K
z2T$EwRIKt>O7oKbg8g+O{U^_zUu?AL^v<7k%U#viwRFy4Q!sK?eOJKO@j`leX1%wo
z`P%EI!CuSn>xi7%RAhT;&Y`IcPMck)uajn&r_%MK*lc;iM2CaN+`G~@hN}EvIQ>||
zGDPo|n1+!^Pv+@6>E^Q1C$slIpRE^ta7L+ez?NX|56aX2mOfa!axc$iwk`KoxLsg=
zRrY!LGYhLV=j1Lm9TQr;Re<Bmin;xMT5J2~R9jA%p+3j&ghlw%35WK)|FG~|+^qiN
zmH+Ho$`p<_y8bYCn16H2|1+Y=PaGQU*LQxs&(&tuo0XCG_e{j>d*Y>qDZ3X=o3+V9
z-b{M0#<tVHQyFLXi9X#PcD!WE$(yVB?xZ}K)AS-bj#Fsu#ZOyQil%&6dao+VG5Eyq
z-XqFtnQsriyJ0%(g1Yne&<6dtdl|O`C$e_-u3`F;I`>g?Gw%fXB~fO<4({fMPTr}I
z&V2sv))B)ui{~hcL?t{~_ToUUNoW{*e9p$|E~RzSN_$r4{a+*Xh3|#DR%C_s49#iF
zwm<yy<4e4cQ)cCa>dDP~Q-Wq}4C7_u*?e5wQfU7COUo<Pl;;OTEtw-AeC*MM+ReM(
zSVTR1wY0^<ZS9H|shdR3Z!WCXT{Wjh!qMFHlg!UQONEqkI<3FzZWs5|*|9Hec8%nY
z854t_i8<~%x4k9WwSTEoZteLrff%6#$w{|t7(cmfv1OYQ(ffPm-u>49`7?~eYD=S2
z=1<UTl-S%bKk#Ab`AOg8-~2o6c2IeOeCYbEE*H&<XFBfqy{y;p08fW$oMy$ZqRul1
zW?uU>^YIaPwMuKV$`bas#wN<ItQ&&g^!XKkbc)q{o7FG>VoOPStn)pqLp%w4coaCZ
zw!SKxwCXeG0}ZW%mxA4wvvh0czIA4L;J(1k;-yk|)dGj!m>t;#hhvxhd08VElBQL<
z<Mp?j;?u>ooc_rFnzdI%h;`u+L)%;GC7Ul7WgFQRZahEf%uEh8Lpf>1k^-NqUB%Kx
zUN16AXI#D)=CW-$Z_c#ESzrE`RXA}d{(X>t<jDND3tvaXhTWL(Md?C__sZ4rk3vmS
zZj@eKp}1j1)z1^Fm-$J$sj8Jk|1z7F>GCznS2xt$PQo^1<!r~dncnuxZka1u>ty*X
zv$f?|ShBHY&*GdnpR=ufzT^Zt__XM4G3@JG$R_pJXxYg>OXj~n^t-TQ`|{$lNB`d)
zE=<(ws{35E*i?K`L*KQM7uQzq*~+fy_~{+vh6Q`oK5x&HdU$l9^>HWrYh@+|6HR?i
zY=1vdu<f&cA?w~1mS=Y*9E?1zc-i#&l0~kM@2XB;dvwoSqq9MUA+rm-=DzETxioKr
zWWHmY1m8T>jV(&cedbKEHLdG9akIB3LdDQbiEpFqwyTp;u2|Q}MEhsVS*>OGImsi_
z>CIX0MpdoFn-V9dw{gGz<>Y+)8&}r%>24Lr|E<0(w$w}0r-^U#`}m87)(=<LIt6Tc
z+wXb#H`B#yzifXrR{C$v{{J~|@l45!4JKDFHXhr*{dBm6V83p!X1w=nLE$zTyW@Qi
zW0Z~l*%jaRta&!=nV#=P&Mm856ormD{(Z~*&H94EbKWLz+2|_vM4qH_s}rxwV`IV%
ztJ?3(m>|WX#HX}q%Z3sMO;dr_WeW8-v-Uem?mzP+GynTmf&I5SSMW0jXDm(L;ikLq
ze4PK1iO-vo->l_W%QgMyRrZvFkE5d&n)=<FuuY+-Sii7aac<M?-jl9I-Vs`l{~3CV
zTUUkJeVe|nY+3g=hv%nuzHbWG558JEY5!!G)tQz*BhO5d+2zzW%f8G%;dX2P?*|Qg
zEW*EZw>A6`nET?!hu)iVpVru~FftDP(DX(w)#Bb_yQZ?6-GvVihufat+bN!_5%W#o
zCf?(Q>&z|J(m%%JcPPhlL>ii&;dr;@n_Q-?SK*aC?cbjqU%fYL60h&wOHtb9&m8uZ
z{>XjOKhyk~jDyLtney&S!xm_l-Ppz#9aX;3<;E}Rzo{v)(uWSOT^Vy|9Y-hg{<#U&
zujU-R!I9CiuS0LQPjt+>wj*+q-rvktD$nt`QYgCDZ|WEGxQr)9>$PinGeXU6imbK&
zhR%#Tw#0xT&#@=(vWBecrq%8R?dwh(<~zI!Io;YDU-a*!(TnHv5@my)o40QKkT6~E
zxre2n<c{#oKF{5c{mOLl%06CnyC?JutAMtBwZh^hb29VaJ9|WD%WXZ^eC(Yx)BB6_
z-e)MJRm@ko@kEtxhThis(Sg6c=AKUzzdT=6y8X~cue7;GV%2AGylG9$o|gMA-g<Ma
z@U-dgI;S4(HRnJ6Nagnt@7AQinLkQ;grB@PTdC&mb!V2m$-B*0inq!0EqHOL|IeJz
z2&JOeB2TXzzO?ih$0@1)J00`wrrXB1fA!gM?zmNuS-QoFo$tNNc)XhTFP_c#C`xWZ
zLE@#>&1Y-&n9K;gyRvt|yLpGok6vKdEoF0Z_n)hUoNfh|%GG+4XPmG+rg+6@e*53N
zi5^$dKRQSy&Q%o5+NN!_Q^a@r@0*+cEk2|1D8rktaPh&c)=imzxu5@@6Tac7;km>A
z&lc94)v1ZFR2Fz1lqyrxb86?;#I1K<FJ`p-{NaWU>vsQ4`8TcekLn+}c7Eg1XSHU{
za{K#amL8rG?;7Z-qc^o{{-+M^^H)kvov?BcD)(D@^7?^tllr@-?e*vIteSK+ZSgOk
zxKI9SEGplae|S88U0^vYJFVH{X{yV8+c{<D9_>8#ZU3rRm6|T+s(-IK<eyBrU7a8N
z>#Wk6X^M6;<tytKuU~f1@a6jS|3)?ZYGOqti<@k}vRW&6x~YHD`)Fcjr#&w@_;_aF
z)k!TL6aO7@yyda?J^Q_V8~0~8C|_4y-LAXm`)@uAAI9vIvRB!r&rUoze=_{AV{lz$
zNZoXXuj?<S_6h|5EIB#z+pX4iqXW6}hTD@CFfvFwJlo$E^KZtNgMa2Es2Q?OO?#l`
zc>H#~$HA_hD<>rUvFBJ_cwh5Wm4EM<yo?Qg;p$)9|Ib_QJ72tCSl~bVBde1Mg&B`8
zs99Yy-6yy$Y9Y7RGS<%_{+Eun{CS`0tC(Q)@AEG!lc_K2TIZa<E%uq!U}~PL!ONc3
zy<3ky`+8Po&UEF^b~;9XE+_W{&TwFF+LoXq<!`=lqnx(dnyCl-K5SwaK61G=d831S
z!fwNLw&qOp{nM^neg9|{*WDNPYyGb72|M<j>sM^M8?imwH}dLAqcwL=yjZX_VB$Zn
zkRNy1=9JVOiGMNWZ-nO|&v+{jGxL>y948)8R}C+l>T)zU={1kZ^yt(VidJ@Yd+yz9
z-SO>=)E4G15v6-ia-X$L`je#>l<j=l_0N_K+NvI^&rLT*ym%xZ!E37Fbn!alQodDZ
zzCM~!#eK8#v&R1?DIUUGGcLK*J>&L1dEhfg;kTzDmAO%g+vI-KOnOpj6{cJ6uQ5OH
zOYP>&C$B9wGrntRH|^?Sy>Vf7mgtjt>UZ5ttUqzATpoJnQSIM^924e!qIRAvR*lOJ
z9DiN-RzCJ{+R}+~FZiVml_osnlL&Ko{H4j`<Szd$4ga}TDot~{BDBIU$hA_=;nV4A
z?ml(Plh*gHKeW+KFm0^lx^(VqsnRkhuJa2mJJ*LW$!NDoStd<zIj?m;NjGlo%nvU)
zYu;UEXVy_>-J7-dl2uPVH<!Q4yPJ8ghtxlNSN{pq@ZxLtHPl?Y`R%I<pH>O|Uy}N)
z@WF4h?0b(buCI8x=2FMsoE>k4gqxFQe){<0s<6tVYYJg)E(>B-{wq0uSJ6J_wsOJA
z`q(p*G`D3u-tw*~`+CUXYVP3V9^u0s^Q3*#FFdx%JF9!ACgJb9-O@`Eik`)vc2CyW
z_Ves)oBZGtVvpqSzVKSMnOo-JEB=}c=@WB5FOg+>EIfb5JGTX^+op5pEvfMOdoy(D
zo2iL8`!$@N?Aq3MZK=vB1HNgS!!C2)KDB<9shf=9+wdEjo2GjoSK)Z&#qz~qCu4>1
zLI>j;U%JniAF}7Qe&*LOwR`uvORl-P-xN>BZ4%9HWx2J?DDZRt0w&fs&o@6dT-{fC
zKmY3IRHkl=%O)EYr_?B3kDfX&%~HGc!y;+6yB6z<9slRI%{^UQ$1Wzeu<E4CY~5WE
zl|SlZ7eq~7?4b0~@#0oZSGoQ}54*#(c51{ntq6X@UU|^$eWlLboEcAF80SBgewDWI
z;euJwZztbsDBK~l)%mkTcg;j$rM`Fl+t)1L=96-9msp0VP)TJv=Uk;nOr2_7{Lj~9
zpVtU*cE8i9)%f<Bh4|Y~U+xRMY4kF?#8`RP|JJ4b)_+Qy_q_8nf7uf9^u3;y{k|)^
z6?^9GQJC<gV2<|Poyw2juueJb*!+D>$>(nqzn8r&=}EGgF3YyA_w#oD(8-4$oTyCX
zj_5noH<ej5SIybvj@Je0+ISJC{=CgQe+g@spSg3!OmwqZdrE`L%P9W230nl+*4m{#
zt!ULa{Fz0hcSd&iq1Lr}%a?69)yuTy^y6O&H@41g(JuHgkw?3$;cC*71K-R&co)6B
zCUE*iv9_+$iMj1dbl$AIJh}bkZSjnW*Z2K?X}&kYWMW(JcHYOw-|Rfdb8og|)^pRj
z8W%I(KD>BJw(l&X(&m{>{U&}AmVJHaURAV4>=r+@&h=Y=_j4DQ!~V8j)~8o9)U2vp
zVfit3<ADc`F5Fz2T4hFC4L<#Ek_dZtwfu(R?@2dK*w`2goif?JX&e6q<{GxW)uQ_(
zld{_TOH#b{-<0luThE+5E90NA@bCXyii7{(csgs6X+yE)zR0u$l~_J6(}gBF1qZgb
zWpA2Nd1~h}ai(9BKSi8LE?vo?-S$6N(zaGVaaKjjMo-OGpVLp?TCMTp8ehJ7-VX`Y
z-IaUg)OHBgrW%XsI$v~Ky61y7_s%<(mXV8ZicFezc<0*p=cN<9gj8m2FkCfThI?(}
za`ivmQNO<h?z_2EDN8vb>YJ_8!>m8Y)Rk^cmt?oEx%@;gd)WmpzgshEZ5lletvf5V
zFw1yeD5Hx)^#L`_;`#YIRRr_5-Z~bT{&v|^#nPkVb1vHStM|Gt4ZQz<!K{6XzN>G}
z?5O?YzI5S&k47d5Tx(Wo{tt~d?f)NjR^ah}{s6-#cBzvb`T}%Um`hc!Z`iQH$e#a3
z^H$}9c3-=>zf3>iceKl8M(=CsaM2qLQoaA?1nwz+EACt|O(3;IBH4s*kLjDvq^muO
z6XyQkeR<8#_zlZ%8Fzi%pY!aDvd+fJ(4{+tYKo`Uui<99a+$&O+5)ya6OV?b?EWIU
zl=Fgs_L7AjG0TtdejOsaAx=N_@~jyL@3%E)yLw1pXmdXHx;{+v|G94~qW_<ncKQlu
z`TDk#?HA>i?@^ars=wdetg356Sb8<bZ?*eB*vlpy+^?peb#K?ixNnE9-@JBq?Z59S
zhEcUk(>F7Qb1}bp_0;iXh~CS4OCDZv406(U<giS;9IL3ZZ6e3SH~rzu7W{f~U;IJx
z-&;z){tf?_qQrlEe9Zj&#r2#Bv(wD&J_q-^9X-T({c2xgPudA*6CSC}7hdrH7C0NH
zn{V?<{%L>7!FLZ@_eG^>o%Rq3`qTJuTkL*=8PkvPDqd4Jn*MBK(Hg0hyNaWjqx~P)
zedql8f5TM6h<3&=>!<lvz7C5#+r{sA`0dIvHGL5|@Bb|y&lbp=701kpC^q{z&uhc$
zEoRDWWw*{1Wu2Y5FJ#KEIjbgBbgy0esa-~J;k%{VU7fG5xF<39(X#c|ZbW`-otY4R
zbbsuPZ!Owqf1f)i$KM?A-tyj&88?4F@A#gb=Rc7l(26->o>|iMsgiHboLu?MF!x86
zmnf&;-(BtrceN(IoqQ@QBJ=yb$AxjMix;at_>pJMI5%CtZuP$=CSenqhUp>sd45sc
zp0hXpYgjq=@FJDCg35}`qS<<1XC@Rn{4C$;)0TEboqdYqkuQ8dJ&s>7S{j$!Hh1OZ
zwNu^VTHkmv<}w^yc1^hEr+IBj$XUx>PFa!b*?C#?G6l<R8P4C_Hc!vbP}ts{f5%6=
z!YG^Y)rwJpR<E@rU&mcsbkBUzTCV%;)A@HhWbZdUWxUcL>fxEctJ|h)KfF0%hrYz-
za}zoW6?A(<A2xQh8rBBd8%1nQ+du#E7g+`!wkP@VZYSgB>@uq<cp2arTfF=5YBR}<
z4Vu>+5B*nvv4ZbO{!amI6|KhJ7dJv%&Rt6QX})e+>FtWWrBz>RJe7T=7T$Uu{QU7l
zc7s(3-!F$h{X8pk9gp(ff>!HzlkLwHe`>6(GP)_$P(AnhD`n~1T`AKpn?-)vas7f;
zY(Y-4g;)SjS^mF-CkI02gl0N^E=tmzS)~52z?tv%G@)yUbFSX!Eq&VbPTPEqmT$Fb
zyzr6hUn&hf7R~>{{A*)e;?*v-N8SgcfBU-Wn9JPTHSY!YmxY_xY?gT3|BbU%?56C8
z)-df~kv3na@;@*XRWmg=zI*6hV%Re={gZ9sD+P2D3PP&$6Q1}l5a?Jkb3;%6;p2NI
zg`G+ckcgaF-6JxUFX#50$F};p(UDuK^v`B2tg`=ad(=#BTi?3Ps?2>G^5n|@yk(e>
zk)j@Y$Ze_2(>Aeh-;BiW?(XsaTdL~!$>|G!dq?J{r7ngc$8&FLr`?n|u;fO8KI?+Z
zT=VMK7R!6yUuiM#g7Q`Fz5CquGBfuo94Ynw^|gIRn4NZf#S;TFwr#PGTz+)!DDu*_
zD!14d&UGvB^PPLEuI!S~xZTQr;?(Bk5{|kT;kn&MUDJJit>UDXeC1XP4BGXQ^G@=r
z<7{)nzV5kwWyUhbuFo<Hxec$I&vW#@vgzv|>(jD7$`8I>=O)h2A;`DWzG%fur$zNg
zTdb}wd8_*1N;S*X#WA}#x0UUTeR1fZVMMrd@XG)DzqTJ;*%iL$A%Dj7+}m>&tT`0D
z>Dq<Lw+C;!1*h7wJ@t%Nx*t|}{m>!hX_IQ=+&Ck*$#JcAoU7%@I-NW9?1Z$_c31vN
zY<eulST?)M=OUY$o86}5GpxbKShymE7l#xUtXt21Q@Ur<mXAAa&Te^qb)`l^Qo-_T
zs$ZYkv+nqLDWSN^cAH9$|J4h>Z3>cKeNbB5anEy0x5&m-$qOXDCJBm6KC`ob)!LX%
z&+X=Uo-By=Y!|w&Sz=&(t$o|12hG_n8B(X!WR_~5J+Wx#CapbNEV=yjpY5=i)bd%f
z{k`qY{`78+>uzf&H%`9#({TH5cjxn3GC|V&_FX9b@UhEFW~RB1cUXMW^+iYb_UgoL
zp8R`OqVk;;%bxw4TUY$}tH%LelTOax@1@qidJ|ZbapZ!zwDc_f5Zi?7Ia)dSY4v{-
ztwbdSi=H^wWv`5THK+8lc>S_py1#$DiuPVU=a|Biou61uSv@<;aw<+`2Auj|{!rIA
zIbED@?!Gxw-`!Vum3Z2~eukr4{jAG}TzXX2AG?;9E5v!&$K$@Qo#iM0iRUhE{&Ll<
zZFh~D?V5f1r(R7~IURM*NVDvc=HJUDg6HddzuLa@YBTHBci0sjm@X$Zb<zVvC3D_t
z-@Fw~jvG1ze*Hal=bXrOFD0?^g)_hET0Yd=khpZk&z4n_p1DXpziaht^P3Hh7j|kb
z4-#GW^St>Lq4%<@O!>bA=05);92VpM_v3?wL8&_H-(_|wSh9cFdizdeLDP+Id#8Un
z_bo*Cvx<heqF)OK->TC$H;LTu-EHNrwbGbNBXhB~3CpD&an2rrp*!j`WCK6-E3R&-
zxGwp<<G1%3+0~Mhp7a*`XYXOVBg!#r@4Ka(QeT+AcE+tTQ0w%yy7)NEu;Bxn4a17#
zzy4+z>-k?^KjFPI*N*9{?w=D^(7q)dd+AqVm6<}Rf@G`q)q~%iLhjx&ob+|Yv^pI>
z&QJAoSB6Diy5b)t!Y?=fZQn$*Q(mmT3;)hgF5UV3GqbIn!mh4gn<gcE%Ltln-EnZs
z&bu5Er+h`H*V*k7^O)|nD%x(k>T5p7Lv2=11?qx+H1@iDI1$VoqNL%web@d&0o60U
z=*^f_u<THQXJf04O+vWzFWcIfsS9@t_Lp4eKQL!1_aB!}4U8+C)_mE^cC6vp&Z<k1
zw|Zan|Cn?-B5KN%2Xif1HlGU5{#Fz)?e|_G=dbs!9P923o>&%K$e(t%XI0Xp3lX;U
z8s~f+Unyq!cbYzudy{@~%D2OnEk9N!wSK(#c3PmK^8O8-CtjLd*UCBh*>Z{F>dN+Q
z=a1d|_I>vE+;yVS6JJQb2|uPY*>u0P<xG=HI!nU0OFnQDp7%<>BtO`u?9s~;jsIJ^
z7Bg2(6+7>t!@um=YY9=$kCSfbn6H_&<|Fee<*EKXE#LpH$l^A6-gz_snLfuA|Je7x
z{l6E_=gwX#9%A$((bLjn=EM^_XZ+#GezNaU;HKk?XUOLoo$E3?D!KQd=kbiPPsb;%
z-hcVi^%D^jWZv&rTed_x?Z<*;Z#PvG&3Lq5%4ogj^s82nB5r=DTXb;tPA%zANei;B
zJrkF^nJKd0<5=1;*^>u+Z@wzr{%x0nG1oG-Las-<6@`~|q+Fh);dyNNfx@hH*QN>G
z=GXoCRlz@I%A1#g5l?>{+`dTDY5u9&$hxmR-aRu7G(ROwK5%^h#JnjENldT1UcI`%
zUiI;o+1H*=J32k$`O9}Ndrw+zPpzt};%K{Eb6}Srqq2X;i?0O@5xJr3W~84je06_T
z-S59DHyRJ`U@QF>bBgzRzvv0?Qy!@{=Zoj>p49p8v-`vc!cL0Uceb(SKNl~W!o2fh
zSXRt~oP)a;b=W)0o1QS4sljuxZq3G)ll~?1OW%9U6%NzgwanY$*ZB&Q--fG{4)aK_
z_j~@bPVjN>>!twF)6N@W(gl+@#R+I$=y3?+I&<hNN59WzxvzFN9fY<k#;{)9ZZucF
zoB7WKA-Clxxn(Zu-u|+Udk(+E9#@H#g0)*!IMQEDylGPMedm)7?=v<2_f}uN_I=tr
z$((sg?`$lcde`d*DJwC!Zk83<DxLji?Y9r>?z~*hRawWp>_m|&x4i%Dsk<8Q{O*hl
z`S&1Mf4*GOwN!C#bG4(*J9IAp$PM`<tk!z$ypz?Hhkrf>+Q!P%-|d=yJ-J}7@qg=R
zuH04Mv|DPudzE`{YI_=n{dsq3l7qe2szbXQPd?Xt88<VgPyBrS=ao60s>+f1>yNcI
zc?6w#w8i_DlJn%t3GsGM&cvyIyTSOdKy|~`^+%;*G%S7uoYkB5FuGup%*yAdCOT^?
zm$*O5D6w(-9%CPT$)v`4U)mG-_D4Ppmv3}Ae3@j>c;R7htKrWBXR0lv`#)b}7XF|5
zY?=MdCNCMgH+$b5vwANfBQKidCm`<r%`>=Db6NAYA0F0K6X$%(YS@wU`M^(&C3|_-
zKku<i3_UirSYx8fCEp*jXVmUX{8YZ`_rAmfQBPeC2*?$EJ5#9fWn+6x0k5-V*OYlP
z*yd{`7rd>@{dLwvw1MgJvhz_rc73Z8*4|o`o!-F5xP0=FylY)2RUWcc%CE9BSY&<P
zTKj`k-HP=ylHQohnl1ci@36l~XX<a;Epe)n2iAVg*ydxXyTy5r$j;{v62xV19Xh(;
zLa>-J*3F+uW(NF6S89hl*6JkQzVxm2;tXX0Vc%xmf6g7QK970N@6R!RD)r6oS;(9D
zO~0yTFI?^t*!Xp`)X9s>MOFU!)=#W4-rIO`LFeNfsi~9Mi%YLfU1qv)yY}q79ZaSX
zr!GeyO#ha~Af2aJyZpNTV>5{jc~*A(A{8nwv0UuMBB}a`J5NVz$|YZo?%>;)vO<3I
zL-wS#X}u?2$FT6a-FTnvC?~u)XHn8k&G2Z6Rs0z>;bqY?D;M92Qhcf>5x@1q;ZX4(
zKKHjOPnZzFQO4eTes_S7THdZ*7xZHeKKZcKqs>1kys>u06sxzlFPXMplUDv~cF*DK
z^nz_I@{2YqZJ&6S-)ec<E|<;)*Mtw>5OP#(UUar9CAK$K>DvDd=aY`*WQDfvai6j9
zR_Fr5lJlD1BDmO|*nC@H$!#g79$e}z{LNzFi(gv%*2s(G%ht`SJ>e@eMdo}whnfM?
zta(RmqRh80HE6ZHyMM;&&L>6B-d^~%G<r(vD+hyF()XYJTi$Fkt6)dSzf%1Pr7w3>
z$v)X(kr6U)a+EFaOo^||DyJ@AZ`J?f;2GqyQZT-b;o*im9hEole%fOFwP99U^ADB;
z`MDVr!o*u|sE4Tk5#^HH@kTQzZIAt}^4I=;pWMBCUcM+<C#XL)^Wx{gNUf+BUyTIf
zxvRo1d8jnCNgQW5aPs@nm%+A=pMG(jRn%1J*w*&JwAQ9<vD4lr`>!G!uXC?lD1B*}
z!kg9qe(S$-4p{Sf%B!R+o_qa|tG+q<VE;CU2Bw0FNV)faW5nv3pXwIO53g~s&uQ~)
z<J&n;`K|P=Y$3;>D;`dT`${CQ@R&Z`IN|<2E1%2jvQPGHDBo1_sxQ{a`qLIKBSXWI
zUy}tl+TDBkE{c<P{_Ll@XT4g@WPM$Hev0SM=3?6r;KJ&3?^@m8LXUa8d#<-VNm*TF
zJju~PbcfdJ$5%_w-(JN(E5Fp<aOrBM{TG<Jj9E1K<a|zd_SbxA&rJW7Z{OWobTm_K
zpZT<xYg05DeoqNG$`D%_oSS}v@5N~m4Zq8;&-?9<jqeEDBl>?iPw#zcDV3;aF8gi=
zO#Zwyy-nOCH-AIaWRcWm>35&CCaym^OY8V7yI05TZTpW-JaSa}KyXY?W#>GJ>-7;K
zhAmEq7XMPQdB%Tb*D{U?rT3<A$mUGRy;yO~gZGL->=eTf>W7vbwpy@3q;|6ZR^P8*
zCTuzo<;BV7|1MVRk5Z;o<(uCRycLtpPAJwW_VvD%JF(4dmJ{#R&Ek79<)5w33SUsG
z{OE{Pp3_WCQ(OBzU-llm+}3RnC%vIiA-DWy`{o@*+oSA*%salnJKJ~6OYM+3L%U(_
zOz*_@4~gzB&!5ly7viincm0K!qzBt3J-3z;Ve6muldo;r?0GhKPAK)PxpZUl>-kK_
zcI;p167E$z?csje!;_vXuG4iiQn>j|f9L*fM|KsOWS(cK4(=+doj-A(oyc5Xg(=(o
zj#STm?pYR|$Mp7s+U+@4!t#P*FK}&fzJJDEW!CwExg8fuS0B}%Q|-ePY56qtz;f$r
zqMP(t7c~X7zdv-$+&XDNRo|Y|bEnN(X=@uQmcBk!kK^sfqh7JHw<NR}CseA<Sp4Z<
zyri}IgLCzPi9Y$Oitlv(s=obyZ%k6t8fl-{M8mU+x8ohH|8YoMG~{CVq@1$mPD{A_
z@1E8EtJXVDGARCLxNYATuG+=<EW4Y`zI{zo+dSc!`<)DCodvw_*NMzZh?;TMF4m@5
z$%H@RhE@2UfUm)snoqWFD=<ENcG~G9hfC5<emedA={e;)OAZ!Y-euRnt+~0y_trm^
zjWd)kIv1=D&tn&^`Q6N3%I6-F|Ki#IpeeD>&3uX)Ob#sGsdQ6ee&|bPrUTA1ME39B
zF=bnT)XJQ<OO71Kv@3p7B=!52>oHb_rkfwVuN<$)X<e}Qoxhijx<&SrCmdqB!PdN=
zr1uHFz4hwLi`5URI!m73@#4SyO|kQ0j(L<z#D)t^zFr&VoYSp~*I8JyrL@+0!~K})
zRo*%+Mm!H<tq+)32^w>H|C%Ic^Dy+omxrH(jV`}k)uwq=&fw$cQ~3uQPX!&~((k|j
zwWRV<_od(~X?~j|<llMwE-pKEm4D@`?;qb<|5KWwEK=6Ld3VVheYsVyd_=DJFsv_Z
za|yOLZ8-8``HaJs{@-&H+;7giazb#n|J@UB+@o7>FS0lz^e0-%?Oadk>=(LL$8_d>
z`C8ciHg@OF+`C_=tV-FGasRn`hefPewnNO7f7@!7xqp6rdyb*QfroQ7KFwNn-1b4a
z`$?9fx4&h1wpb~xeYGaI@`c2Wjz$0XYC75WTnRlt^XS9)>Ur)g;o;{MR%^_+W?Z;G
z)p-BL&ec<E&Dq?y`>FGv-nB_KBXQ~!KEe8mpBY`-&q!!Si>f(^-)Pz;;NzC}bK%d>
z;6vYfUfpd!`*EU!*O3php|)Qi=9O7VR=)jn#`}7D<$L?P9Lr?4H+XZGR)s#_{QmO%
zES>Hv)1t+c)|T_;g`QZ(Cuwr|;&+u-I(fD^FSu>hPc~c<mp&Y+xS_*7@Okt>ncJ6t
z-}K%gE&c9+p+*|3z`Ubuao56y-}w9xiB)E_(5?Nlv}dKBe`Ce}<U^tE@nKt4namP{
zf7I5;h4eKXoq0%becjSUAD5?BC@*q0%P&2m61V$cVL$i%1mEht&5HtEm*2T|WlLDw
zLnkfHh%3F%o(Wt%5wXeP^T|oU-+peMyqR}tRKshBQ;!~|tEvRb&a=5%eO%|mylLN*
z_pC~P{846)@+}9$k3VuBH?8=*j49tE(yZz2i;9yfKREjw6H1%v&R#kGY{k32nMV4a
zxrd$zUc38?J@p7veqqtWh$C^E5AwD=?3|OB(EW6;pabtFhdr}6P6+epwxtOMIY@eL
z3tQ&A;D@(!)r}lqrLaZk{%yCks7YBAd-LCs&TC%Rxo`XHH@5z{sTy6eQ9ZfOvT5)6
zmU~7rkDX^b<W)F+jpSq9rF(UD=J}r{ABx@j+L?K0=v{m0GBbYGLG_#e13NM|v2>SS
z&Cq|G8}ZI0JnHH}Pvz6o*JS4A+&b{N)BMbo%=JH<J~F*2-S(>CS;MNc8%4LY7yG=L
zwMEf#zSXY<yBziZUA+~w>IY|yiS<RMHyeb7^ACwdOusIyJWJw4MY2X_$Noy6`yZY@
zYcxq}U0fWPlO(f6^6AQ3$===E+ZZ2iJv`}_V&L6`3A!(sQr!Z$jmu}AaoqmlgFw39
z+kH1bo)VZ?FfaTtlWyPX2d92Ce|XDy?Uj?;<gfQ9##S5@sdraj5MIV{d4_TRPS&rg
zQi<=sPWc)ZzkRn+RfWUfOxCx%tbU~`MVG1nn;;ysnPv6e30rbK{(R$b-SG1Xo8sKV
z4-;8Gp3Y}}_(bpj@g;#Oy@&Z@Z^|ZGnO^98deXbc;Z}3Rn!Od0x%=76w+HgglHar7
zhRpUrLGN36cm1<$KfmmLnfC7V63NC>7OxkcWs3Y%;k3pk{on>>`Qqt)kr!TFe5f^j
zI{VFbW^T_n>dvuOzo;6;F0<0&6P|Hp+iC%cW}_g1zu}s$tGa&3&j^@hf9lB0Zp~Bs
zY!#)JMsum8Yr2*4np~VG5&a~!&&RkkxaQN}tqdhU%S+!}Q+>kG>hbi3P1Q-;xi@(p
zDwj@|D5~|Gqf_D#v$wZKV0EX*n$+AmXA{E&K7D$0Xp8gRQ`eQWOtt;huP)pjZ@;r&
zNu%p2&z%J)^?92cKbN@u{MFI=D(rCq+xzWNGKan#-+Zu_agW7jsal!!=d7Yn7!}ye
ziPx!K{AB5U{<<W~RsWtJy%hV@>W9_dmltC9cI?pfJt+L_X7=YRw$`7Y9(ng^^_+wM
z8b2@EBmLp*i~`+BV*VxjS57|Gt+4%FprKyTxleX)B4xUrzj^#DD`MPI>ArNOGyA_8
zf96k+t)BH(Yh&ERM|UNI6`mYY^FPDn_5M!2N@VIAkv*kRo%fg7b4`!kFlAMyRz<IV
z`<jS3rJHBuu9T@;dQP8x*3}N7C(@Ielij?`udALAGuUCQ|KPK%LwlGoUviD^1kq@@
zcU2RwO4@A>mbxzzFwyIjl+Narz1)INo+eD6nb^F2lB&md6>c8S4{mQyiSjzv3&w7p
z^Xh1R$hjTwtB)DXRQRz!L5pcu<mJ`td9H}0?0Lv?vbtL1?!6nb39-9(y*<gJW}Y|q
z%e_#QHNW3DOH48nvCh%rO<(J}SgoN+{EnW%$&zWcbMB?Ry1jl@nCAa@DOtm-bBpGC
zyMM`v`K~YWc)OJA!_<f$p$*dnLJw4YSgzFj<Z|t$vV$VwhADII*sPmtcjTe-F}(xR
z^Zy-Kdifd8v7;;&GL5q|S#)$?hdq{3dwVKbrv3cdWZNL&+>p|DPd>4B2Ys3_e`Tn?
z>zQR6_*yu`>%TZ$$>ELSdGN}kXPM+a{;LW7TxsQ3=i0jDo=#$_O|ox2_{nabCac#$
z#u(*Q6WFJm4(i(1{O8ilj-Q)j?re~0X3Jf-cxkFy;iFkqc2=+J+d1D}PTDa0VQZ3=
zmd?C}oyAG>j|Z-~*QM<J$e6Rt!nZr~;p3T$*B(7J&*tg(pGzJs4PibRB9I)J{rKIc
zxY*0@&SbKN6}{f*JVR}>_*6xIAD1P<F*!w{D?F#Pe$Tp6nBjeuQM%mio`}_)>rE!B
zD?Jjqx;~eho#%U&vg)IUS^7)Yvz5zF)w~G*5x)K0HqN9Cx0G9??#^Q1xyvQ}ef8G0
zTbwrk^GacOG&_CKP2Jq3(JIexn!ieNkv+ff-qMP!@ae(rhff!uV>rXEApOzmRO0J6
zb>GPc&m1kw&|354(dx)`OiHp+^<F<U)-IfOEoou7e9^;{n*KAT*RRYkH9owbeW&tV
zfh8ubiG``|nI=<}rv1uR$-lHRIr0JnSHnJ&`4OVYfBolW$?ZujT()9McGHF+>noFP
zJU3gjBkED&zZ1b*bj%)>?lQY4{$=lFe=(DK+5Kf(L?4=O*9-rVzV+w__n(oQ8a=o4
z@V~wDp^xpVtYv@dZoBviGkvTX8TOx3=wC3wmQT`MK(3|hoy@hzuXeS3VRBj{zi7(K
ziSIRk-6@DOUViC!%cYmDuDKKT$F0#&u>7!g>)$I2Pqqox|4hkWJE`ID5AM6i=SwH?
zUhathZ}ZcdeVb`z@|40&*+=+~drB;9vV3U7#^b;FmbG%@?ogg}g>(A4o`$yPw#r}J
zed(Q7tzy7Sqt#zuK3vRUvH9QLdF}HgOEw1H`?<S!`h)II)6O3L?(tmbnu3o=AuFHu
z_h}QWY#jcz3+1+YivH-|5jFi(!B&p>N0y5zHlMru(CPh`c`+{+Z`^rtL65}Dpo4NM
zs|{i#uDSi(azG_sUP>Ulj-fdCx82cl51V`6+|MkFI{4&hEt`>dkjJ`<r_z|pceH-p
zpmS`giTI&^Z`qEEymIGRGIL90;0Y7C3zweT7%^^(Y+l2@tLs+R1)a!6a;(1@Y<kK*
zAMx4z?ByD9x7wD*hUl>B-4~Z%|9P*&L)q*?aV!7nhL=~J1>|48x%lhOrOnZcX3jl#
z_1=>ej|^LuKaIY+b%lQBw5GeuXY{{PI@@EQ{pYgLPL7;)MOPJV-XBzaXYlaKWKo$y
zr#)I8>t2T3-FlP7dh4HcRa{!%jys=Z+Ic4W3#Y*PDm9I(HBR!U4Cm$fx*Wdxe6#0|
z3yRz}KHcS|cjiA)nGzSXeo|AbVp{6MSxny?+c;ISTGAiz&rP+M8k0O>@1bcnjNK<^
z?keB*cb#v1s#s#qq^rFw#y@_&b6Ht?SMs;E59>zh=xbXSPtaLre|lAHk3Ea$@4q#I
z9qSf5{8r97&StbqvRAeJi1BhZC+<J;%u8p^+FF<z!M`Y3@7|5gdp`Poy;<^h&9#a9
zyVlr;yq)N()PHFucLwwCH(Dp9lTX(_T@srhaVWR`wB{Z5{P~CP<!;rVbe8kD)`ic?
zD?YFvxc&dg`#ozJm9Ofqm>8trU=?{y=gZ=p7{6VfT{AE3`5Sbpex>wP#YaUp!iPTa
zul^*zsr90{m8dJ{l*7)>(_}TJZr)lE+_mY%yO-&rqDoUw8(crV%iwAJfv~(q%4^<O
z#29evzq!z$n3v3UCBDl0Fjx5GX?KMyF9bfC{_Cs7fy^I#QO2?_LVg*wNh$wh{B%I{
z&GZtbuBX|?>?{A8PR!3LKJ{w#YN3inC;vL{KlUPQOZQw6W$PKA+tnwP{@lSo{b<>%
ztCb2jQ(v?Hsk-6NwW5D&Ti1t~v-dA<DK9F`wqwm+^?7Id-U|=T=hjaz+}iGIGO>~=
zu*SbdfXimScC-4d6MB#4b<Wm%y|dijYX<Z2-fss5Sp`@0<mA*;PH^bl(LU9*x~ZDa
zM_S40LT+yQqwX8wXEHA>OIoETY4G_+OjPc*vidfOhuZHwx7#=TlXsi%>0WInu>I$2
zMxU8~7HzJ&Go$@>ap>-%iW=FwE8_Pi1amzP`u?x};Lf#Y7Kz<>pjmtHX8flF!3Fk~
zBFwxj&(&`HI{!fB@yrWO?_cRS=rL7VIZl()P`LQy_T%|>Q?AF&^f|Zbw(h@PR^F+i
zzm%n-W8^X`HLu+=Hk#)C-?c{DIOPqik*Wiev#%Jxb?DvRaAwuN&5P&N#!Ia@r0y!)
z^Q7*wpp<Uz%`F*~#}|l|didmhSk>8SV%otqC*gv{8=Gr<f}5YnI8|D`kAIf8aANnF
zM&`+-oB^NifAS8htavVW-99sXscF<noBUXZtLBm-TfZ(hHu}}G-;mKLbk*}GonLdl
z8h9_ce>=jzKO;7HwpCj)i}TI!;EfmT1;iJ>(Q>HJe{s$#T7KW2BC*I-L8qk~KOFCj
zek}UsufvjyaVdGn8e@u>KPCnKYyKGYN8@Bl^=Y3~yPA2}<}igX3rhLf)~RuLVaUgX
z8vzm~)pKr5mRop#YV^GS*OxDuy#4u}6}smy->=xk{a{T}quGt8PRn#ID0X_xi=O{h
z_Fu`emGZfBCr*lwsbTnJS+yjf^rFhDZl<K``I`<d><Gx4ny7Tjdtw2*i%UUk9AjJ9
z=~=%PPXBSkJ6!bTmEDu_9;;~U=KgD5{CBBP^Haao|7@Mh@6L%f-e!DrmHuq@Zay#b
zpR&pa+7%Y8DO0HlJmD>M<%h@O(;Y&WbkF1qF37XLcCA`hc-I95hn%MM4<^<ptulN4
zgZWh5!~DY+vOhm@bNA0u-rq7?`1q%3D{DXAQ4RX_|F*}?hv8QoSbrZ8JXv<_W|ZdP
z-xCT>|G#vXr&86)_);>b&87~vVrLJ3(_gxls*#s}ESjDi`s2(`{+1P1lUDWK+`9M1
z&x|LzeU=^V?|&|fOt`#t;_1{>zY;Uc-M0TMr*zl#KMsi1{}Q|C>C7K7Lak?ZJrLpi
zx+0ZBZ!i1Qv-e-r-Z=RC?uNZ<maX{Uweq&`*VMwCO3V9?w*5=x7XK05w|v(Rp1`G!
z{6f)X+8^&PD?iz`iuw6x?k6*+uD|`Zj91l-fvJD$!l_47(v2%yHEb5R8Gc&XxWW1I
z9CaS!b)xn&<?rn&s#zcMP`g85|9{@P4PGA(oI06Zp=w-mYKc$%?1G{wSDC<zCQH_v
zubYv!ed?P}<&t5H^--!`6W-^!6^oxbw^}B_=mGbe>F?c4Hk3=9uuL}jWINgD&%Rd1
zX)`Y$YHfa}SJd_KUxIVtEt#2;_a7fRtyyS#`ZY)T|7XjbWG_A1aQDG)za{bumzv6S
zU-%~IBmUx;$X?y&*NloKTs?~BPcm4hXli1yC+^#_^;QDsyc-`Ew*5c7U!#2AB*i*$
z(e`;WbsjwoyS>48%^TgPxA!l-x06?yQQ}<H5yv7PXF*Og_S}W(7rr{}+^rhrWfr=%
zep<uLqmAo7E>ON>b*4JLB!1u5e`~Lv%?(-Wvd-kUs36<5G}l)wD)-J^JQlVm@=)*R
zwdXI@pT9EU$!*(@sW+}%-(|K~wsE!m!AqI94L37ycqjG8U*p09$=wAXnuSi;HeC6=
zI>&s*-+NmNZ7!La%$Sn!(d~?en#rNMTd&tmjBZK!u72cEh1H%6k!zeW9`&j-{~5{u
zS{qrKvvcLP1R)lWh^h9g!|v@4tKR)}>inJi-k)sUnc4Kl{E+yTCN(Vwm$0UczIwJ5
zrIq}b^d1)OE;ra}^7eU=)63MfDA}zlXV&V!n$H$zI4ALLigBI9`l&|?gE)^oU42Vf
zYJ!`|#r%_!-w#|U6<}Sla7M)Hn;%y>PkiseV*cmOkMDgK9!E<G8!OEH{$*Cx^PLko
zqfEY-uA6zm^>_w%zSzZGd;TbfW&K(3|7ul-t?fPaKrPwai`H_^t1YL;8Kk$*tqW28
zTK@izt9;lt@vb}W0+!|-S--+L%SwOTXK@g|vE`#d4UgEagYC&@)(N}p{<bYAWJl+&
z^4~t0FK4`{VyKUa`!8yr`ON=x&}l6#iLD9z8@HKxuUQ_bxP7~<#mC0)TOUso(Op#V
zvA#0X$oy5O&;f-5S}&hpmJ;8yQ!`LP>fTY#Thop*h8;awSt_tHUUidBRNLDwo~agG
zc~^EWnI}F&Aoo_><3k+|Q<CJaGO;kNT{<WFg#U&1efj$)G|l?b;A&=nCb4}@Zvby`
z@R={J!7YgoFT9moUHIUu-g>VRGYOXyO%FZ)Kby4X+omb?azZWEFCU!V?Y)0~;Rf-g
zXVYzYwthaTTFM!z<PjKlGkDIdo&T19IxSe_v*NkE-MaU8m=Z0Vt}S!FbD&|Gd%Rof
zv(`<2T^1hCJ~g)_LoiMw{7cBICZ+>SCra;}JFM7Xy6)JgNtqg@8<Lh)JyR`6=zEf`
z>{$NJDZy#+!`+)iRxr)hRnR^^J0<6E2}AgqcU;CT>_*3CU$va|N?L8*rZ3`wJ;&}&
zD%Wa%^@`iX@0<-^*^P_IK8c<Z84=&-bRUhr;k^A-dP>gv5K)${|8GvNjQ3hUeZKWg
zhk2X%OZ?JIFBu+~HsLBqc4~s*K9~BnH?JE=6)w$reA(;z2gNlzUfwj)3%a^$=JSh5
zyPk&r*|_%~)7u|qXPr&7OqSeKQ+qLC%|rvA6)#m8X9qhxTXe<EHoe6w!L*;5<(ZVa
zCC8)#Q;!6=`_B7m{cVN3+p_Po{Jx65<V{;;IqCJe)9J|%czc&gie}y4AF4d@?w|BI
zj{G@u&s$t=yXIcn)W@N4=WUbJ0ZFS~rUQC~FVj+H-<&l&?Y8*KP0<;_{Elt1vs)Q>
zQ}tH*seNgyxT3>py|2dju}J&EDXz+UPpW=jv-H-R$S~Kk)+!T$CL`zEy1kd*ceL~{
zuiyE@%i+n}zv7j=-?&dQ8CGszH1*j@0iEzAFT2*xd)asR>>`oULk<4gtDGA6mDayF
z#+~wd(k0$ri{2of%7%L;=Zh~2NBk7=uriFweP{bva!quIc<B2(tW7B|_1>xWO*mX!
z(s7{nyaS(^%h`puLua*0m8iOM{a7|NDy}g?L-(`21D{dafxX-v>C@i+sDB*3=6Un4
zc#&2XiAZ1F80o3T^Xj`)3iOH&r=0jv`K0Ab^v#!dpQ?Y9=#QDqB(;pg?8_06pYl?^
z%bNt3?>^@p_Uw9YgFxwW=^YOzY?P3k@cd>(P3pxrR};R>SoLksv>Ow`8)})ZNF~L2
z`myZgSeLeL_TlN5*uShjod57dPN5vz0gXPE8HMq)K65@=u#nOJRFSRY-XeElo^|`g
zm$%J4Y-b|<bjrrt5}GV?<lnn}GPtnp$o`8*#k1D<yq%XgCp%x`-opbu+r5r4K0C2I
z<`L7WpAu(X4JG^Yd}F(7w7Em?-}|=Xjq<7ThKE}jC+=)qs=*LHbDQwT$*yPe5|d^~
zJ{3H1s;#QP)3A10px5l{d-V)n*(9uSe{?zEXqMW(O-YJj*BlnCsOs;W*loF6)irwl
zZ5!!x_kOR|ic{IXf1c}=181IDvP(Rh+omM`;?qghSqe{A9ShpDN^xIDAm1m^)mNk(
z_g&w4KUgcv#(mvGA)be;eC%7ocvzz|n+uL@dB&*Qoz(x?@mI;+`vF3)r>Gu&)83HG
z9cA!Pg2_T6`-EoRog9_(+y|ICTEljVD4y5V2#MSHLZs*1y6T9(p_i68PUX&TJ^gv{
zTL*)u?;0<#EGW2n?(89Vo9{{Sa~2eRWq0%7n=I0(>3_LUJ5cqnchWPauAKPj4?Cv)
zKh5tj_pZT@w>y<Z--rB`ukYK`5^RzGcd`4S<FUT}yiKdOzMg-TJL1VPQ_1$PO^4Rz
z1;<}XN}MM;_d@E^yPeyXvF3RN*Ys9cY(JoM;?S<We;&P?uspLsZbg*Uk6iiZtKQ%K
zzWwV7m5q@KZ&rQl@@HnY3p5g&FC7?C5ISYY+2g(`8$!!&bG_YuQL=8vzjMuuP7&ei
z4;L+RyONL`t9<`#gOX~2ctMa6<IBg@UYEG^&-S#cPd2YP;U>8;<HFXAv#0xa?GP!_
zv$pU5AzA!%c2|6Job~b_)p@mxpI^Q<ck0BeS<Vh3w-?0OXlU(CyS8X*zM^7>Xy;=2
z?0Nhv^mR>_b~3ju|K`{l{iAqWQd@Z6%ciM&Pv|WCd{4^nqHux{%PoO^t0hmGqVud}
z0=BrU|2HA@w^M=q#dyc*87mZQ+myezH=npCBGsm0w?}f>q}Ek+x4gHNfBH2m<=RWZ
zmc+%}w*AbXG?Lqk?zq}sjNwZ9*x@*F;g;`bj25u7ue1K!z4OST%N=daKlQJ6Nqy~{
z>9RQ@K%n@N^8PFQE{)SA51Mq%6T7Ifn&oRn*}p)~|7?HkR-0HV9Z2w;7;N96Z7a8I
zLw}~>`WJdmM(N&PWfw>uTKv%^Ibo93+kI#CxoWqcneLV5e9X-Lz-G1Ed*wxMh6GQ!
zr^WSu%7W&X9YPxw1%=GGF8+>MWz*vzalcO9bDh+LpxOwD09n4CXoE*yvk$rka{m?x
ztx8+Y=^?Y=9<ywR+fJwW*tI#L+=0q_$|`TI;n#jtaR12v{sl{!uZVqmGS9bh#atfV
zMQ=M-zITXQuw8V<EIysjtSSs{4nBb!f>$s5JZt|R4o`ztlUWIO^^dD%{Os9qbQQ-J
zdGBZcGcCJ{4;wrbjBC1_wr;L?Pe^*x)x<LGc`Bm5yLX&F@^z!Q)sH0!_E*{7Z{Iid
zf`r`c9rCIU#m0exKca6(B-XTlGtJ9>()4WK%Uafq2JJ<63e5`{4kXomHx(#*)o(S;
z_G+|OEKhajn#ZnpN&~ph=0vXAxcMW?)FWNHVvGv-METbURjgR&)w^u(;ubxodwH%r
z=lym^p1iYY(!|wAuP<E_BJI3ELA*EUc)^MzH-wD>LxUCTR@ZNhWu0VxqGFbk$oloQ
zKCeC<YI$Q(TeHes@zP%RGL{$fI{fZD+MQi~cwXw#*heA^S(SVXCqLnTrnc_OVjUw#
z@3g?;YpR8g1?P<x2!{tgXe)bvF5n~QS7&c_t&?^pdT)aHpFg?FmoD8<)nny+ZE2>z
zLZ0Cct2OIlUJIQJyL0@+S0P7jv008yxu>|~V?TB+nCHyG-F~)6C@$}S*uMDdw>%vx
zw7#sk>HGQOzKMZ8p&uC~tnW%jZQ7YRSLxGAJ@uJ^CqLYMw^H2YWe4M}5}hQgbi>9Y
z-;|$4q+9&>HYH?^`NT@*RhKvVf1EGg`e?1T&7##3YgT5O9}cPO<74)CFz3XHTod&V
z84LdW-(EjA(@<=B-4nxE3|cedD>EOu`)oa~)x6W}m_&oo)y%$7t))}GS^Tdt&}pBb
zxHo;h0N+(lm696|Crn`KscpDh^YvAWUETVnd|4&Wg1ZhdhgB_{*`RliopFV5S@>4>
z4acG;&Q|z)bk_0b1*a~SuWsL7FxhGPr^9wUo!7PgytH2ZC-(P!-Ds20`5U6I1}v+%
zwM{9*Q>D$&VL|$$$6tSK`uJd#L!ZIx4~y1JJ|kZ|zddY@`Q_$&>pyR}**j(4@9LLV
zXGXo;^+(U{youk2#kppB3%C5(*^|)5_Ir)p)OcUEjI3ji*LZmf+)FTCWv?>hdzHDa
zk!EtG-Tg(LX<`c7YHm*Jc{A7bd*PAHc{2}HK3FBYc6ywtw#MT3zg0eot(-qI<h!_s
zrx5qDikUMW^J~P-yx>+^ntY>4<0^;Lw2JWS*>@ICfAiD*rO0W1305x^ld2uJJ6aAm
z%SE<-h*{h1IorK^n!aO~>HM;vo@+fD-FTT?cEzzg>Wug9ef4$Wf6YG<pF{<F#C@B$
zH>^lk>G^NhZ@u%tPtzb_w)al=YJb1$i4o4Je%~rocW;^YVuvXehZAo}Jq;<5UnsZi
z-(f4+B0k2@uKjb>-Zho#JTvZDeMz5j@d?4AnGv%e-CnTgQpcTj_Z}<G@Nw5VsHtjm
zp)Bp%ykm^|XQtd-QMBJP%ZQ=z_KU5_x)P^f7`)jPqIPA9_-XD!hHisThnfDL6MuEP
z=)u1^_7OcRtIp?qSujsZIH=jF*|;iD%;Ct7qsQ+w&#-*XosrTzr&%dhIDPBe#@MN8
zi!LfYzc^K~<m$QNHS*=ZQvBH?{zQ4Pm4C>4Dv_G&uX12=&z759OAM7Q8GZItzrB6`
zQOuF2Y))4%wQT8pb4<Y9Kgz)6(>seD1u12D2WxUekL~JzzhRf1+P7n>(~dXYj!AgF
z&G|;ZxWZ+nu$Gwo);GpWElQ&PKU!t49%UT6>-Lx3ud*gu*DBoI%d`4CSJT$hbE7}9
z-(0e&uV44+wI_ddPkt_|np3JZ$JX4eVgI2$8x|`1K70I0GGKbl-W#WObS;SfWE7C1
zsN3IgW>R=j!0x%r7HV(w3t7jX#Ot|jb(f}vr%`IX(@wJ)9|T)BS$iMP*Lg2cdVNl5
zZ0pDSe@zZ0iD+e2-dV8L#^HTcQ)a@{SJ6wi_&<1gKXd(W)wwsneAE0_cOrVV%d&!}
zzJIJ9<Z=JpaOZ`#>>H2mMH53kC2J(UEj-_s%f<b=S@g{O7^~T#lk?u6R=@22O?bzS
zivhVieYCiaTcqw%YKT6V?fCe&%@HB~@Y_@Omu>15%RkxG_38Dxqt{O6iz?5SK7OFH
zKfyfCC+g$5`ph?ybH$=fZI21ma<=Yxz*sb4*T44`#z`BR(mYF7rr&#2eCR-bjmWb0
zmffP8Le43?Il9Nq@6!I6VZTCNn(Qw-G5e^2fw~2ouiRwy8^RZTRZLH|Ois(}mesFJ
znRS1gN#mW(^+H=O+}4g;qyE9jxV&fTHifma_R}^#+?{q;ZI<k$<Nuyt<#*e2;DW>R
zD6Lqhg*yK0?H0PM*{F3SJy@*c+?Sgh6*f$^V9?l>$$4d4<evGz6z;h%FfTY$a=%{d
zWBPBu{^OI^Z@1Ff!rvF`#icl-qfFVQv+Tm`5K$@ZIG+Xog7jZS97^1^ZRh<3oN6|F
zF{hQ=CYsMPobRG?f8H<O&3||;7G*q=wsXq*X7JH>%K8aMCq4SE;OrlE`nu?|m_2-K
zA1_9%NnXw8`((N_v&62Z)a^l6F3jLQ>v2lhN}^@?vBRbrk=@@Mr_R5wclBj_(%u8>
zV<sFIdZglXsmL*<^lL$^Zokf&TN?fEO4~K&&3(1|_O>*mDoIyW_F9oM2e(uQ7<)(Z
zh8;Qj)pS8w#Wdwp4RQxH+<X80%PP4OXx#fI$7KE%zo~rBW^`3~N_XWhmSRs_s<_^R
zugvo6T&wM$j!t>yke#yk*GZ=X_kR5S%Gz@0j!C!KqR^<5Em3}qJQury*sP!2VwPOq
zH?weqfalu1(tl6RKDT>g(`7sD$U`bqS6=+vzAa7sY2mNQcAx+3I(4bA;M29@pgUe4
zUnyk&PP*~ps{`+TUD=ikZ43?bUR?RGfb(px@&D`#^*67*2<NDJxxMvz^Atfl)-A^l
zRL(YB@%ed4LF%bl|9&1_(IlX`J|)0m*9X_v51V8wGPLIJv$$W7@BYoktN!3Bla$P_
z)k}}QUj1tIk8g|Cd^Bvh$96BHA#2@CzMxY^I@eiesl~~aUq5x6asEqg@B6Yxd4tcT
zS3QXA+2;QF(TCu3ENN1wHS>O?$Il9Q{#si4_`b<nk?f)u7hRF!ns1yi{rBGc`}iI<
ztXMVEb@7Z<Z|yf%aXLTT^C|w;7tY%KvZ30gsSLiSo=)P~7g7*6C;3x(?X9?e)$K{l
zNw2#$ym#K<@-w+diCy-gmeAifZ|r7R2`vzM6Zhn3itGNA{WqfDM=Gw#-*w2CS^4JF
z*D<p;#NXABD7bJSTSn@P(3D5>O!W$;Ux;YC79F(vhQ35t@{7WyQXVD2I~A>iS0!oM
zbnVq)yvOl3+w)^unDK|i=KW32(?ym)Um9{-S3<kunB77BW3R09R^9!x_6Tc9TxX*0
zVd>|**F#<SuNXP)tGKpt>JzEU*OLW09MY!W@?<$`_;6bCs)J9bHYn>#yxx63%0pU7
zd&-JE^DaDI^jz<M^}D~ZOY*L6J>wp{Wyf3t6ZsB<ME8R>C1RGrT{~|+blI@u`nHdH
z$4yROeIvg9kK}p}C;P&xHBV)7N}aeKIap=B37GMfd5Ptf3}*>_Wv#z#$ED<*_Z~`I
zk(<JIB)MYev8(&KXMJRzz3^G$&TEq$Zg0PqnN@9VUM&!@>iqU!y=Lnct=g~me|hqw
z#~UAT-8oa6Q<It|oAqq_F-52Hqx#&3imfbjjz8s^b~0RgN}Hm_r;ZfXH5_05xJpUp
zNhQAgB)#_Vq@cMON|Q{v7H<81^~Rr2&1lI52iPTV1<47Nik!bL-{CFKv2^$H<Xx{^
zA{QoK_B<n(`1Fa_=i}G+EWU5T?7aAaaml8Z_q+{$UI&{id2=@XjCr#+dv5#piFyrz
zT^~NJIP*w&-HE>+A~;PXP9@wZ*Rh`~;_>0_yraom1$^Y#78$Jz3`&wcn{>6czwP{4
z?=G!#(cZ<EdYJtT6^~e#t&o=#Su*jk$Aq`@d}H01!tIY$PPPBoqh3F={#@{0PS&f9
zJR(ImW^7`c`TIb#*CUy_2p8p-Y5SXZCfIVVnP2hdzL|98CaWc}Y)YxiFD?AmyY<?F
zC6{LD_Bc=5k#3N+|MAJ=59e|kGhAA?o%O$ly`Ek6wng6_vzC_VigKKLDt!Nu&X0Ke
z<kr8xW=y~J`ELH1rmIth?Yf&zEYV-y_3fm=UanX#|A5rYgjXkDzvN+(sh$7TdGGoo
z4-|iXIQzse;opnh_j`8=z3NR_wO{hzBi%Xaj%{IS$-TLrkrygv-~ZcEaCN(EZSSwL
zpO^n>ELhFERQ>qhIy<3N+k<v>e-xc!t|*;!<oLweJw8^YPj52DO4?0xR@$-3W^s-2
zjR}!or_VTWif87Nxop#e0~Ht52)uIpVCE9H>UQx05y5{Gn3Jcn{!?7-?d*16yW-U~
zv%Fo)gl8=)Z#8g8oR=4ExagJ2y|w3@cs}0SuK&i(PNQ+F-~2P}9?gwe#!H%*PTgC0
zBc`LH{+r{bmG>$R9^5e3^HOT@!VDHQr!>Jd``i4<tCSig^!HmzwR}}Mm~;N(+56wZ
zy*SQ^i5@z!;Ni+1-Qu4z3sbhPdm^Q>+r*XQu5R$RsZ;c-*1X>8(0pTMWW$=YHI5uc
z{r!`|S$dadpG`ch`rITkdfy~pW5=vBvtwT}9GLgbL_hn+s$)W8Q<5x|UVU;usd_!E
z@zmEjZyppTn6s|hs_XKQd0kHXztj1d*A}mMF1c^{iXOSYZw~cp@9#9e)Y59(6TqXb
zt#Q+3{nO{NbG}P%)#oeF{l9pJ*n}-IZ=0{lJeNLrE_VLb!jkyT!lrd`>yOy_|9TZx
zl{#hjk=t3ewiRl-7wg}fYU({*)0yeh#H0R-yt(tv&SN>YVkN__8lJ0vOaEvk-cgb+
zdA>qSvCr%a-!<8<g?sIOUA)lnta<x^kmK*f1&%#A(Xi=8WckGQmvxMy{<k?I7dp1o
ztE<a#&fd=B5gdCVMCFTRQ{bmwl`eNqlhplf>UJ|ePyLd8VAjVKv3APoieAdn|E-o)
ze@dEkF<X@RM9%|DE!Mf7OFqthE}8#q7lVYu^Zh)vx9YF5?$bA_chp^cF~Tr)(*J+2
zTzNm+n`&21_!XU1x2|$=|FdI?%tiN)XwS{gfAaX{rxpDkOL$eb1SPR6zUo}H^oN<B
zvs#t!U#8zOeH$(A|It=5yym@RLAC6c!~gjOmPIPIJ}6zv?)z!lGDo$Umag}jGG(k*
z>qxC|NDmEK+oI&>pt;?-dFh5VpG(Ar9yXh6g*)5LYvF&iUb^+KgT3mXbmf*(V`Ki6
zEx-7_^qcGd2y^?jC{a*Vk2j$9@ss^aPx&*2Z*yc8RCdu4o>#K-!MQKH?{z#qY81A9
zdxP=gpVy+c+;Y7-F=+YQ4vzOsDLVi61q5i_NPNQb=#%@bxqoKv_>{#vQ=lvSrKF?~
z!}I;^Nme;;Lklu5Ic;NkaOLR?sgg^t>eAE<9SYW0`mJf!nx;_Nt7zmY%NA_3puuPI
zTf?IT6EwX4n7c>^Et3pYo525+ul)RjQhQnZEsh#I_M(BB_P<ZxVwU2%zR<(*s>t77
z(MG8lInA3juMV0^f00}9sVeMTf%l$O7o#t)c=~YW{|B|HhSq5dBYEHM;9ZllT<gYj
zovde;of_<u8Q(87?Dlw(*1`Si{(-4;Zm(3+`*-C|)h)+;!NrGl`Xe{_{V-KliMW-$
z-MXB+lj*rp?CjTk(kIU?JP|r;nyuY*I~AuLQ^fX2-qCS?+^Ii*WnAciUn(E<oSBw9
za?%Z7Z}HX4^sUx(4>$iLZwIc7G{<>N8=9@(#`v#)=5(EJSJV{Yj2-Ph*JhmKa^H7X
z!&G6u|C*fBdLD}I3l3C@E&M5I`O;58Y9s4s-mNFYuVrTN{%w{OO*(T%#%uCa!x@+P
zm-)SUWbp5;_WzEw)r$<it(Y-U?YoS^X_N1AEQ<4{$WK18{_4snZ!$jAs&?BlUbmZS
z=ku=Qz2(dgyLHPJyWDFOjxjYWdlku8uzA|64%7c9>@sh-7Cu=QdSdtQQ@duoQfq05
z-nO>GO*Z)LB$Jt@Jj&kB*W69X;@=zhyzcmX@x<JdHoNB}%x>~J`q<v4y1CJ#@93U;
zt2V4MXHby(Q?q#DqlyTolHls^wX2qFE&R!)wOz>l{>(G~J7p(v>S{~e{=_EO7W_u$
zPUiiYx)Wb&dt52m6w0!~J?&)r%rZ~rV@ra5aVsW=Y+jukJn#EPv&YisyGy<;@BJzI
zpifv`_?zsZBa8OD=1E!gEte&y;IU@$#P~}2cg<o!e<wY>sgiPS!`D4uirhP%X#CUs
zFQxN!Wq@Pr56fc-T&?qXr?W}%NQFs9u8r)|I*>GtmF4Kq19h#oiz0Kb>FKQvYh?Fb
zlXK(I&aA6`@j_nr&#B5@p5paIws2O`+6CL6{+(KPbjQaAQ+3x3(F^6Ivo4hSoKrB0
ze5rl$zInXQJ!x0l+dCI1n`{4KSK3oPL-5^cw_PVUY;?A`vPzX9d7XPuWN?_S(`36s
z_M(>Qb9eL~;&Sr%{WWpvR}GVrmH8WaKCzc-m-Fsgy!_vkO|?6psLJ?Eulrad-jlb?
z$h1`JdDvvf`zB!v!@evyr#Q(-J-h$srX!bp0*^W^mlbK;eOB$4`QZ)vo}0{9u6W$B
z=6CPD*h|4RE-n(*c@fw2ZqLqhH#xtIQSPSU>s79=r1W@W%p|TZJ^MZK&6LHTE4E#?
z2rPQzXnbp3<;3HbJ)x6*tW)O-T%OGIm6vDfZYH@4?Eh{p+Wynut9SB^udegc>fA0^
z$?V(k^@Hx5yDF}7TG};o!B=BjW`6wj@Yte^Vyn_?Jpbk@>OP+1pwQuWu(+zQ^;~TD
zM3K`gt>d#Uz5kF`ekRWO#D{Bg`2npxGyF0nI)ckPS1ZLmNmz53kKx}($FTAlC*E<U
zwVXU^vEy~=%C!ZIGfVike$j|Yc9|r*n(_7JBC86WHQaqV9F6|QVdVz=yBLHy_2gN&
z%Wjz~x0ROu(CFtnJBOh@I$!Oz&Uraqr6AMY7Vmay{Hj;^{G{=+<dej6M?U60dZpH@
z=Up(H<J5P(Un=(wN`Lz2XK{P}ogEQwzti(}KR#`JS)wdeI{(~-kf@{4*2>>>lUB-j
zml`Hp&+q%3-|sM=DZ53u;)*Pf$I<!r%1vMQZA;`!u~<5>E#UK{x_{|$slV^&DKdYN
zFH;Mwn#k6AUo&p`-0au?A6GZqBnvs@-Ccg}rF+1<i9*NN=WOiZc-nYU@^OmliR9dc
zbxer`R}W5LQ#kzi*D49qoQpSeE`{xKNvx8+^2PkQ_d1sSs*D$JnshD9`{kkS7N>pl
zr+D7(9V^a-DyAjAf4XQvUib0?tNY#mI?vhgNtogOJpGXJgYm2Wy!KsU-??Lw@~_KR
zLZ{9ud$f$_uEr@VIS~bA6V)Wi{6E)cbTE0B@m-I&I{zlyo|c)R{ii?dkC^iBe)*Dz
zX44WEblX}9-FqHaet{`oi#dUPYpGHkx8=c^-S6y6Zr?3_b;70M(CWQT%=<omnh>Xd
zx9#~=l|w$_k%^olvP&IS%_+_}QZ_ZyAky&q8S!=etEPr+7I8er_P8QnfT?u%*MJt?
z=JR?hEWb0JKQrU!`XAxp^Pio(JAw6!P22Wp_3JKL=cY#lJ)SPp8?!^>)_xxDfV+%o
z*3&PYtC-oFy``i2-D+)-^|e3Vf42G?Qar2qZ=H_rGshS?NBN}B4}M+#ckoWf>FA%l
zDaw1qBbn-@-fKxR*PnSQoNl@6z)TO3J6G>C&tzN^_5S|TRUS;bF3FktD_`oL``Ybc
zVE6Hk|9hF3_{o=>qo-(Y=coz)-QOk?mFc!TBf0za?}z=1u13G!n!Wsm`Z6gUogSNs
z&wj3Zp~@z{+qhKe+=_*HPhP)`6)kwzp=GP2)%PmsQNjU}$oBKQI%Y?feeBP7wO`RB
z!gfMo>4x@mE_w{yjMo}xetNms=!?*LOFzaJ6%X9seGFIsV7hObgG*{{DqryCDvQ1P
znc;k_hSJXtY&xS;Sadt&fZn^ug7M+Z-U*HM9~ahcxSv)lb+lLbEyJ<GHzzN#JmwUB
z);VF9VcW5rx?y^Pbpp3}m;ai&(?OUgGHAm7qgfeBZI<qHtD=s)*vX;b)pt=|sMfqa
zFnjZ=^uj9!N1r(^=B``vPHAGP#O^N{@7*gOabFZH5T2J*tLdP5{o}nO92;#tgy$U)
zP4E}6?wwhB%5MAjxl$c6;#Yls&AO))E_8F=T0UKYcW3uMi(Q|=dpaPSdCx}1f+Vfp
zUmtSv4%q2OUKEa5ZTx{pBTL%G(O7@cAM3l{OPJCQR9yJ8L@!6Qds1-43|p7D_qSOi
z93yU~s4aBRT)(4tx!#v6>RfC^E3!7U|J&a4c%|)=FB(e?^80$9`8s@^5bMQsX?^*E
z&t-u()Al{TzGykqv(;PlCig_G`k{P%Ui+6AdD%~mogzG^cX?d;!MgkF<J<RgTVLz<
zZID^K=E@C@c`R=m^4<ymT*zvka&Efmk>Gx_6rrE~YeS#jxPLxoCR_8j3*~HUi=wRN
z`pc=Y*lwEd@!+^^ar^~a_W1($H_L~=wRxsJMIk`?@3qGho-{3G>A6w-wETiZpzEDY
zYO9Z2Q){%mv9B#8HqeOif4|T##nlQTlO~6KJQ1c`F1^$uY`+(?*LqbKn;#|FE>nv_
zq>?1cuT9)|;ce-?mihZ8niq?IjS?;S^y#6wgnZTZFB8otJ-IY7YTZ0O^RF#`cv*bU
z?RGBeIxRKZa)<QELzCCul5lxkCi5h<>UO>1rO#GdFP=TMU)xrbEznj&>85_;L#e9s
z*HtgxU81<5HC^psc86$on8S>gGq+wy?kueI`kP`F`Q__<Js!#Jk0*$S&9*R24SOfy
zwxH{Fm5Xe~i(7nm1bU|1$((D6nmTLUDwTcT?(jVg>uNsp?3u2uC3nyI6%)B`301xb
zSRHe9^R}p*CyzcCuj;cq<eUF2nc?9%;UMD)`?XrL((PR1GzBBOTI*M(b0$}<HeD~b
z<X_F+$lQ|I^Wsar&pfNyHg!=~de@Tm-cPPFvYT(bIB&-C-xJI{ZP)z$C-(8g(VCkk
zs!rcu-j&wznj|x`evQxm8wdAh?$Ho<yvR~aiTm!g2iF!nUGqz*)ny)c+pj&RR|zr*
zu)gDNUof?z((};g@5i*mj@MtUa}i$QJSl5gkEpMC%mI<qtw)tjxZQ1Btsnioy=AlF
zg&k(Q4qd$8=~Z}8ZWnvk{}*k>bLCtXhsw<MTAxziclF)$PF{n9N0RI>>76e*=QJn%
z?w9jVdm1cWKDd;Sc+F<>k?4wxLedqtTxVHr@(dP~o^-1Gki$9ety$$P#U-|<_IuWO
z-7R@&Khb9O;rsumUN|R~-d>+`A@kiUmEwza+tUl4g_<+zvo%~=5E9X^ZnV@Y_|w7v
zNAjFlUrvfN$?cD=sXeyxn6#%r*u#@?4^mx|H~wM0fB5>^dDZUXTjdkJpKSK6|4=<I
zwmk4!?C(7?*20#_Rcy(<zcz4sPdGVwkGt+Eg_;=s%?8IOZ++IUe)8GEfJ=*vwLV6i
zQCm=J^d>ad`p?CPJ*7^1b}5&hIDPrS+$yM{HZ!eYp0Zh*bX?|*FV73qe>3zPyY%;x
z)Tw6nh8ekg3STQff3^IHNJ(>L<LnJSX<D00M10s!d_T43`M0&VIoP+a)Ly2O%y~Ix
zhRQph%#B$N*FqV!ek{tK7|y6@ZXW)7`=^eGMbo?G`;IQ%xhCo6r`@4(Qwug;eNy1i
zTsiBW{XL=SbH1K6bm!e}EqVF2$)$H3HwqojU34|EyRytfGkkjAN#AvEr&gYLzi?qs
z(f3n7Y`Ip<U&zssX?kJdo+~X+omvx)a&S)K4wZMh_$nv8Q*LQ}Xw1jH>&_SF=UsWb
z^W19v^*z_}&YbY6JHApQ?NuWSui>)IA746Ut-jyMcVP9@{<YcnGp~6BWQ(SzE?)Js
z{*S|=@5k(I9$U?v^z*Iz1er?BKdj!hoEsCG-?skycu1>%@vER65jhoMh8C_)3JMAe
zX^92~Alk$r+2D-2T*tdjTR(?1vNipQp0&iW?Z}qr0;ap!<Sin8Z@!h*cA?04X-vhX
zid%CPimqvFnlB=^H|CbVn%;7rQ;X*DeiJ?QHst?NQ_ZLiM$ZG2xnlnA@zDD9BIv~J
z^KaJ|FuC3RHDxcK?AJhtg%7G7n(n@{`rFdn{)jVbUhs2)OS`;Ely2;JZn_}q*VKL2
zjvui$vE+M~Q*&rf)GC9=>$GGFOn2YB#bNpS!rd6R%Ugt!Bz1gd+4NnMP;KA5T*xfL
zZ_m*MGM9>vJ3D#ot~efA+B)sYVY{A7-*0Ww=AAjmbY1+ymW+ITk7@l6PR>cbz`?Nd
z@2oAeI5zsf{cQcQY?kCcbH<mG!&-8<A4xgi=PsMI!uHDf85@u8ZMyoE&wrEGM}x2(
z$0|>YiCi%ZOb&{X$vIr6R;2Q}oatkrckyG_rMupz2ko=?AAH~Lt;bxkNdnaiE0_An
z3tkChzgTf-c9m}ak>y$*6HKE+w#i;r38=|P%Go;W*RAdwOU15E?w6f;{G)O5mF`o!
zGi?s}-jTYyap70_oq7j$%-+GJ`cZ$1=EdsrtFeMLGhZB3UYT)2DRoA0|EB%*ic#i2
zCI5bU>vd^gZKk5smpi|;wu?9&b9nY$Rn1i~`~D8K^3P|vOuqh|zrFbHBa5}S*Q|K<
zG3MATNo`q~c$Mc1qz!EYgLfHr1W&*6H?@jOt<g}llr?El`vG$yHrdiApLG4RF8%uu
zeQM9M$sya~OnF<2*dO-K5e&(WTIu$I?cGzcg-KoW98(!i&D#5nF{-?j(L8s`pA&&X
z+~sp?Y&90IvOCAA(w^`4WQ)cvTP5EmA<+>s|JEi}U6~~LVSmDuS64YbJbz~f=iUFg
z`j_~rIQA2l)m;{_{`<7Dzk9#oyQDSYQfqe_%%49YSnk_h|95Qbm?z2qIInpsW~=B;
zshCwq!g@pAyNH^lH#shJG!n=vKg+YOa@!HM^(7{n?k-*BZz@8M#CN{wJ7j7adTeFS
zrqf=1D^sStIro<TjopTCJKVRu_6V@sl{VqZLS>~sgH^lTUFS^L_4j4g|CzHUwiJC(
zpPRkw?rq<|sy&?E?2;0k&ti@^u_(&TOLP+2cQa+KApcb!wQ%c2ua~Dz4q9__bA`+O
zD^<azElE2kGOdVPe~vZf=WFW~wjG=6d8B^*)x1_OZXl&_O?Xe%l8+tNx=r(Yi|>B@
zsj@!4Bq7z2+1M<<<n$H)jaJOvQ(CK85*qtjWO7}aqd!<(uV3gQ((BEAJV(;h*tyhK
zulzmN86J^kkJc?txR~rJmMO7#PjW?q?a!X)&JVPX@A>0pF}FPM)%6*xB>OmO*SM@b
zT)&GWdAHQI`mil8cE4zty<+#ahU_)nOdT=%1kV4Ac>C_}rB)Bt<qk@bCq7PWt(kXH
z&&Eh4=JVNQTQ(Som>oV7q`$R}yV3A@cuBka@8!qZ^B60moDM2a(NdTxv@~+@8I!AN
z4sx8;+ZAk$9K&LJeGlbNe4l*jZnE!(M=s5amd`(~9mAyLb7#(qNs59;UtW{><#Hw8
zuA({qov+S=-d%z>w=BKMmVS3dq19e%slBDUUjEwCd+|un`)hgY)1%aL4~ET8SjMt2
zOX$=aUx#o3!*}*pESr_@TQG9^`UaKU-qZ25j@5Dhk#n~nh|Oe~&YY<{yX($}>0E;R
ze%%odZarJ;R2OhWs^C<B*Ma#}7ZyGZTYO55?fmS#I<D&2oj=6w{y*1`>=L>*?SN^|
zwf<`{>+j8;|E#^%UA6k8`rg75yKF>O&I`(Yv1P{XAAB1>O>g-ak<741uD*2E4AI4t
z^1it}dAe}7;iWxnJJyw$rY!q@_OHv9jcvDzjt3MbMEz$L+;8oB`s?%ny+!=`^3!de
zMD@?&n=j?ql>L0(g)jGRnjU0fe{g&;lk(KHf6M(X8(#GXOuBQwef3W+KamYCXLUPI
zi=Abc-x>R2ImhgaE(iULV^{B*D<vv*cj6td&quA#{|`N-_vd5q`O9@twg+8V*6dm4
z%@uOs`(I1J`JZlCuM(3=4DbEGQ0}+1o$q_2(V@@QaeKD%Ogp7}=V2AkCb<_WzkU|#
zt(}ybAYJ<V4j03^H`zWn<rBkR8#}ixSlu-Be6h%6$A>Q3HzsekpYc3*<Gv~TXF09U
zWw^s%X1Ovku4D1*!_(heR`+k3-~COeAhM@CsoCIr8qaU;e%>up{biq;1}$?GGrOF#
zv*(Wg!%Z?QoIeu8ul6l&IABxsODs9Q{7+x1HqWN1rq93sKRM;=-g7JNU088-+R^&h
zB%dquB*mCax6j?}7c-aplBII)w56+E53T*;Bf7(1=4H6-=YuBub*k8(P7uDh;lqve
zUyLu$Z&(q>R&;86ci@_A?&&u&b}D78JI7Zu^B*_IcGKK57f$=TnYEsf|IKw?@L<ZC
zRkjrpT^Y`S(X77ne(rRf+}PODw=eV2`hAH~o0N;RQU#bFhb=W)@bq-O(Z;V%@3QXf
zeec)*qGtY_l8Y?~b2fJ7)hcP5e?BnDil6s?|NhIq(n)_)rfDuSTm6#t&_V~#m239!
zUVi0}<#2DK&+2rRzeg-~-wcitkXGLM>mINFya@&0zdh?nQdWNUs_pAR_q>n0ujl-;
zT-$VK(W-Zk@;8eyx5X=2^>?oI_-o~1l|BD}gILiLrCt`E4|-=7#P2sgY_7%7?ze_*
zgOc3UJ>RZa_)2ftJYgSaX`pWL7EjirCAU@o@h)TxSnJ&0vRdPQ=mOnJ7O9L0?J@a2
z2{$6juirhRzDYcN|AQA38XnJByP3zjVp`B~-OVo#UF_^w>w0m!()oM27w>(^Wl(M0
zQr^s^WDxMmb6wHvf(f6jif(sS|7qXy_T#Qcc2(XY5>8s}lS0lcXTSJyuK2db`mRMk
zKCRWP5lag{-@73q$4A3(<*LG|UpPN1@O7Df+3tTd&g}To&!(qR8hXT5ne5TM{rs}t
z@e6H1`E6^vQ;UV)D2jw!Z~dL3wXyKce&4=xH&bOcWc=tz-oF3hgspGpSk3e^oGiSz
zZ3^SU$=#n=mnvwTF1lX)DwBEZ)W`FB1dQ2zGkV_ays-9*=LX}ct5!dszGd>`mkno~
zm4CMXVV=p!>+><QF+Dw4<Ir7`R<3|`v0ee}&-y!)r?{?c&u4CO>xuaL&$aQ@n;8f4
zmsND#D^GI~yu`yIu_^NYrKNS3osF+^Z226o^q>Ff{W$%pTc#b@p0DpJ9`j`L3>&u}
zuP;8>zsMj=&{Alzl}eWU!=RnFqxh~UnJI2H&{DbcNvZRhljG&SjQlzLa!MXKyz0A3
zq?B#1tM1N^U#8AJ?fvs5fwzNVbNeHwB+OenD|172^<6HG_tM=<Uh_OZ_IB<Z?#PJ7
zU5V8%_)jEOdGFtp?RYHi!{6yTTDG4R-<mAZ5>Y=WxAQs+Tfu*$-j3OvOpcXI+tl6!
zcNRWtmXs9>aQe%{zT%zlrrNl6=9nW#B={5_K5o6ASWvjq;9s9iPW^VXxYu(REk3kE
zVSmkGuYJkYKa^)(+EwdpcX+y=w~yYI(s>$te);A&x|%**J-MgLK{U@=xXobR?YnzC
zqH`*99z1^L7XIm{dv3R>S&$%qeN#vLt}VN!S1k?iN%nVpvGn)03xC42y(G`Axb**E
zJh$4pde*1wtr8B2Es;H|`TM7Xzjqk_Gr2X=?*xP1+1s?(*tneE#?E}XX8yV*iMN+6
zePt5c-a4&Pp<=gsQI1mU=4BZtT<fpt+84}DJ}Fee9Xw~ATb_)!)ct}Wq3YzYS%1&o
zk(#-DUfl|ZFJ)TtS9jK}`4;(0WB%Ko$+3%%vs_*L%X06t`R;5N<kWYGJH7C4%irU4
zJL_>^`?D1nj(_Y~@UFjvuhx9(o<yyP@64`!jwWK)r!Q{$d*`-qjG(>V+Iz=cPCm_b
zljq~=5ivYod&qGW+s%Tx{(@IN2kE(;EPp)fl4)CX=hWr9Sf+0BYDiqlwrVHe_gftD
zrn>~+1=Wk{NX@*Xb!W<!_eW>NJ1@|&s_fG{q<-Q@+oWFEt-tp&Us++Sx#ahri-$Zd
z?HAr^ZaHFjNq6)9s?!mRK1myguPR^duK6;3X7uTmh5O%6Xx_I;(p~e|J<+EeYn0CT
zdTMvdd`YtEunaiX|7*#W*W4V>Hr78h`MpG1Za?$sTqn!Ncbo!aQ=hg8nQ|@W)sy$t
z(cfzO(5GCr)auPU6RS)5&GJjN{QdsiV7OB*5EY{(EI7H$c>gX-%fH!^W~MEOPXCkg
z_taj|4YyN#CTOxR@!q%P)Wch0{hx|jex5V97+v`4MP%^5=egA)?_`+5pKdm;z7wt+
zk;deds?s^xly&pDOSgM7gL?NSZkyN}{p01iUGpuSGS3Kw*yvR;YgJ#EwETcy!J<P+
z@BZxn?Jj#oaOH~iia#t|d1OwpJ<C6^VgAvE1ELp?<~J_!{&M8RgWBsJ>g&qBy50)e
zexdK!)s}@PXI-4zd4`vH+t+sO5?3?UC(D*7=T_K0P2FuHqA9-XFhfYC&b{*!k96oC
zGG{1fjC?QI5SukGc{^)=Y+zN8cei%N#GC9no2MRXv7NuT$~@?1(!Fc@dLFB1O7tw#
zf9Eu1njX6*zg*axP0@3LBCmdSIG;E7U*YeZg3^lno3Czu&cx+-YOU0Ao2-K@&rW{0
zbLIxG_q4rv3!W{@?_ktma;|;mk*xAKPU}d=8BuBbUgds|X*+KnQCl3f`v`~0jQGIQ
z52cp(=QwEH?+y`odB5Yi*3SE8`$WF!zgxPNr9xFQGk3wE_A}dd|9y}u!jhgTy}(*G
z(&u#i)~(z>x4AP(ES6ARR><({y@mU{9Mg|EBDW2`zOe2-an0$?M`7!=zgIcUV%gNH
z#(U5y>5Z_^@^vdtF&^{GzZbh%F)@7JQQn0gg_b_(T@YdL@|?-$xCwqGWuK0@giQXL
zHoqzDpu_p}sUplNYb`6bTzDb=<}kM#t6;8zrb$K5O@*)niw?}p(_!|}whQ->ne~22
zuFtlK+CSuOPuadq>?hA1*UP^qx%D|nYdzeP!@JnvXA}G7zuAE+WR?a_bmSCV&GMYR
z(_ha!C4TYzPw)0<TP{jAcq`h?Guwcnbc^#J{zUuMqFHiTyTacVyehcI@oAThw7`RH
z8+=vOORjaY?(pNBy!3~-Nc6Ig&%QDEi{Ew7)!TA-{o!+L*XEoTF<&9U8)eXW`@}s_
zy^Q@CKc#ydj{I_1bf8LLE4PK<i8-;kPq&}wQ8&5&WxEQqy*3+jVcL_9j+xOvBCF5L
zJQ)7)-8J>;@62LD7RYUjV^O(k{D=8NIh&kq%FKYwLcjboi}#d!J;}Xxq<-V358;hg
z`dSVuUh-voR5nQoUg0l&eD_vpTr)%b8Ar{(K6~z*ODK%3x-Hi(t?#_;?<MaAP4N=E
z7HMCvKK`L(?6hNnY-Z@p)JsLj8CE!4eDqQ@<>6fE9ck62Uy~vq%P9D4w_RGa#kRuM
zR6z2c@0#*rM;HG`R}-p#1{N|ze|)GiS@G8cMoZNfJ9#X%?Sqo#72VE?ezUJRa&g+<
zS*59!0n-=lJ=_=e$bx}=?%64?uXqHmKgjz|Vv4aITk{Vo5r;=6pD*Su+LpZg(Wk!X
ze|C=#o_Hw8-n4Q~_TO(ksjAJFnm=D*KXobkeC0udCray=+^B8%>GLKx!>&Q-kL9cG
zw-MVjpJg^mD=)dY|J=6^TNlbzo3>c+*V^X(wSVfsc46A=)gsM4ldjxl`EDj|d|^vi
z#DmP>=U*g~H`i*<5>pOl{krpI&4R@IXcxs3jy(Ssdj9m7kP%gTq)24O6*Zae<IVnm
zN>Z15Fi+jR&)wtO<ae{0I0WY`DRZ2*{?*2)6EnBIzi^6GJ@s7Zga6ufl9QBtiu(7m
zoi0qVK6C2D(OZhPF4L}jpAZ=J<O$#6>AKwe3hg|6_#{)fy>_%bmouy>6KgnfcLCS(
zCRM>qF1GUa8x0f9o<+Oew&h`OtKOieHKXeMBtO~Zrs=Cq-$eKGv>ZAtuqW=y2Dj?2
z9*4tsLo(iL9Sf~Fy}#+$vZ%Ei=5AOwrR)C2#j4>mqGH>A#xcxmxxZu*5BG%Jqv;ZJ
zSH*ed>aA_#xnloTeQLrBm6$8#oaeg_c=%0i`lVQ|p(@qDUS#A@Zt&O9%g#f5PQa&<
z_?(T+yJ8;CmcLwaOykoM3*XM~Kki-Yzw+ae{XT`=Ez+}g3IDpfZQ)wW(D$qxkEzI&
z^Tn!)ADi%Xege1A2Ys$c*Q-0;zgzD5<<-Ae@_J>fmZk{VUw$zu_JZ3M&Ncp>3tDa7
zJ(3CY{_MdX`$Noa|FShF{%SeAoZ=YC!m=aqrvYo`fs*nQyi;`hn&*_8*azuUS8QIf
z`^tNt5(mTjtzt7%@9w(u@?<dkG_LL6QiHPo#wcFyDgHNc=^6H;oJFrze{8*RcbAvQ
zwXBuqmTO98o4>d)z2QkV!+qDse<OM|)-9-9b5Cdg48vBd9V_1@ZPNQ5;cix2(!;0D
zcR%Oq!GkIb7ffqf%2gos<n<=ESBte5I0T;D`(q2A)grSK+NA}Ia?kG>zsi@Km!vyO
zQq5cY<F!+Vy!wx_$}`z$7S`#lw0aq^w#eRA{C99%&a+MBiH@(I@wpT%e`%x3%ei-|
zg-GAewSQ&P(tia%OV&x+(DdQCOM%A4#+|}O4ZUt_tk*yKW_NAMkEgyfZa$GJackS~
zXEEoZ$7fdWTcaek&9&b5$C+%y$QFA;FTYC)G3AREJZkw_x`@-jO5oA~wkgX`HLkeH
z_HJ6WvbXhJ5#48RZy)$~X|Zt0*DqGTn!+}5mdw7JFMM-mm5a+Ft&@*44>;?2dc0jf
z^VyDsTjjY={_U9RxhQl>!Wsv2;S&drXjJSFcL>cr_g<gt>hnttX{N<NUo%@Zbi%)|
z3OT1ZX<WZ<W-qb%|7-1v3X}KN$0r{=C)g7D;c?2FXvHlpX$3Pv{>wkuE30t$?Bh#c
zZzlfq-|_2~(?;KC%6AT&2|ji?<Z_P)OTrp+!TkTnJhvw*8MSoCy$PNsFfS<GW8)0z
z!nXaVB1+R=a?J9*5VdaNf61=pWlNRMEjs*h{iB6;-oeY_+PMq9Z3^2tQ}gs0zom2B
zPAvNu@g(|q-uHLMpQ=_^F8;+@>B;E1;o#}%jAq=0QC6atvz-f%3ihc^x^(IB&l&gG
zg-qs`&yDpiRlU7NW|xoJ^QsLJO;_h|th5#E*k?FZJNAUW-1O|_T1z7q|C;f~+O5N&
z_VD&gOBNW)$;GIy%y>TI!i1~3yVw{573{p1ty;Fu#x>~ZSA(a#=`RZl+NH$m);wii
zI%TuO#n{!C7u@M#JJ7p!`J^ujGiF`*{iS$EDNnuH#U|C4$(x_>8BFgse)4<$()Bt#
zit`@5oa_B#Pvpka?o1Om?JG69y)5Zk%*Gq_?9JWLzZItFEa3lg&Togxk??cg?_Nwk
z+@zTj{M5w#rEQ;-PWg>j%Kcf2iFOaA7q3u}pLo3BS6Y_E<%h?ujwknQ-K6{f-(B@9
zGnVt5ouj9~a+&k=?THW8o!+XWW0Aad*EH$$=TBZM?)rP-_Pe#0Y8eGCZ~C6TeHz#L
zHA3A-7r12yer|Z|zsJ9$U`oQHqyvYQquD;hMODte{(*t{`>{@~M_&%M-7M@_{DUjA
zTHND^rV;b^m;|wyNY*<BlV@(abp46+zR%xTZ}3WO2<@AYG_Sp4i?s2TKH=zf?W<;r
zmMpSS{ct0(cG_I~N!_VYtA5#-<tZ)b-<8znH@S#m#m5)zsWulMhxVU-QW(8NPK#%c
zUY$;LUzF3<uV;AQwSU>|cxB7+ljYMU{846kKBXvkVaL4_XDzrmlcUt`J+V<=`pmzB
zk5!?<#pDl1`^7(f<)=KYSUrz~?NhW~Rj~1=Y~9fl6S=Yum){i)YEH|%wC5VLzYX8k
zauyLqg%e+{-1uGeTQ9R?eTP|^<C^kbSr>8EvZoPgB2xUnnpVA&5PN?5b6nxR?e>iv
z$F8$T9DaIlqwGD7?}pb-PdAC_ofrC+U3TI8Z>N7%G3L!W$)4w}d}X!!Ca*UUDeN)X
zZZ3O&syzMBQof~C)bjB8)Z<I1EVx*5<zebF+ef;!k^6#H-P3q5Ezf!}$9nsFA@jT$
zvR9=yN%C1v`SJP0MT396Moe8ohUT9YL}kk_?Ah7AgDG*tPLq_PUEEigrR_6kF&%h&
z>%Z*TA7QFBE%7ryxGX)md`0b_p3}SjMQvU9N#k_r?efCA<^jtmhv(Zf7}pAGOX@j?
zeVV%7HS}i1>lB?$jGn7?->R-V|5%Q%q~^zm1@nT=ed6KPlAba_-z6|Zx^ugCUSopv
ztdpyE9_JSka;x88d1X1*%rJA$;LCSe>a!j{(EMxhrb&3aRhyCV`;K$Y+vC*uWoL5c
zlocHKaGB%1dIQh7Kau`&=W=rM(i(sK<631u;or_v^~QNUERP$G>=0^Nul9Gh^qqG?
zJnEf_OZF^Tby~`%e0kpbYY+ZUzPVO<5|?{x`2t3Zo5}yqiAhWe6f`x}%69lA%<!Zz
z@{vQZTJ;t6#dG8undOvoABC(<{e4>6_+R;rgYNQSmzKU0yj;AkY)k8o)4cJVmSQ%V
z!Qnxl)`_?*`sG^Uu|{C!+!>EU4(xxI<am;aWn$sn@1HGIm&6I2TefYr?pn>pNqgT|
zwFMmMh)A&AE3s6Y)$h0G|Ld8nxO083ZIhEbVk_Uy8)c&EqEj29S-L~^;m1er8%rX6
zgwL=t&pop5)#Mz1uhgkA5?S3*i$%m!PWS{ql3Ev`^K_2TsfJxkLy|oOmejnQb1i7w
z=M5dlHTZjX3&cO1HCJ6HZmP4yy@&T)+Ag!^E>~*3Gx_5B{c|N($t!YI$EnQbzrIPT
zXUp!_qIzGqACU72yB5K#-tcxMr|c<>*Qwt7Y?oK96=pecfu(N6tcd+bvjn)y(;l!j
z$32XdeR}np)YGFTQ_R{vK23-__GL|+^uyWC;a2ujXT12|ETgix@Ur5r`>bCZ^Bvzk
zUiLFCtY1M%%j6k*iluLY)V!YVm#2NXgt>YbO5Bl>Kef%~nt<iX`O74qMe=+Ks@Sl_
zIHR<ZDdzN^-TMOODQ22qy<*z=+t6Y2ty63VLf@LT?O+RMow&Nk(fW|a`hxd%OY6eF
zo)q8nG&$<ql<K^FJ-b=d+eO5!{V!d<ebY+lmfX^v*IiaJ`0y~zpQ57_8OVB>Rm1E0
zR>M<$?n2AY2LvZ?Rco8>AaQQi<I59_EUV^4%EVkLjyo^BV_J$*p~eZR>@8}t8ZQz$
zm99#N<?Ov1c6k0OGpj^qmaaeL-)HCLh97BHs`<fv;euG{^KI9hEElhrS9`#fw(W84
z1KaoM;q7yR`u{{`Me^>_Fxi!~vVMx$PQ7V!=Cte*kXA9ez0YoMgI(2B#qOl1Z{^Rk
zOcz!=xZ@~`huzl3{(PPMfIWt4cDIBU9ZXtzqFuX(XY=9HW@{Yo$DfV;l>R(n&##WZ
zi!AaRZuYO>=wVv3%>LQ&`<KNJ$7n|Evv4_lVPpSdwum!w(v5d_pO!l#tDSps-9@i&
zhju+VqQjnWt+fA)(OuV-=kk7CdYL5TwO3f_scM&?Y2pll$6363@@2NipDb!IjC1z=
zl=egF6VImS@-@<8tlO)W{5d!IZg<1-iur7BR_|Tw|H9SWRPVs+ADa(l%yqnY|Nlzg
z`h_n=zllrSu@CUc*lPRUqJZVAfU7F+o7qQl_awc_RhV~q9}~}`DU53KBfl9G|62L*
z{PDc5P|bO3ICBmd9d4U(@bczP(en%P1-Z95M<tiB)cp9pIZWb&Ij7Hj*Mo7w>`DuT
zFa6@^p1P))bH>uw9NDHHmdA)BF}7*fEY(Rq{7o`?$FrX)T`v2sr`-9yc}?ZL1BX}@
z*l$dzpZDfOz*R9ZQ!hvFiE%mi!;MrX8=L3lSEk3f$2Tv%w>+;eM$T}`HKR%Ssk=U3
zRdL$TzPr0;=BYDoB}>1_e_=LBKazQZU6=dI37$(bIr|;DoF}AjyT$dT_we?uf9ev1
zHCf7jes770teP>Ylv#b_+=97<;yJ;wvzPsQKT9w@PNHZ|lXTg0v#56*lQlouIJcWS
z#J7cN72Oqgv$&HJ!NRN0v?J`wiLFfsH%wmB$sIKJ>`k@a3-eO%zAHcT)$>w!(}!g;
z3(B+F-CMKn^PbW5jQwUZy`aLZCdkGh&d=~Aztv>t5+7Z^&UM>rUu}5a|B(CIg!ir)
zmPHzO8#(lp*UwfwbLO}8+g-E1q|D#<vR8>`o9^EV+eDo&pEZuK)V$sD)LXzjwrX1Y
z-&`?=&2lzh9fjiqetd|2-?uAuSLn-zS$t<aa{dQLyE4qW>&Vo$AxBU8@p;Qz=H&|A
z-3Ila?D8j^dHTI)SG1H)Tkjz?Ue`+#WY6wDd(F+z;n56xEtl5G`8{(JEFxA<e)((4
zl&-jyGgy}Yde?5jSz61;sy8ucH%l4Uf!f*H*J_{D&CET|xN+TX<Fk9&|688F^<BW?
zkqz%;UFpk4Gv3&*X`k)$&0v%4&v$-0c`1{GpH#6&JiL0LiuX$Lw=<pB@1LC@Gpp{*
znOPIRpY2(@@Y}<HcPlSM^tiMX+h6TlZKM?<?ZJ|jQ<M|Vf60e^7jyU9o?FW^{M?;x
z%|AcevCI2SQnm1#%~s!<gEdN@GF7l@75zW=-10A1dKj<ylJgdY;*0OAPhP5G$>{S_
zq~UIN)Rg+Sx9`_QxPDOj*W>m}{qu}Yp}7yuTSWazF15bCdZzZGxA){a9>2#`E581l
zRTG+gxikA(vC@)lmp3dE`X*2wtQHYvaCPsbg+GpKX-TjLKWZ$@589Jaw%_{gdXLtZ
z7tcCPTCZ9;^{(B@XsJN?pwh$^bKV0UUdOX1O?}%a9JVq0o5$LdlOHRV3CgpJ&AWbo
zp+nU^uk*H7)mOLYXs8J?ZONK-?!p9L_2t{U1$X+CKdgCBxnkeV1u{7neT{CaM;7un
z-f#ML&qHB`&YqW#6Z{o#{9CTv_*AAb*LUN@ZBzc1?qL0`{drZN*;A&Dzg+w`<dyVR
z6ujERd1(2O4GBe+M$Ig&1s?=__ssd2$+CRL{i9oVRo2|>eWs`t^4l~~DMrFzx$2$k
zoU_Dld{SOl$u9KrQ9|Xld5KG_j@|n>`@YDAwwfT#BbO}`D@6l58U%tmMTK7_IUh9$
znYVuF+SEm=1>9ZM+IJJw53h)P+&Jmr@&EtBW_|c*=5QmYsrYS0@0oYqtcvINN!7$0
zteO%3bj3f73npiEE5Z^pUrpXAr+m>zB0cb2)6UD*2Py>?W^QJ?9kZ*T@Apc<-Rflq
zotoQYxtIBzXz;Vk{1tbGJ65D?i%jo>c%Q0FSMKjeuYbG0M<%6B>Bv!~ZQpt(D8~F&
zS-g4gO^;~p+fQX3q9&Z0Un726{PQWsNgC@^KFqJ&^8MPZ39PqLOC={QD9eyxJNNqk
zgA=LqvL4T~R=)M}+ruS~)DMRQ$7-CvoieR%|F)gJ{*MyoC<onUamkv$YQ@=1LxUTI
z)9>qKzCZZeQgJJ<(8^_fjE3%K^!~nKTiLIF<NM7!L1nKGKi<vCsjToYpeFF6V5*GZ
zmTRl+4c9&>zpj-aw$jV~L->i_ReJkx3#zztvP!fs%Hvx#KT>w`-NvlV?8}l%mVV}}
zSWtRP>0Hc<r`P`;Z1;SeJ!58#a)J9Mo1K~`o|p>Ea1vKNe}(7&ziBt5j%Zi19MRpP
z(JyrT_`NqA!G+OJl01`?ZazEJcB(zHV)ZhMnA_Y|Yg`2u2Iw{3SlP(#!1VH>ac7Ug
zrxw4)=epllJYLs*aKF{@C#erkIj#}y*3*CRw?Ze~IlrV!$F^bLi+nYgf45fN>vei=
zKlz^6J&Eka_ri7wsyX)mI~n!p^7>WTr_-P4-hYvvbs%8!^j)j3EqZs9@7kMdty?UV
zeZ-C*R%dA4+gr1Vt)$bN*NyMovR`T}vI%o~QuGg<)>)(1<efL^s`cd31?w(}2xanE
zecn0i`__+f4tgB%Ilt2dj<FS(ZBI0kyL{Sd$_JILRRRt^mhBoY>|3uNyizbPrutOs
z;b{jAPv7!gk*m<~=kk)YyG`G>hcEx0I9<zg&5cC`{aSs3TYg{exLvqm!_OJJtH15f
z@mcV`aof+TO=`xjcehoUUEKbBZ9w-ym!jp{-YMGe)Y|Rz*0ZZDMJjTM%$snPir#OG
z-%1+%TlG(+sI`1t8d$nZpe*q#>ns_i(5EM3W}ol$l`>&Gb*0Ya)v4@4vrW&}R-JVJ
z8?<w|XGZ>qztNYT<~KweGXLALL4Kj+MW*T5_9;8^PH?alc&?jsU*VejoT=f-D>N5s
zPFA=7kRdE4Fo`o+f6kJyJ$2{p)?H(8yQR|i?{nZ`qfnIv42S$*T+rLV)x*`Qvug7p
zxn)tgfzOoXWbBWmi7v{C)3W$1xM=4!!SH!|h4b~_Fj(wQv|VseDbQWQ^WwA;^;wl6
zxmNEhzKBoMpA=He?7FIB!StWXNsX2KKg;IYb)^M|%U$YuY<q0)4bPm%^87zOzS#V>
zU`f`QjY&TIv71Hr1>O0<o7}X{&3t>e&Et+Bp8IXa@89din_Gv>YSuF=3pn5I-JJU4
zQ(hc*hi8EPZ4C)aRh#`kmd?3)*+2AHEu+~o6*I<9!5sb*?^cPu+WB`|JFkhnV($m8
z+_u7&C$A-$0w-*g@e+%v+0DAgQKIEitZbRysst~Vr<KLdUm4n#hZ*HnD;!GsVXF}K
zA<9s08sB>Z;mnZ!Uxi+x5zUkLJUjT{<%Sy0+LD9q(==?J7*F({5%|Ap;o0(Ecjn)e
zvkSbSEy8&6_T@=uB*Gi=Ht;X!WoIZzXo*XB@A0p1r@y6QF<Z{8Cceercy7-x<*Prs
zZjw{{m8~JH9-k(zIQgczMZ8!hk3n2tL{iZ{P0D4Coq2io@ncVRz295<>2*n~-CB0B
z%aK_LtcgvPGomA6=4Y>wTd#g6@aWN)6TzDcPp_XP9QiGS&4E4Zym7@1)v_lS7nHJC
zI{%$HA=_E<S^I|mnq!Y$V^7vBP_vCaYQ5&^>=T!{W|p0+URAAnqtBngNV~kZfN8sS
zQhD!huG0-u^fOtw*xtxQn|@m3{NLGf=7PgM$FKP>dntZLV&f#Wc`xRq&CIshc>b{r
zoBMCpeQnVpH&!K^e7$K_*K_}C+(S$Ejb85ew(q(3@8M6I2^$k%uUHV``{_%_`hp3q
zZl4anoc<|0nRN>9)ek)Pq;-<p8N2wmM=W@KXYJPuCnwAd$l2p!HdC}CU)kzyJRhS|
zFz*jT^|k(o)ppP4G3)uWHBI@G+!mvjT<!852iDAeHG9EDf%-0${KXqg&hGmcu64Om
z>|*KFoeRF4*>&~qhZ!bYrf$fYV6t<O;w|YXeOl5BzSkL**j%*nJ2{<GF_4vKdd9}U
z=u1}HkKfuSW|+63GyD$EJJxs8H+`8CbXBzW=DfWIFYHbn(q(^_^szMYliJ5w^}^1L
zGGdGNZ<{_fFNsP2#J+$n^V?=MOiwR9@#k%c`rM?H+gF8D{xb6v=TA6L^<Yy$zVV{|
z8!s;U1x!3;KH<$oGfB1l6@3lUWu1}^eJ$OwlO^%#DW^Z($6p+q5H|JA?yqW>X7!do
z+FACPclOC=&Y#k)Q|_AyWW{rYET8yD{_OsVJUP|3&)Jy$l;n%p^yc=Q8;S?^oL#$;
z^}Vd&lxQc_>O{u(FHZ06pO(7k`P;cs7ub0Ok3QUIcJ0ZcqQ?&D+i&qVURk)Wbn=q#
zS2=RNCia^3EcUjwkQZtcwBBO;Og`uy|DSY$yH#6No#G=^1X}zp`X`HA@Rr<aRpk7A
z;o7K7({oJaku{ok(kIAmIMTb(Q#*Xmwe`N`EvC91<~mA|lXj)vvH$zlx^S)a))%tc
zk2WjZj{UaESpHnc&dS#FEuWvInti#f5X@`du;!Zb7HOHJJZ)8$Q;AD&YAyf!q<rF5
z%dI&tY!0&(=>}H5ORDjZ{@b$UMf20c_SRi2hclShEuV2azf}8J&F+v3Upx*>=5aiu
zzEM#4=l#%|)fxAhHk=Dmzt6GJ@AT%!);=t|MXl;O{@EW*ZdBMSKBHVD*iqt;S4Nr0
zh0cAUVJWvOk0_>W30Uu%c((QQ5pxCc6qD2E4prs)m#u0Kp5O8!Y(d}t<xR$xQnJh|
zW;i=Z+eIH^cQCa(#ILBws<OpTJm{llC2QPfhebPg-7-1s_2$5Rl}Z=Q%ef1p7c#s*
z`ni{Nt?ZMWu1_4d_J8W_IV^Sl*5zG>2X&Ykof`FwBlCJ))8ExCw--&S`sBg??VnNA
z`#;ftW))x9aYlWyeu@;wE)^#};dRjmTX{Qe=J|zg7TU)<A#1hX1cSZ{H#e0o4bu+a
zpkerqt;FX!vqA5kI{yC`cYG4sZX&mLlgHaRGwe^Ee#Ou(UQ(BvACY^!@zM1jc0U72
zi50SseqT4<E}U4DmtJ&BbLYRi&lJVBT>WbNGBWsh?b9jx_oi(+6lDHC_khHNf`uAq
zeN~=+OKbi7Nl*J{M(lsf`UyqM&z(+850||vnd7)8-|p+=1<i-=PRV(6Lit9=eWjf(
z>Wc(FC3<$w^PO#6Zz=dob4K^C-o(QmTy<RU^1N<d*v*!-KHB?;=d_%~mtESVor@3K
zXGMK_#=88y-t(Bx?QyF@CWhr3_%c0<2~eH5$oI}WuA3*`b(h&a`q)@(|H9m%*YV_x
zfP0Krum0XQV>Zv)C#TMO|NeU9#<Lc!t6%*jz5QBPA{pQG1;n%lG#*;kQ{L-od+&(y
zj9mpSM%6Q4XRCicKQ+aLKjK@Sz4Dc3%nPL}Z_1pT_tb_z)b91h{3CoCd$kNtJ##y&
zGjndjrp-L+W*cwpD_-SN+!}aZ&|iM?v(G0qLTv0*oP}$$*M*!@x+9~#yLaK4&AQh%
zn_XGmThy`Lf9<6|V*Co3ezTiCGj0}HJ@4~Ylk!i|#zyz%o1f+HlhRpO_IK73ciGpH
z>ub_oJYQ$(xj&h)Eh8s|X~*k>iIdj}c|0-G%b9q&$$EW!Wq^=i_#L$$3VubFKaxLn
zPd2I%7K`w_n5Vz=&XZ#g4t+acaLt=#NAN$}mj`qv^u!fhH9Yw5@?nLSe=hx8up#U7
z0aL+0n@VlG<<BiFIV)buT=i(1g9z)=;LnSuGdVtyf7JEv+ksbNGr#NSY<^L?_xQ>s
zign$bGqhSHA1VEQl+JyiE&lnF8xy@JnP!{zvA?=-Qa*pmg3tOVO1?E$TPQr)5!dw7
zW@@ZPO-9nDn!jn@pEbWfw7xaNSm%3xc>`aliP$aqowFJz>_2@;SD|!P_omfsN0m*F
z+za0IrP5mS$L3i32*&AM^9r4Qi6{$8Djbmim#~~aI*TVWLh0(%qEA;oqz5^LZ~fA=
z-b$<B;dI>>%wFqFcbxE;@s2a+#09q8^I|iFv!-Uxnb><W^4Eg4x=G3jGoRnlPiv_O
zjF9_L_I00YL4xe1$Mcum_3zc$VR69F`ZQOUhm`$}Eq5z_$4&oTdF%L=6JAFgbFUiS
zDKn4XBlzrjZfc^R-YMzCHG3Q8XNterF~i(u^L%E8@2!kyib|Z`@mVr0{5L&9Hc$WR
zapUl_mUH$m^0x5kJkGPW_V=X&e(bIht0&(Hk`4ZU)!ERQ<MGO<<iLbfF^LK1vLq*{
z?LTJ8kP_{z{e69%*or(oe=!buf$F~4GA$N?4Rf3}8s%NH;tJUK-E+-Z*XClO{e@2|
zy8kYF<Rx}!zRugwf61LzQ&;_H_*-JOH1_z?c?GPC+?Y1+yL)PH<tkkpmN})8Q)iv&
zR*b%<Bq?3KWcl^Co&6_H`|>61ubpzFCZ*L#{*~iY-=>1&lWtBF>u`U<+C1CJ_=B0J
z%84b38Mp5&>&OVxII~UH#k^B^rsBL{Z%&uB8C-92{%jO4Pn$RCX94@Ft3B6!A`~-n
zJWkv@ddvIrrGnOb0?D(MFKuQ&eQIlg^b}#&w69y0dY_&T(K{9Q^7a2Ue0lc0l5Va&
zKN$RT3$2W{rLvteI(0+;X_VGcRTJ3&E|IGnZPIP*8_ImvH8<{F6DGve^!9Cms`Cuj
z?FaYo7tMM1OuuCPz7vg2irc2$-6gR5z~>e1Hh2ET&b%B`VWr~vRygQB<F(7u7psrk
z#M@n)zH>rP`23BM*@wM~{MMBJvad=lm5B)5chlcotjSR8)0ad(lSPxB%Q2h}60yCM
zyHYHq<DsqM0)q!}-fcR{FTyvj={4VYoxf#GKW~4k*V=8fGX>4XP44Zz62IX8;hDU@
zLMzRgj$4WGGo}gb@qTd1`j*FvlZDJYGoOFX+`mRwm{oh>gZYw|i(b8ZaiObUsQdPr
z#~)b^e|F-CU%{+q5Pna>Vy}M7f-PE4V|=t9{;akB88zwA1t&G0+2OD5tkmc)IB$AF
zc*S3-nIE6M>0vc{%Ni=GQvLh4#OgO$$_%kvKXk0UFzeOkt~dE{Tg4nq<TM1s50+YS
zToCJsWYt^Gc>C|7lgyRIU8c%BpM-Y^OFd5fmB=Q}B)QXQ#rKJi{woQVB<(GqvP^u9
z)3PfcRDS<YS$^mG!}Z@HZ+B`=Y|nPxZkRds9i!qe$C9%dQ)3g&?JvC5l)oxCslD&R
z>psrwrscb@%-wtVuI)9p-UA2!%YUw547u@e`o0xfr!Q_f<LuFQxM}z7E4h#4Le*~F
zYTA3%uK9)MGUxQKvv$4Ljr}?2*u|2iymxIP6m6!ai7j8c#pc&GmdTMRn`UkO@U``~
zRG{#S&4pWZuCV_-WZL_2t>N$V6b_l%6F;UEyxF(hD#0{=MZ2@-eZv$RmB=%C6N^Q+
zM%k1EJt;N&t7I_a=I14+=S~*rj^FF}*3rd;L;dag%7!2hJ>eIdG=5hr7zRH3eZ*HZ
zyzby)>tFlTg{S;cNxkZ8W?q<_ZGZ4jsn5M7`=**(PpYoUx+};N@$-c4r8k@1voko7
zT{%t^*WR=0cycHFx56|tp~hGb<(qlZz49T|_aYCR?E1;!&Q$7<CMU38#okIyZGRq5
z$Fr?h7M$UH?Qrg7+Y+}cD}t8IcdHNad}w+*XVKBb9n}Y0<0oGcy8c^y6VK*iv%C##
zdY>Q6ZaQ4JxS2a~C$sjtm)!3U_3$5+H{0>Hsr=~~)v)%a`J326)XRSVQ_6gm;8kY7
zFT=>F$oOcSNk+hV6(h^OU2(GfO=^+GW*WhJ=grC!cJ6(U-rhHRfB!;>lN&-Bj9g|o
z2)#EodYIQNl2g#lxM6W)V?=t$P5T6$j5)J&6!a$ls<Sv8VWu0>tGn#qSEa5BE9RLS
zs^s*0*LtsNlqp#9a$#rt6SYGs;+bVu!SDWL{BL%femqR`&x`A<DQ!moZ+vp}JuNzi
z`LXBzZGlEl<#HLLg+vx*2;MK<Zg%Hw+?*=KAn`v@zg*9=oV|RNuQ4EViO>BB`$Md=
zzFqeej7zP%>eg^o=yYu7?KRtL;ziXBPZ`e^tGayr<eckahh>Y-uX@(7caoEr(8G<^
zPUdgEto<x}U`M%I@}=!IhLx=!Pf28dn475Hu-CWie{ZOmSL844HktXJpBOCMawDI)
zJ)O7e)9!0~G79ECV?V+m?eJuO!^O4@jWb`&D>hWJ3FMrfud?9YGlAuXnq3vuPN}!2
zCmg%;D9CNgy3b-o@dr0r*=$^M^;5RQk85qg!cBE+_}{$RmeR8HlGqECxk9azuO|C$
zf6Wr~GVR9~%gu}j{$xB|v}8r%AMRUryUTt>>easRPPqKc<FxI((httNtod0bttT(P
zZ^bv|?5~&I>HlA<S{P)my>V9RbByo-rX%u)xhyQ(rr+Fj$@o<Jq1K%a-Zz%0G(ODU
zE+Lk&MJ;XWmsr)m>%X~vV05s$=xM|CDkgtx{+IWQ?IRt}FD_=ToL$d3Q>9#W=9A|5
zm92~`Zfx&Aa_PCHyXCD?{a+zV_|-hTjIG>O>o@!_YjE&6Z#Rwgi;c;_u-T0F)wr3;
zu5MU(%w~1sha6##^6*Hb8%(aJZXTGv%jbyJpG~~q&rM0bm$Y1F?yiFt2mZbe<*q(n
zeadnE&6$j+gx47zXPZ`5_%V9Jvh;(OTWTh$FzC3icq{enOUS0*4TZ;AYt9?-O?P=<
z@=z()aWnhObN6O!KP4i&XZNfXYqhf!15cl?ecSoI>5`G{E{l75^+|dEs+&J8-Mf4H
z>APN&dBQ%t3Okt9@UNZksl)N-Ctsg_wD<b)b4TkJ#7xQgy{dlEc7s#1dhh6TT~y!n
z`UGRN(oxx0y&L~5n_$vsTrsI*O~U*9w53ZobR_RJs(Zic?L%)1jji%}-hLu>9KVj-
zEU+s#xqi4TX`+3d@VZIY%_f98yfn~#IcK%|%I}+IKT&I)JNc{00?{46n&LlQ+BCDk
z^mxZH5zeq1w$?m8odwg+3)}aZfA<J_)3Cvz?a0oLbM`vA{xj&j=5JiNV8`L!e|5df
zbvLn;&FDF@^>#&pf{Q}o3$<kHKz*?`;W=r?i=Vf;82c6#*vN6+H-BX*H~E%NW=Z@z
z%gt^V&9cu5@79o9bgq4c>8o@Gubph}&BtPAs%%?0Z}$}QN}>7O?cO4j>MO->X$#7)
z+pucG#S^~aO`<cyfAM<DKFT`HV6=(H%iM88x<pI5#OL6>{c2awcWzI0=DJlGJF|0f
z(Eg8i=Q2)g`t*oHYSX012Xd*m%M7}BUl?|Ld2@Dh;KfW&p2$_camI(-<_XE>ew}_#
z<<>j#AV0DDAA7iJvt>hqoBDq>nCz{4c6{T!hC^9bYo4YlyGt#ywlL8-RHJ@)+g~^5
z(`$8i$%-%fIZI7+#m`p~9$U@VeBxOsG=(R$?8$8z&alm{Uw1Y2&i_AMk#AG3!Q#`W
z&z#Imt@PjKy_C61TzIQx#KO3_ia+9ZhJHK$@1$@6m#0L<kA#4|KIYpvo^M-GwROv9
zBmPtB{6FqHrMH{5?492<i;-=&@Z!3IPA-!_MY=sd-FtuSm4%N4&X?CEzwUjJ+u`%}
zu`uh#%iMW<-M!Ar--S1atE^x-WU!MjCVSm9eL1=9mYyHiI7e(f{fbF;rS0aKUz40P
z5`}kYK42+a=gYbzWRkx4ZS6%7g29sW6YG~PI@0<yW1*p#sdsyBqRG2!rB5;h#av%8
zPuTfujn4h7lA^Eqm*$>0K9wtAuT^~DG0$(-&QCu-%<`Fgy<STB(Y=s;Qx8=)NB=Im
zm-DT}(rw~0*J=gT%A(5wkF<mQjr`Xq`LDTleWk;l>6YFvwP&`=>h1cKza@}=@$(-4
zP0!gPcWiy?clzv|K(nhYdl%NvJ>ffhn(z0D)r<>QW=j}7IQ4FJdE$#lIoDieSQc%I
zW;<5gBWuF%w!r#a>Z~?_KZ;+fKU}ln-qe)w^-$Z(B8DwVtv|ooslBfJVo>{b?f;wd
zrD=g|BD1&sFus^?dumTW*MXqRD|V_TAA9Wm_q4p!(~X6ZU5rb_=5-$9@x5JXp(}Ir
zhwgngj@jpmZ?=a>w)^rc1v;DaZT@V)DCYmiWyjiMPBAIVSDQ`M=+u#`I&dMamvL6E
zhWPf=Inftii%!?&X@Byp)q*2huZvsDPW^E2mkXu~;$r>0oRUuZ9s4zzzk1`|yE}9w
zUre>xxBKGB_<|#!?pJfhB&Ym1Kgr>*@K)DN)mQI5=UX+8;mK1y&tLkVSZZ8iQddhP
zG?`Albnw;onKt`B6hHQRv`qHoqKM1a+hZnbzibi-Yg9TE*1YNs<CGV#%Q{%p6zgv9
zNZS(n=~mKYLER5W*G>qiEza?eIQRSBdQQ#NR@bslEmhpa`rnS@lFi1X<L9oaYX3ZL
zw(-gFdfT6}Opk*XfBjXYHAyt~;Op-<Q(Js(ZYLXW{9m2*MEN~yPh`;Bzs+~Qhicob
z{N6t)=h=rn=Xy?F>DD`XWW~S9>Y1-qpM>;WyLvg`#jjvfh6goM)BYSe#}&3sX?bSF
z8>N`EJZE8-L$?LbW%0*u=MXrM+PB=%g>T_PmZ;uib;_Nq1QJd3lh_P?6tpLAWNONa
zuGzjW`1$LO`QpFw6O|OgkL6sOwEOFe|EK5mmuK?dIk=|j#`md_ViGCVTq-#)_r+}b
zak^=Cn4+T8{=O2mGn!^Eo^n60{n@{7(~488+u96%Uv{vJpSDEr*?iA)&mJ8;#vbf=
zeW`q2Ctr5>Bh4g(NJrr_n^xUQz0sUjny+{$Jk4k7yY))#?^?B2J?c#jWZG~?>(J-G
z>A%<8@lUI)WXR)R+_L&yE%%q>FIIhfvR=t8HN7sWz}kD|&h7R0Mbxh^7n`OK|0$MP
z{nfomNt^qQaGr8s!%^oh`%3@j(E!;W(k>5Aw`zn+>)CGJcy1>HukxPq86xYh?2UJR
z-^BBmdFHD-$9jZrzIoIk)Vg#gi^N~Y%x8K(A5Zu=Ym4Ldi#xs>-+0-3<lID0dG~a~
z-ot*o!y`+wGwMIM*{%sFWeRn<cw}YrBggW~OJ@o;2na3<+Fw<@*0}xS<m{KCOb>7T
z4omwn`B9_%zuXH6T{k!%iO>J^Y|4=bSAu><)aj~!wCvcS*~)Wut4h=HKOB1>oDJ02
ztNK@2WT(xeJ&QlBd|S3l-M{y|)Xv(|2cFN9?b~B4GX2kbY0(GEAGUO^6A|Bk<?*z1
z0si|9DGOh#9{Mw3%GUkXJwMECSNj^tNF)WYwSL}YEPwl#uXWIsrl9wCyPa51bJyrR
z_#eLG?#4IyCD|%h^8WMvzN?sdf%Wa%MI|cQYl|kDuE^MVLUdQ&wwUkxH0=(~Pn-EI
zqGryu=#BHURxr6ZmF$|dic9a|?PZ>!LQ0}fzg3^r{Mo+Y!gF?(^fHyT^QXOFPsp%(
z&~V}7g=*&3)u-kz6M4h3@zkHViOY-+R9b&y@c*K_q*`BX(b0Q;`RBe(3eb4{y!bAo
z>G!L5gM=Mll^9Ho+M&yHX`7eUBK_6A-z<(_`IT4dFx$^7veBkp=d8}I?t)P9IPc3=
zzH4?U#dhtPAh>aERcL|Vo{N#k_Z?Zl$6*l?=w7_^)PV<g{UtUjS2jrgDcgE^<KJ2P
zaz$4aKDTjO$`-QB@cX${w)vNqYG3rwNk4L5`GT?B=e5_rF__r|rY5xHel~67nK`N9
z$K4e>CjC%de)9l-Vs%?<#GyQv<wqJXZP6*SPMEItRH^Uucj=WCi+?!(oFQt>@}`NO
z;X(tKz#BFD^|hI255H}0{?-|<#Mb<EuE&$Apn0=hE;pD->wfiE_fyAt^TLT*dp=!%
zf6B>n9^=iP`)v&qeqWtwFaK^j_qjVkLY3xuC$E*>m^dMcbIRO_*CU^93Xnc<&0+5o
z#)CU={gyM^#yjWp36>4%cSXZY!!HQ`xb|&kfP!Gh#+t1BWeSV7-dMGF{~Xl<w}fM2
zf);`1n@@ihRk@j0qRt|}_2<Ec>aDtlJX_<oovJA7_wW+r>YK1C_?EZg8`t%=<=-cz
zU8^uO-0{Y6cjm(ft5PH;<v1Fg?JZVP)Ur@h-y!l|Fk`ZeBRj9<^!0lqI8}a1|C@FB
zccxD8fwu)uPiB?=2}vssZvHlDyLC5X5NFf><ANeaKhw5!G-$gvneFtrt<$=y>G`31
zYuWd2pPcW|v@mD+;V+ljr<8K||BQW?yy1FDr`)tRU544d+b6a(Sx^6Y*T*kWE%HyO
zgJ;_@y=X(j8D;&GQ_UZpUEf*wc#&R$zvz!id;za(E6#aeJ<fZ+X^TVXgS*?m?D9>P
zTQ&WJ{rBlob?LKLK5$z9J7R~@jHc9shqS^Zm)(#xdGKe3FBkV#mvAM`GX~1`EeU36
zYkmiw*sO4`^OEaj$4$=P+(K+vH~$xTbT{)?`vw;!u8q^SoP6_n+4(ESYyNR9tr0(R
z=F9EN%lwbMwtA-aWz)PE&Lm0s2c4UF+*>D`-wZkCr@Bq#Y}1+}<_Zd?hocYsFMBaJ
zQH<-l%$ao>|HU8PDJ{-$NtBGgmj1f2?!4VCr>@Hthc#!e*{C5{<N2WdW}wSfS0~?_
zva;`_rJlsj_T+kfcVm3_T$i)U#NO}*p5UJ6Ug;q<rPauWC-VK?uFcQy-YE6zinM2(
z^zy!shZ5_BeSa^$6XuH0Prkdbc=4?LzS(o07seh`lUl8OSx$Q2(PocD>lyys_O>^D
z%(md~^qZ?3=7e-vyuUJQj`CdoE6;XVcPGs{b4~hv#>5A5o=vRf6^lPf6l!QGJpUrl
z)1s)mxcu#ZpBziw3HdudcEr!?x$_~_P~d;u|F64_`97R;AR>5Om(TzGkNu01!`Aj+
zJ2jnGa>Ar*@^^CNg>N#n?45V9<>u2ZlO(*=PWUaGy0!PgzKaUMa%Tm0T~~I#8mYor
zu)x{rxsPw-dwWx+!!6c#b2Dc!N-Y(?AH8I20e^MID*cX~B@Z<&n{Mx8STLdULhq+(
z0w=V;CCN>-TN*mgS9<ytpJ(ouR=jypm2D*IJ!1jC&*PeR&Xc9hHj5>s^{@0$6mNNd
zp<Sd$a^2a$$k)ZQlgbrZ_G|Kn-zl^R*|tu4llpS`Z!0bvv)q4C!o&amNci?+$xls!
zE=^zBDL!+v$nQs0enoQ@+ZNZX{V3Z~;qcBrNaD!%HKs=cR8`_<o&Gz0Ew`0vXh-s#
ziYxAN+PNECYa1hEIsN;#8l2&mS&&iO-`>f3*?3m<RkwvkGZ<$}D_8v3(sp7)nw`c@
z^>UxD>!uXm5qFX7e&+15>FT>e*Q+nX)c9grn?x!uz1-qsx;`P+g0pCsNyZtT?B{8P
zj1Q)<$`-cSE!%vzyg6O`gy+?bJ8Y^rPV>%Nle8rNpKnxh&hhIzXI7T}{5_3F<>R-<
z+_8+4cg<y*@$rSquc+{9JGMNF)1He?WX&%t{oVHK0=B~qjFZd^a<*6=fBC~;;locS
z<2$TvBJRBjzMAx2?24F6cK<>@CRIz0;x7BIHMU*br7upBnNj$-b?<T4j+WHNKU0O~
z_Fq=WVP3Is)7Rf36PIS()%JUm)xWBeohP!8BkKBI4*rU?Hv!Xgu4&kM-TgV!*mO?*
z_8)!1DmQi{8N9E#^Ov<%$*IEhl5GLc$(0!*PG{SrlK7pTuj8n5u-K+nxBaNf#kpG+
zWW0(#6zUQqe1!d;rS9RIyJThc`(CY^!fGV`V#C9&ttV3_PH0(rc5%k1W3%;Jq;zha
zzT%fqx7}D}>iWx1?Ny@UO6NZbaj~<m-&CwId*@0`f!U$i_53zRT|e%A+qW_`R?^Sj
zwnH)EVpG7s%gk@PYIihFpSjoN%eH-O42&h~D<V3Yh0jfUaG~Mswf2eGvrA4~OFnb-
zLvh0Hea(kVnskyH?y`l|e~az;D?cT<Qv93H+7#!HtGh$o<EGgwn>jA9;c5vM5MC@_
zTX1|<&jy3FWt-om9?>~%d-pJxjYZ^=M6cCW3;*AX(qFw&C;P#i9P_Eu=V-^L1U~Um
zxny6=_a^!L$#?$c4!sM740dq&2Hd%+qPzElx2kCU&fhZ^eM<b0CQ^FN_0ROV2fUoC
zPn;KDy`rb_?8}#__y1lOwAcP3@uE}O$#W%}@qDF=lh{5j@<=(gBPH!>{G55Gx5a3t
zKE7f6EnIx-j5Ec|g=>SPUcS6l_2k{buX#PYGo%*oxTU@N-S(|lHcsASFhALE$@Zr2
z(VtRPc_yar{^Ruh@<G=}f3<w?)n|7(ty*awsAW*N^O^8PpY<p5ZdTW(dz{<dqQ-Kf
zr=)_}Z~vudm+#d~o%*NK%q{NB?d0CbZM8`k`@aZF*SPQ&rgNviT-|UhbnPbT^ERdb
zA5LWcp=T&j)R1~p`h3rlBfGB%T`ld<JflAKfQOyUb?G~`Yi4N~bW6<5_&9l*fkfIH
zl}M>yn>SBCu|T3Xbx+X2K7~t6y5~jIf|zn2p8WOVU0z{{iTKee9@@W?u3Xlh7`K;8
z`O@hJ$r2KaLf8G^x4f?KDS_$X<?8`=r_SAA8g(o{xAf!e`Sp8u#2)YB%2~7F@kOQG
z##R%jn5{NC;(TY$p1U`vWv~69!TVU2U-d+#dw24k>n0&f3)Yki)w8UTU;i;nQX}tQ
zPR5?lKf)U<WvyD=ePu3Q-ueB@o|PQ4%})IJ_+HYY(RNDrrO%6ZwMoXjI&kjkQyu%K
znnk90VY^I9PcnYK-*A8X48~nKrUI>OC+ZkF*DSgFfYD@q=huA2hJD8OoWc~$0^Ob8
zhoqb_nWM6@w)nRq!w&}i@?b-4wLar{QWtpo(--qLOxUQnWBSu49Sn)=J~};43l$1P
zce(GATy;NY7vr9iIl;_)SvCpZ?rc7Mra5!=0r?u~zkc_)Rx&&;dHYVj#p%Nb^RH9+
z%>+K|eVjh~d`ro<3%u<DAyzN^j&ET~i2Ax|yFl6LV{P-6^4z$?H|b^i=YpU=^(t{^
z93C{(xV!uf5|T>2v9qsAeA@F5Jl(bW=F5Jj{uaL|(w8#*dzq*%+ukE}dj;-`_g&Fy
zTiiJ9&S%}G3+d+z5@esM1no|{y7J!*{$F~6AuaPxZO)ta^@`M|`K?DM&u13DKB4Y}
ze2Jqj|J6^%*JTqntIjAlh)SAr;g6?zlR00a=k^=don=I_S)Q>>sB5&+UO9nNd+#i(
z?dfbjxl7_Xrt13Ks-2|6ael3ILGHv|rCa39&O0RTW!ki5@e9QpuG1cttNz#+)V{VL
zs;ugjs?VyGZ#&*tU;8f;rmys_mMyvNz&53auWrXjO1^KA%l6-OBEEZzX3!%a&8xi+
zbke`PToL~DeE5lnnY-QyPj;Gjd&S!vrMjDmExk32nznD3uD`gASMY1B?qu2Dr>E|#
zvO1P@?$nQC9)2f$3aVvqUOb<ZVcI$U+v}Pb<rO<S7Mv2y5accF(BAtrTEj2TPv*;v
z@F&OL%~vQilseTSc;vbMAt!@6q175kQoLJyZn5oKe0Kdejy+F`m)UR15Q*FW^zGxT
zbqxVm{LRije|66_>D5YGSuTb2Gnxy}E){v7DLF6rLC^oAD^D>$PSAK4DEen@SnqRo
zslB{czD=9i!?knYp3VEM=WQ%87m>|S=-eNCDYGL$t65bqn&;s^w}Vc658anujXr*G
z^X$lE_e=Hn|9x3%W|aBaPIiME)AEZ(D|nic{y*LGZChBI=+X5Rw;z{G{kz+A_CMdJ
zOIFP5XlB2%{K=V$RavF|5lLZ=`uBGJO%gq7oT?)qbt&garOZ@&rvLZcu6z-?<SD|I
z^Gx=5*{#G2_hfZ9Dx{p4CTsg*J;zPG5BxLxj&<&IWnR_n`+Lo{@+yhOWj?2Fq#e97
z_0#j4mz=pLFWU0s%qA{FS%IjlB4S<zr8i8@UB39O<hF+p<KG8Uq7pAX5L~fm(y_a5
zzTf6wvc*C$<+NH9OWi&7FP`uBUR-y0*@Z&_k6)$~353;coy8pKa5ePuyt&qkrE<P*
z?_R5O+9p5j((P6Yt^~noeTR9G+4D|%ng^~8o&LDs%F6$&5(<Iy`L3?~zcb<J+0M=X
zyq1;eeLtSbZg6CW$m(1L@lCdEt;s*CP9z=f&bgrx^Y-{#!3|A68Xp`~J7gIuYE=H#
zpyT=4Lo;l94#XNRet7f$AHS5YrxM>QuYU6pm)cO^eD$2*`uueQ8rxe1uiq6hJYiY4
zM4{DsbxF2q&mQ*JoUYv)uf3^D7rzqVQJ2c7p|?z$QLLG-*8K{f&ccoZ`=`!!*v+!Y
zU17sa0sZq`4-V*a`h3+8)UEZ-U--4?*l$G{yJK7Hrd^Z$aoFOnK<%vd>dQavcP#X{
zyv(FTa;41S*Zx@!FJ8V=YI=Ud_-njrVZ*)6a}#IG-4Q&+>v`tn*8Go;j(SO``yZMT
zzcZj<?|b=x@Ljx4GW#kys{j8z#a*l&=k@wx*1L<*$y!obo4-Gcx@&yv=YqJ_WzDPi
zGPWEE5cFIe-_7TE_w|z~b+h7>dA8>(Gdece*~GS|G03q<zg^n0PQYq`q1)Hqz2?$J
z^V$LrbiMp#vhU@QD)os=zpp-i)B7mj?8Rbx#Gd^MG1Uru5H*L-P@l_b-Q!p(5uPQP
zIS%nvNrlQWSJ(vnEWOp+#hzs;ZWsEncX8qD<M)KO`K!*`|7n#<-@#`2=9&-eZL7Df
zpD9;)WN&H5lrwG7RUyYGPFyx6PLZ+Ke%1*lxs66=XCG=(%)GI`&EtaB@1Oq9T9yCb
zyf&Nn=gELsf(!r0-@D_srRejt_Z;j^TO1jdUwBv%c09`5t@!?OQ^S`#mpKPbIj<}8
z?eiCZK?XC0W0xOQ)-U|)ENbZe*041~U$4waI9*IFCt$_>90}WsUxIJAR#-0;IC`<}
z+$o;8S2H4yHT{{9d*`l+&w*J=B|)<jd0u|v^yOQ>GPG#X*99wV<RYUFtv0{dZ@=q(
zdQ$VX?ZtViQkP{uY*@14=7Wjr0*{)$KIpairH+{Dc2+|_xykS2PWkR!65D=D@a%@$
z+V41o;xdx&{|kJTKJCJRwi=dCMI4a}S%Q}zPqUD}Ti5)2?cOaV2R9`sPOJ_rvrSd_
zSbA~Oteu@JOpGSYXo^Z@T~c%77DL4o@Be9^pNmBO6S}&L=Wood{ZGH_HDtWbn_zs4
zBQo$Vv%CAwqT+udQ;!>EKKQuDfrrI6arL3o@7B96+M}oRbw`w^=xf_ubH1ldO*(Y@
zg~*OTL6<z1RO!<9b67G~y-0m7a&dKu^6Ug=W0_l5wKNyGm|S3=_~)X0TGew;d1a%l
zFW<|X&fm@DKA*kz|D&Ljg?dY$z1FS`5xRX?vT&+dj;ABXRF3dPC70hiIkfm3atPdU
z?McSMhfgJ5xm|11S(x9}^5=nUO@RG^3s2WSpZd*lr>l8f-dyok<J|Sp)mqz6_<y$E
z%(<gJeDRkl(dQU?pGyS<tSnNjT=I-lclK^e**nu7@>DI*we4-oGl=-I@b$ZyhlL+S
zch~B?OK$LZysblXx5TIZ4-;R=Y@K0w`E-|9V;^7mZ0G+?OQdf9&-+%!mbl*FT*=SV
zH7ehqRIXS!bCKGuJLSbE3=hxP5L|pQ@uS_HIG1Jmk6A7#w%v$q+;C{-pL&1UTXTbB
z{UfyIE-IhT{93A5;Huw;-O-FvWinfgcW+(rnAdUD<llcfljbi<mTph|#>Br#fAO{C
z>*6`KI(w&b-L<}wz2SQndwcS0o5c;?+BX?E3eQP+eeGBLBsJIS$y22#GjGoh6cNmS
zc(w5T%;dm)-Cb-s(YK@ORuwz6+IBx+Po4cLHuR;BpXO<;KRaVHU)@RXc=vk44VG)#
zH&yq9ePv5>F?n#KrDFHfcO})@A7nF%4qi%mek0GrYjvbT!OWsl-z@YG9|=!$@SF7L
z-IT>j_MdasHZ-*@``G*_HeroC+s5<!*E;20WgE6?-t;@|`p#f+yV2D&F86H*r_GzU
z&v@>90R;u$K#`e?HD?>Gjjs`Sb6)Z2;m(|wftzEjYl8!9I9aZKmn`Szy~b}5{rT;g
z=A|=AIu_hzdHE%n|Ig;>6+9YO)2GK>t(j}~$I<w!!}Olr4riU5u7@#CRJZ<c$;R}+
zBlYHKYAgy#e1_#pD~tCF-g(LSvP)&VXNPfJdeFnHb?f=3zAyNA^V@?}%Qj?ty0dwO
z{QrM+*Q}&uh028~yGr7wzuA;_ZqifDM91Te@&y%A9zM+VZDov?I%7<t92-8UE`QHB
z_sQe!c~iA&T<rw6IO^`rojO5JC&d220cY{@ga!YmpJUr{XN#@|@7Z1bdY+~$UjO(0
zcIW)$#|5*Wh`zl1WI?&6p?Q0{>YX|FWBU}=a#v?6ZJKE$c0bX*mD9~VZpsubm7*?%
z7Y2>XP9Hm{WM{qDapu)*ZIOueQ6g%BD|Q^(8gsBTM>qA?0Ub{%o++DdWw`Y=wXO-s
zjS9GJW`47EOT^-Q?<{}WG+pVoR<)FbGmBW_gQD&%o!2)#Lh_c?Lpg5C9QW*n$ved(
z#mpqw<{2nfFFJS2TvVzh?iBl_`NxB{%sIsDk^3^&^-(-meOkv}mbvDJYn_(ch3+gk
zxV~^s^529BOVoa7$+jzTI&F}?xVBnFd<nz6YfctZdfa#J$!DlpcFeG6QfE1HR-{yK
z;4?nK^^rz}|5u-V>&I0ex%ybAw4|Wes?7m~0c&@6W^LViX7c>(2-Q8-+#Dyi>!cjZ
zzU{EQk!>N1Z1CYL*Pl-Dbx7N`zh08VZG%~nuxe<hl*poR-f2%3hHP>Fth%*x%eqqG
zRav_x^{;m_5$#w!N0^7>&5;(NJi9cOuY2@l*o5>MY8P5{*xYn0x|gzV{(BGaIM$wf
zy-xQs*mt)dniu`)EBnj0+^RN4&T*T(>J9o6|2ZtX`03}yZKgr*8iZDwnsEtAT`bIa
zW&Z!gaj~L*aVZHtm9=?4`Ix4xQM|EDQ0%AsM(?>7;^G22`*+^ZFgbtr!wlW8<#%~g
zJ0E-Xc2sI#s(QHHZOu0>H_`3)`a}(q1L|J;{+dz!gK3ZC9h)Cp7wc(y2i}_*Fe4<e
zOgw4njGKaI)9k+gPFn78+Rngv($5XsW!uDF9m-~?(p>e(&Dv$#wc<}N??_eUzO@ck
zEUB8-wK<RD*z?0ZSFg8arm-*h+{@uP=}Mo)JKw)g&OKp``*nTx)P|#XU3MG(iOk%1
zRGeM>&B3J`mzr6=d(XwF)h@K^@SWN}XB^-EGIO^VYI`QLBj-TN;(+xw+Oa*7;(FSr
z8HyJR#q?Bev~gq*-CL;mxM0;Cji}$dq!b()YZ~QxGglb5&A)$g>OQVN*Dpz2UzxBv
z>eyY$D#j%fZC*Ks{gbz-;6Cup&-iD?wa2G!uLvu=nYqfqbgw0sQ=B`SLAuM7?eBh_
z`y+UJ@wL8+0_H!Ref;}hY2;X&&j^unz1dMecTeZH({I)Hxo?TOShS__?$J56XI(z_
zm`y)4>u}5aS8dlXb>4h@fBxF`5B)E<Jj#$&n8JVmeq9Sk`92N3OS5-meft{ZT6I1k
zx$dI(y{g?a)=v0s+o0TV-@s+vJ>KUtgq)wJaqO5k@$#jaEha_lr%aq&De*WhMSHc`
z$xQixDp%_xb^T17JioiP-Y&X#_xPnhdXiD!s(&t*T&QjOde<!JT~F7!bzX|w`l7gv
zPiVs7Nrvrp0UMT2JiBbs-N0jiJJ0^!px~<Dd@$;3M4@4ZKvASz_`2(b?WzmJljdZk
zGsOze>lS=)vs>hltWw7uThA2fmZaJDWK$SBd5-;bY87+p7g#bYFJbR#^L>wZ9bF$L
zTOCrB#9h9pynWX#o{-b`Cp65TUVi*C*V>I8J|CrjD|o&=$aqD(y(RONQqH_b3)Nrj
zf420H1xJjb*CfL()!j>D&b0`g`B9enK>F|G_l*j#YLbmPqR;L;__ng5;N0zr@jv}G
zMr^G}srmCsR(DECL0(?_)Ky`>>v=P7=Ppj!e%P(=X8q6OnKz~_aV#-b7Y<neb<5mc
zK3<~X3O_dfc#x#CGc7Pcc4q%{6{*&!#N)pd<)_)n9bNEliL<AQS7>e1T`_-d^YF#W
zzeBrEeNx-kA-h!IpmhG0pRF!cXSt`|a$L+^RO`|a<#WsGgzHq{ur)CuJ7yR?o0I=}
zN6eN7EMXTtK3#qCLqPk<vd257`&Y6i-#_b^X`ayCD6z6_L!H9AE`F{28Jh#7laKx0
za<SnF=fdmp>g6xIBR}1rC!h4;N$VrN)fq45c52^^Tcan{@pU;DlTYQ;sS7WynAw~q
zqjkG|F6V^W1B=WTS;<-*JMcCA#*Q5u?6zF~bJAO5d6NsDjM8D=vyZ2o`jG$q`D)9+
z3n_QsDbLzDeQC<^S#y<lEjqE=!(sJ<Q<HBr-S*2{q`x$|MCPE>$tv*|Jz32;9OvGA
zzS62B`|yGOa;>lhtJgkzU0UiedH&rbyUdB1E-%+;K3VWCGvxMe2KKj4nj#Loxm!5r
z=eNA1txsOunz^DtzT>xB><xb@iN$(n*46D?ux3T>jQ%efmgS35KkwPU_j_uj+LYDI
zFPYLGnz}DKc;kKeIjMJ1|2n$*ltqt7iM7tSqwdKN>!7zWh2x{OdhiwbU`2&R9SeNk
zceLj@g)*D1u$z=7`orYNiWxKC21!iF3!N_VOy!ni;b|Ku`|`5hDvj5fk9Tl?^_5+#
zK6B^fE8?w3E87h@FL%tItoOch8|RTXT1*$4!e(hO{yQla>cte@`QyN&#@Kn=ucfrT
z+g=h;{pr7dO>KHp&zdWhDgSo|tnfM3mw9hlMwqHZ!?a8FVPRLLC4GV)Oe_+a+ntdU
zt9n*Iz->yn&^9l_xr^qgrI{^tK6d;c$D@Ahi`@(3tExYWxn;QO{$G_QA=2#M%N1F$
zA$ivH_0M=7E6H)~{HbxwY`*jT*Slt?nX<(<*}b1HF8QOTy?FcP6-O@zKdIm2z53j5
zgV&y+>*rnlwpT$@c`m1VQ21ffA1SH+66X6i@ONDF+iIWtqi>#^S>a);3!={ts4Y0P
zYg_zT(P_Kc%Ol=vO?}M0e34D8*NG`7zB<W7hD7`-UBb?>zM5^7gPg?gE3tv<Uv&H4
zWX?8c_*Pf{{duQ)-SQZhTd_~tXPO_gSG;tpDrcsh-1>#b^(V*O{M|b1w6?`nxn!HZ
zg)^BOdTa}tJ}mK?zx!j{va3t3t~%^(`%PZ5XSIT~{I)6qwL2Rxoe}Fu{kYPQZ$a_T
zjPq~%m?vG|((fv{_GQENo>`qiW+v}7S;ZcShg4|(GU(gG+F5x2$gID6JP&18&A)u}
z)}El!^uQ{|J+B@n2J%jGDP&|4UU|XrO3c|wzU=>{H9o{V=dnC$^881W(AwM=zkW>U
zvp1}`(p3B5ide@+wmrPBSgxuTiZZ=6J8#AA?p1D3*H^kF_QB+IrRSFp)#s{rgauXb
zGPb;4{gAJ^Hl1w(_r2gI^-mVNKT4{-lGn&xyv%e(w#%X;N>4>JHl7W=WTM&qfGO+f
z$_Zz#dr6v2NjIM`{mjj833+P{Y|5`leywa1`#Aq+<<s*Aiyl01XIvlp;QZ}|LraT=
zIUM7<WOq+hxu&&M_6kE=Px;222@IJ#HU?HH@uf9r@Gw7Hbvto){67x8V%f`XUvxiO
zr360|Z}O;%VfgkoPEqyjj{MiF58s~sE{4%Wwed>cgI){Q2jP<zPWbX~d5FX-v767G
zOU^gyRkx{b|6W<}^~jC73qE@b*9pa!FuXs~y6>g}&&+7$j_TW`IqChc_v{v2Ub$OZ
znSVY1q8Eqwb{IvUIde|lW^>@B`%K39R{3=+m)Fb9*W?V}r{}r-y?W6WH+~+MK>Lax
zm$DAH@>Hzh3(WZ+{b1^CSL-^VWp3Pwg%!7*+P1E0KKAo)^z>B0w3{>bRZJH>TW7QI
zTI2G{or*l#4sW%#ZOZ@HwWLGXZR)c#6Fc_Uzk7J=$JxhQRG264U8Qs6P@RzD4n3Ez
zo69OSWRBkRZrEx2o_&6e)#EPXChZ1S)&?<iwuhFwY+E}28&BOBJI5yJPPEd}t4Afe
z9nLCOG9A-?R=sL{TG!{zeT@9V?@wN9HRfKqGeo&WBd6hCeoeoxTEf<*t2d;j@~anq
z6;YqJZ?os~SFv_QUtF?<gdgP`j!d5D{OHE{)vnDEwU1@zPJC5;C-u$~VVyb8MH9EY
zubXZWeOXD?>q|y&_x(su9jW#t$2W4Sp8ki{mn}?EzOnFV#ZUIT-_P<mKB&vy=)GzB
zc_}Glg#~YmcwZ;4PS|W#<8AABedDy||8h@r<ks%m;a21rBk%V~A$ifo`2HsIKk?<C
zxPNnQ@Ns%~c%}UE9X5M*w(XO8yW3)q<GO~%tBcwT>!)2jym3K=a+v<NTW)Swi%*}s
z`SDa|{eK6u*D{v36!tu`FttB)q=KuQ?^zjh{p77{9{Vb;y1I|!^3HpG{QGz7Tx&I{
zopJ1_c*N4{e?QKC%EGzmb?}~j-@{h>o|(Gjzk1)11l|V+A2rR<Z3&W&-ZZ7FXyM-M
zYZsDkW=%@oWI6Ta?(S2}XEt;meRo;-d!$Bmo5$CkUB7;CJSeGS4)Qql(oWlGmQKI$
z)AB<ZVp*&FR!6#q$<^J+b{4Jtb-bA0>41D`z@Ns;de_$3vt+b}T%9g@U<sqnpC74_
z_kZM>IkSCz+qr(SJpWIj!>SP~4rSXyMV`rsGuqDSdzRZ}`1j@p<N9?4lXc&$Raadu
z#{N>V{loKXmg)>sf`TnM8tsa-*Q{SKNv-K~tz$})(ULbOOpLy+&1QY#pwLw7X8H4u
zmcR1K2H7%)tfWOxb9l23zi(^$;<iIE^e&g|L;IWcdrzj?wr$&CD{uc~ZPhR1+ws{a
zCIrj=nj>akd9JP}yZ4}5VvAGD!B=yCY^roE|F@>R`BL|LXVJ>r(W0L}1@D{sZT*kq
zu8}Dcw|9OQ+Irou#jH1+m5;~ht>JA8+j}41X^8A$nir74?V&EY$lvtXGq)4Jm+hK=
zWU5k;N$$$R=<U6~eV;3}xA(QiA1g8YY#sknD?Izu`o}e{_E-1F-hZ6Y$#Z<p1&uH^
zJNIXId!6+r>sk41J~?p(f9r`Oi#A`K`lH|J;k1_}-gjfW|NOYCaQ4U6wKHmNo0<z8
zSP<>4pBk97Q&C<)tXrc0yM`0vlHVC;zw#R9aIXC;yTdFy-`C39wVrPsD{Jzr#P*M>
zY=`X3R<-zR_4?|VUoFmlT*kB|@Bc*irrAd)2$U85b~@*^j`IbVdEUS3ee+@yo_)S*
zG3_!>Ze!l#d_CUunoQjffqpx`R5(u-wK_4Y!6DsI;-d7Piyyjw&f)r_Aj~#RO5@c3
z-swvxFxTHc#cN=)`S!M5J^Qauk@w~CvAY|^y4$ES)XgRBbB9*a`tKiq6!o=S%~_`Y
zxBF9sT{GjnY|gs|!6B<;{#2ODaB@`4ICOI@N4wF?uZ<qR{C^%2sSF7fTidl{x~gOL
z!-?Go8>SiUt(>~)p8fKFdyby+y_1$W^Ob1N$pzCVx*zg*%N3im=4kJ{>c>9(X1;+v
z3k~luW?R3F?XvqTSZo)VA1D^MATYpCTZ-?Y($tjWUmUXhdfwdIGdcW;-od%?{LDF<
zP9>XtmGQ`#%)HszKFfb!@HW-O_6bub={gAQC@`6{?%{>$3oiOcuCf;YYrJE#VzuKh
z?JU_(f8%EE`Ft|xou6e$>9?~g3tsJSbgrn5n6)%fE$KK@-G#@~c@=!T`9DYTtvp=j
zd{UgXzOE-zdg-^BbpeX~+xz0TCx>><<X#_q>@oA{eS+(jmVUBX5%DWtHM?nZo7CwU
z8q@X~=B|6I))bXmbSC*l#nQ0m|8>0QGeh<Vq`jK={LzA69sK%<zn`p?Gg-{VDOkvr
zBv}x7h2!(w-p_t5HlE&PHKo?`_nZusdOcC)wPSAD8;*~{wYOYz-tM_B@K0;^!j4#Z
z$CK^1JMTukWt{W2C04__@%5$nPOBWQ4Qo%a@SX^GDZWMG)p@D2xlKZyu{B%c?SC>I
z<60#CL)E28;(`>DX+=bb&4gch%+EG;vK=dZ?0l(Z%DG>Qrwb*?AKUzEb4m5AJHAUi
zCagU4Bwb6KH&9L5;k#tQZ>JFJANo_?zCSGy@cQl|ORGrJ7qJ2#8iEd*FOd()QT<ir
ze?p<AWKk<qioQMP^-JYnGFX^nCsej&>Cb30dewHjt!3ujB|K9z)^Po05S`r^B%;Gq
z;$;=I#J}`a&$|;p&r99>W59Pw`GV$|L$<t&bpzX1UR}2@_>0;S-|S`c*1Tro=;>N6
z*CM}alf_cmSC6Fxw7U1#<+L}|92ahk-(^{4#1`HYG~?EU;@t2K1HCYl-o2CCCAg2v
z{na}AdvDC=%U69|bF2J?6>B~p@Saq{Q#xb)sgI6Do$KSaCe42oV^pJfd1A597xl+2
zg=<CL&dixKL%!x+dUMRLlwVp+XXiW!RQNQ*_Gs+mdc*D8w@zH)zJf0#<-ymR?<Cc~
z|97@oESUA%dZon^=2LU)ZsspFVE-A>Kk=v1J{{c$YFjj9?=i-voH=eV+wW<zmznKz
z8H2-HzxZkyI~s)lz8L&TUa^%SszASeXUEHDBC{S?`@G)zccyVW*XKFk)x?U8Ps?TO
zW9mL)Vp#3R@nVjR)BJ;Cmv&@S-sk74jNqF-$7T7{FS2tlo8ND+`}$#);l$&`Jy*Gn
zKmS&4{-O7Brt-XkUD7>M9)8aKzuI~J{RhDe^LhQAitiA%Y3Hw*%$j>r&bilpJO7iw
z@(;hi@_9~8oS66E>ds2OhAT4@K5A~UpX6gT%jN8jwBxqo=|3vV)$L7R&lEcn@#$wv
zo#?B?TWJ?AJwEDEeV}pn(~SG4&IkW<`<om)OSZaAVAG+F>>Is4=T2F@mU?g6<IsP@
z#q<dOBVEx8o9{^eUiG_wY5A%rD$_Re=s!{Mu1!6$a)tArGg-1{qMQTS-4Zze)z6-1
z*<$S-EBAG618X=-SiLyUoB-K_>o`S^{8jFFz`6UAK}>U5xBro=?9~(Jo{O6A-I`Y6
z>au;}G;v8&hESDVk9n$QH}>B@8pl5UjP~zj?puy?^Z)Rjnd~I9#E7HqSNZZLlW#XA
ztTg?8$p|eIZ>wIrkmt6(RJyvI@OGK|OL|4ymsZdB=$Ue(V1rNS<x79o`!J`)7)@;p
zS-k(5<;w8a4s+yrlpe0C{&UuJ^U}R04BpRfhpa8TT3lPulNaSa;{^|UzJK@R{I>2{
zt+IQ$!}b|3{v!Q&#pgqI3x5A;)sJLal~!T@HjF*iIbGAD<&+KEO8K<e2EWZNJdNAS
z^^v3T6x&A2(%!}ezue>BsNb5Ky?<_9nwtOr+wbS~+CB^U!7}00igsz8)%xa3SIt_m
zlsh2Y%;Q_(uaHkR@2-@kd2YHG-5RwbQfJx!Ik$3*vTp6MS-$+hJ0IDSs@b*c)fGyt
zG~6|oa2(^6?B=OU=2ky;Vnctw#p$ZrA3=XsE#+Z3U{bxcVuElh*O#1|zrP*XBsZb=
zq`CA7r{&em^F7_t`Z>55j;MbQTUz+Y_lxQjMmDiSE&GpqO|!i%A(!pl7vy<ro%iA!
zEpy@>Cc3QuBvu;vG$V3ysmqM3r;oB#&Js>Ia`Jp1hfUedJs&Ow->y2%u`y3Qc5U}t
z-en3&-16MnsV7buY4Pv1{o-FA-nyyg+3tVlrySmwEy~DgI$d6W@ZJu`J@X!grC)Gv
zJzA-~Fx%$Kht1`F)8gN_2Ru7DCtluSnWk5=#)3BWmBJc)-y@B5cU|riT)bjR&AC#(
zn(1FpPI!I5VBc1DS0$#{p#QeFmJ3XX`pIArw_^4EKKEws_bD7-x-|rR%>KL;J9?uc
zcxPGm25ZGl|JKb6UtIiLVX96l+gh$w^RAq`a#UgK@^UTL;#-&3h;Z%d`n1M6X1l^~
zcbk_{a$d8uX3yW?V{q1I<F{y|k0;Ll4sQI}>%Hray}mNn+2(w^1M_QhR9l_f)Af!%
zD>d$))+ZgK)N*R#*N%y8Df7Cnv!0sJp(wWF*oXVqOYT;vus(mTve5E5>!J6D<6iAr
ze?TkYF_)}^l&abUXLE@=&jQa(&E`HF?eXfh`j5%UUU%3+t*2M<TYUR_BArRRcGZq=
zYbw8f>x@o!m;0N>wC}K6t%#8Mtg7z!4N)_vduG0qvHSFK(frlj;y&9Y4<ENIUU;{w
zgHb<KTlLM1z2U!27pC|4F$s(7uCw`a-F?Z~t6#zoSMUGx@rRR>e|O!liP?WW8&6D2
z{+_`RBx;()IxA!Tx?0J&Y$f%aRP7ye|6Y8-T712hzb39WY4*QM6F%;_Ec@$7)7=dM
z{U>hka+oT=yC<$+nR^lI0qxw`-si0~cs6~R^R~cY#%TdwLGOkg|95v3akr-zOwr$2
z_LNWQTGD!cv*mX;Pg!oj>T+}HKZW&Mr=DGFU&g6d(A}c(V6|aCN3~c@LuvR^^ENm6
zzZa)e==@kK-Ro{0r#r3cZkFAoQy=4#|6R!Hp4$@`Kg;?{I^QL4?yA838n0Co^b^@O
zJ1v>2=6U3tE=TO0^$$Nz-dnfO*7<hHi`|DdpFAMYc8?*ZhI9S*8F>ocAFkG0YcD?X
zSlGnRRE%e<3?s*f7kxK3N#5J_`KiHfh25t&cnEJ7;<YbRZr!3B9pBX|d&nRmzU*WV
z@4jg>{N^|4{JH!0w}#r!D~~^(UOZWk^`GT2v#|F+CaTnNOnGP7;V$#qBkJ+{hKrZG
z&sTY$klNcFK5eg<kC6KME%O3;zpD2??VqET9r<f@k2Z77#_kxW9^GFBN8X)MioN*h
zRPwBKGo8;q`76G`?Q?kl^e1+Pc>yWzPr4JYI+oSB`kmkLw(^<cD=v>#*F-jjb^F<^
z`xOjVFTZP*GgUD1_D*}Ly>dlGdJ7tte19r$`t@I}YRHDfp1igxTlQ3hD6TwheVf^|
zedige?73W)+bcHIR!@jEJ?+9<oY8msma6H5zc2UhxKgd!R2;oxlRyTCn^xh{oL7=t
zGQQt2zo>h42VYS}wPjX(j7rqin@02R9o{*u`J&;z$7$6GpVN;q2im`vK6K^`kIeMM
zn=d!{{{AXh<(YpwG}DSL=t=qHoqlhZr`0Vr$a(ep&^m{!J+AAH#Rx=bnf>4kuHBH6
z?rw2J=8bdeGCx5h`IPC4&MK~3zGI8orWf^>B2)#~7L>R675})I&Faw2)m~I{dMV>9
zpC^Kok1*>T&UnnL6wv=(E%1N&Oa9{$lCxc^7x*(uOrL$XVc)t4&;67B=dQ_16F<l-
zDe0hp)N#Jv^viM2J_sDmyxDNkYr!1PkbAG$81(=AzUlaL{j&)Ixku)&*sWRAdfnID
z?I6GI#I?pNFHGP1*Qb=n^H2}>6Y<m(mwxYq7QFlwwx_-BuZZEFz_v+)an%D`n`Yx^
zeGjb)`|}^xvfVeo)4zVf&BU)AEc1Abgx^Z{v)A-4T=h}(c&kxy%*huSYg(hu-7avM
zyke`&-!Ey3(UmtQcgHJD{+%8EL*i3!_d2^}R+5Lz^nQ2gN<=-lH*;d-t1m|To*nw~
zH0{m$uTsJNNA`(#UrzCSr=q>HIJ0k6=6+s_Lzg*wP8yr?thzGmOuRIkTp8mgb-nlT
zJ&7G#Emo9I`8VxUocMy2&Nl^-=Op%;x+vBvcd1^yWqM7ezdAxQY;JCT$lJ$l+h%?}
zB>wg4tj%s=DF^rOy!$}Re}znl-z15Of8U&<9dkvs>lo`UTrT{lwd?QqE8Dyo-)erg
z{g99*l-03C>E_CqkM@uLr9^v3OpR3VQJ-^BZ_SKp>OT1oi^Y_*1zYWkPbPb<_2FI`
z(Xdyq(CyT<l~XtqgUYm{-<Ir&cpACg*EL_UC@C@d`)ld_htHV*wmx{e>GI6`Rr3Ql
zjVA_#%Sbsz2DmL%PCmwNs;nZVGk4wHEvHLa=Ez3W6m5BY!Fh`8lzrO_Yc7UVrM+C^
zr_p|qGt*>Sn5?(m_5Y6xk2SsQQV<R}zrA&$)bB3oIqEtkM`!I=Z~x?D*Y?RNynnCy
zE@FKC^+@#&rXxq)V)!oEblX=pMqNFj{jJ1lZoMtXzjaC5v|kk+>DPF8A?e5G-w8q)
z7ay*8-@J?W-KytrwYs`?FJ1P(@O$_!+n*AVi!uY4ZZ+jBJGw>YOK_PU6H~BTMg_ac
zk<<CvhA);zdS$LWAn-!-=(l^a&T}sdEpe+p@;K$b!l7R?Udp<Zoe?qot8>2MjQ1{m
zue1l1In@)(_E)=}XYAe3rk<)Kf4pOB*#p5ntHtu<N`7*<x?Y_n9MXQND?+}zk?H)?
z2O^Iz+L)TT@~o=-CZRQB+1csI|35!6`lPxvUiq%R;nI-${eJ{ZeoqN-DZaB-{L;CH
zd&@3vt+!=p;c?#Rb&cKq*emfDymkjf6@JQA-oHNoqQEuQKN0`c7@aO3{4hBu^6}Z{
z8NzJ6s*?7SvvyfruYdAz&niKk+MYSP75Qe?d@9%2DBZo$K%43E&RvZ0ZJPOB#=XgQ
z3&Q?p`Z7qA<<4=NzT%}|#3WvRPPv)6|8{JwPd^g#gK5TsIg2N0RPJW#QNOHnz(|VY
z#YG#l`KsO1yB53WR+WDct9TxGK62(oR>`F*lI<TAJ5OKwx&F<6{Z%X1_ArVUY6`3|
z7VEok&wP!APN?^MhG6jvaYB4NN}@^g19Xmi{YVa|=6QE2e6wVN?jK{9sOQ%vuZd(l
z!JHMGb4~1~f9*=w_12}=s%kF#$)_#!eRsgi#FVvqhq<@!mmdaleSdVW%5i_(UCipd
zjn8CrZT#weZ6-N)S0!6t;*1RXSroYS_!grUJFWRnALeapy4Ch%rE|XDi&yI-V%@y9
zU9<b&Y_+mbj8FH&nOTYsnlTT<7$<kEaNlxrddn8Ej3`rgmuuyMPJ1^#PHN)2W6dsG
zxWGC4%J)fUUp9!ZEmJd_Rk`V;^pyXdHWSY)K6tK>v?6k|NP=E}`tGC)>zw!L&J8Y~
z9vZteihHe;^6s^Bs;=#GjuKK6R$4APjc<)jLIu;qlS^gNuJ(p3^O+a<Z^q8%;K|HY
zAE&m}-7h*6Xd$e0t;~00`|UU9ABBFJ-oNxk?XJh%Ws3!tT`Bje65#ovcY$I55tCov
zCVD(L|Cr(H^;zu>Gjb(^&mX^JGiA{X<%lmO2VD)8m3T+;Wt=GA=9(;MD{<(7@uU|;
zPb*H&xBPMEllmjJmCH6JE%`OY>}kJg#~b5InRi#+_-ytqsN$f^(g$xkgz7iV+IKYl
z2LJ2MvsWia2u^DLcR4v}$E|(yE=Mh$k>cNZ#a><I<>qW-8^xHU*Bk6g%^$_zo-j}O
zqhiXE<})HavN<<etiL{x6j^rbxx?IBJInp}UTkc<u(hb>N0hI}LeZUfl&iN&u-dPg
z8T%zUR7^7M@%h`yY+H{mm)jqCysNop@r;@SE9dNbclAWTq`LhtY%E{#@42n?H|+4a
zDC<a@y!amL1A*u79C$6m{!q(yNzxnsISc)c$mZ+rYsxO)xQJUwn9E%LMV*tS=I^B2
zuK#wNHtBq`dq*eB5r-lfy&p|8Cm8DT`0j0(u2UD>u=zl6@22W^^9^iotZezsuYBuZ
z|BZQi@0b3qkNA0bn!%3s&wuSuwKG%SQ;~FG@?7n|pE@%`H($v6TK#z1;s30^j@mq$
z&VT(%-mWcamuGBSpwf5Rpk+3DOueP8n5W(jrw^smJUmt(E)A>f<aqUK@t3<%{fVa~
zzY5o_uxl6C`uy_?L4(5lT~BL1_<CG_bnmo*VC|yg23kfOlk#kvX1p-sDrTy5w>o`e
zvc=x(cXqb)nFtEVbX=ZOvB`>+`G~dZbE7=5bp>x(ueuz+X)VT`^yw$xNv%M+g3G&Q
z=YCG@nUO5|v-)<{g_BthFTU}!l-y<Jh+OW=_xO$G%~hVoI`^Kj-xpmGTqpM@#wF&r
z#=A*|=jP~56;ca$Hudgw!wIW9cG?#Ny6$FM@z2}ffN8}%L)NV^dvZ>ldgpbc?&pa^
zhr|DQD;Tf(a^j51&l4P#zc<?#{uWSuws)=#pTzv@CZ@4>8D7Ra2K%^|J`Q{q&(1JO
zm{;w<@(Xjk-K?+qynb@>V?|r?L5uF=A_v<)WgI(q<$vzUDZ*tftWqmG?F*;tF?mn?
zVV)&(WKGZ2?;n5N2~T+}5p}5PK*H&B;eOV^Hxyg=t-tRxS=)MQ&5ye^St7o9T~@pE
z;?C&m-}<tiSvYBS)coiKuUF|uz7=g!73pSgQIQpiKEN$DbGbcpgV4;(XovmRIa3&n
zq^dtBC4N$lcM-dNspstD!>Ox6_MKd^VIOnmpR_+2&5A4w>^&omQVvCG=rjE8y0D!$
zVzot8%Dlf?dw)$}{hA)eI>)w8#J%Mg$Ms}2^BeM>r?q}7{AS8v>f1ckCD3g1kLM@z
zLeC`o*gH*e&)PQep4m||#SM4WqD*I&25f)*JX-jK?H5HR-Sr1G;?&tY|A+iuWVq+O
zxaz-+d5>m?UQSEitmLk{ByaPxHxIT}yS)6gY2E)lDqF?>guRIWP<Pg;CMI$F_kYj+
zwDs8wxlY`5w}17!?3m6S!e>uc>oDG$KXHc4w36@9hBIGS%YC2EG2gQ1xXu%Sw`w(A
zR|=lCrDuLxZnN(Fw&l#V*C$S>i{KZW^D<!f9PSP5MU$p5X8b8yeer;;piTkDvC|#Z
zn@lIAYz|U<;rY93d(<O`-X}pD95NT}V-50d-Oazog>_NVH$4tUv-+$RKaPE8%R8U3
z^tTN6n`<S-mRpaU)L~POH3<|G*^yb{zDhXu>eeuU2j*TUP3G1~Zu&2~DD`LSPId-K
zhiCgA^dCDbJEK^W^TR@&gnxpICAE$$*G(%?eA>clq;uX)=bRXKZ2c3N%Wf@Foo{xp
z?ac`1p11u<-l@z>%d*nN1S6VSXWf$vI+wgPiuJYFlH=jemrLf|6AbE`v2u-okwbZE
zTZejjI-^uZ`C{&c-)we!_3BnXIPWI;<oBbJiZ9XD%j6Qf&fg7A{c&U4%NOUj954A0
z|M&Xam^o)3#sBRS-*R=&4A1%A*S0G>e_{OWZsYIPXaz~r%qNX=PWBXhtG^+aP&Co8
zRw1t6{C4eR-Q;P@&atuj9GzeK&u~l8#&QiSqW~q|eTi4yDqQD3JTm1Z=a0<Nf?Fnx
zD>E5C6yz=cx5w~J>Ze1?Cz=cHHQwl>J$bj0Fys8`yBicgJYzQEHdx_5Wmo>To*(R<
zlKHji&o6Gdr4;ztYQ1B2D`N!DeTMp&DMeRKm_D5I^5e`6RdXsnwmQ#9e6(4_PWSp8
z^#y^UnX`l@ulG1<Z2QmW4A-&r<j|8B9N(*lPL3#8>^<4pE!tqpLRQm$gZWk#mrHNi
z3-WO3o?la`J#|mo$z_?nch9a+hz>p(=KE{`_kGdQeOEFWvP0Lf9Q2y@abm=U1z+Vt
z6B8O;7T*6n&wpd}YStqvR~P>k*ZR19;j+Ls&27K$w_ReN(r+_$slYv@MJ7Dgug2cb
zct7!j#0n94mddBb(?6a3Y+EIFIp$pN#eH_MMgRDdvQ1UxcTM)bX7W%gA=ra`&ar+e
zroF|FT-<w4eth!bg#HWDh=;EyZ995=<B70i0ig?+ZmbZV#WY(^>a@$xtL8c;xw~R_
zMEN>}{;yn+!&}*Ju!f<%{8Mg>i`W0mY2Q1U*Ub#DoZt4JF;TF#wsT4>!v<!~p0Bbg
z4-`1uH(#CmGy2m`ZfT}nlmC3*RrZSUOiTTlnftQupZ)amo#gkpnbR)aiN4;tbivk|
z)&-l7TvgZ=s5raW|JbA5zNuFEivsTW9%(+q^lNL@+STS!4>M;;XFBobdZ_QT)Hpct
z?q#cIy}ReHzfs*}{4MX)Z11Jp)1>d*p15*Xw%vj)5vP2XJ^a}3d*naoy5^*S^>0Ee
z?_DV^@0?J1WbKMK4>xf$Zn8gf=G;9_+kUytfk&^FMXrhY)3CrYa@JACo9>JE^FH{}
zez$m*>4LMWcXpmg(NuaTl$-U4`#fKa>fW8l_t&hNCGpxl&o|LmZTlv{x4x$LJ|wK|
z@R}ubjo0}SxAlds$E&5CAE<9JbIO>w{?gp{)16KF`mV7rJ-YMWPR19Vs$Y&jJ0I+D
zkLR7jmaB<N0)EwoUtP$g)ZG1LYWb&yT>k3=#2EPc7d!F3O`lTOSo!t3_S@M$%bu&r
z`Ldtp3^YD6r6}TYDesTlTbP`#eV2Nw!B%}hIamATOGEeW*RNJFT#Ntz*}d3B^{q0?
z-Y+o=FCO3S;*~!6=Mt^OjL&SBnQVG8vtUD_gy1wS?K4+H7d_#4P_$3x{Oxl(H=-gk
z7$>~$-@eyD_vqaRu^&syesQ`_n>w|3*SXztbL+#V{Ws<ji>qpwo|n@Za^mWxe4eT9
zuT=W4vBi6QI5OFBhmWsS=KOukVzz48r`4CK>9gH?c-c3Cg>Cr{4~||p4)KhKHX@de
zEXTL_%Fkw6wr|hf8%b(6E_LcZTIxTObJ_byUS1B(|MM-K7bagZQsvB=$Ts76fv4f=
z+ef-TSN93*|5YA-h&|P2-r?<Y)uX(GTHl>1W%S=Hl6}a3Q~32-=GDy$o3>v{{8Hin
zY>BsvMUmE%gS*n}!^FO-GVxs9m0c3QyvzL9`BU`^jBn>0{CtebWM6SWWJ{KK>IJ1;
zVw-~FY7+nM?fbD(&+YJ>HR|hpBEk*NzWQF{my-Hz_TNND;|p)PKj+PU8hU?XT^LW#
z+n>i9<JU<XI@aZ!`zrapxC2Yq^Z(igdd>X-VrqZBOjtHq^y`%4Oee$_dCm3J`RUqb
z)Dg01mc6FzxtA}(QhTf8OQ(L{zhl0ATtJ@HmA(?qgZqo_o%w!=^~8fY8MXO4gr;>L
zFr1~hM=^ZW>8TSXS8z}A;4LvPZ=9F;<yOOmZNeQF=AZLjzsHtgW&2DWFIF+Zr#U+g
zY&-j*W5vz1z?2Hpf4Q$Uvb@zwPJJ!rywV!8D>>-@n}*8<x4iGFdw6-8`#w9l@a&o;
z@=aTIX*>zwJ1FAs$Z_1GL`H8x%qdfL1JRq(4nG)lXHCwt_Pp~{Bwuy)`8iLRnLdAg
zUf_4qT8;Ph&+1l@bEgWfT~jTMFTEjo{qdFMH>O=zkz`&TCEwSU5Fu%0BRI`_wPdE(
ziN(8>_hr=IS~25kZDXC=`SqtAW{O`~W)+pnzIKh%tR~6b0Y!%xXNLx)d#?WRTQovf
zLhUL4tyH$Va$=rlb7mRtJ9>mkLAhmTz;vGM|4K&D0cS6$RwQ`rs}$JsnWaSO&)ZXT
z8aURRz9xQE<-6dC2?boK{r3*?e`1SQ^ZV#~myh#^ZAs**+f2DzH@sW7>G?XZ1Gd2$
zDz^$9*ZEGA@o6rKa#`T}hkx>B?L>Aa<&YAipeHZS|6A2(=Ke+~!D2(l@*PH}{w~gv
zZujl7V#waZAEbSb!7)apnqk*T1EHgzUYwjL+1P$m>B7n<li6-YGn}kTd@tqPAf0#l
z^M8}qe~$38{Y;D5+;zxj(Z|;_GQL*u2<&M}ocr$h(rZ#tHy&ToSBXBk;zHnlx&N<H
zxAu0a7cZaVJJD>_&uyyRb^>+m@&C&dvL4*|bo%%HtB&th9%Nz8{SohMT)vYz_d4ea
z$z|GnN47A@A1m6()x}s)`*J$tj0<zFtudV5xY>xQ#PrpkX_ri`F3z-OTotjZpEKI`
zn#SMvN2c$JdsiMTrkTU*)%tjXYs}QBHvN^KekHxNkawAqpRFkz^;ynzL(kL6uksJ9
z+r(Sba=RpI1M{47U)S#p{;a*xb}e5@<X(*@wUghP>%C22c5>sG^t{~9|Dy*(yAiKR
zpK0k$L-zO{ADfPb(-v&X8<yLP{!lKG%POx}cS%l;$?yAKm3kh1`}<+`r#|p6FDvy}
z<CegBY*%W@n^en(cbs+i`yE=l_+EX-=9M3EnfJyeuI8O(Rmo$ZdUE{_Hh1^nEUBqK
zv~&+T7PjVut6#p}_~Ja9++CL5|JLuW@ZINo-BUgBUCUP6q~?s1jB|FR?q7N=N-xL1
zRCQmB!@r_iJ#I(*p6Y2ah)Zpq*nL=Gw&|0r(=P3eQLQyB=eocAoyar(NM#qb*Ufbo
zE-<k_Zn>PC6BWDPHU9WTrKk6=%=)3>r;u^$_?lAfKND`}HkRI3PBeHMdHQPneKG&c
z>&sXVbkBdwyL#<Z+l_%culRJ#JNJIqo4LC#rtmZ;ycc`c@07p!vBwAQJ}y?zEkAib
zZ#=P6Bq`+eb$4~%zIXdyJUJ-z-%&eh^6Uj_j0b8~7AGCp@^Gp3)XjFUl3S*(-YD`r
zd%q7uy-Y@?<k|>li?_?q9V$!v^du-K!v6A`Y-iU$fiWLjuP^LYsXwH)?$ok>GH1@H
zZ&ZGs{4?->?D3L5k7w%NwfQbS$XH;RdoEbg;!T&i)8(7d9wHJp{t`E){0Kd3o;LmU
zBqJM#Ig?d#ux`FhHZnNx+uZQv#!i!OU8Qn1=R4$Yg?lspJH`HObwPt}eSZ<-;(2#V
z1;jlcSYKUqMCR!4jXOkLZam;?Ox-S6D)e;fw!HRBXHV6AEIVhZ%dO1)_xhR*hxS{~
zOnAy`TEEhI&7=!0|3tM6`kZgLEKN1rZGUKWameJ5ebt`d%^ST9-`-+Xt&)HL@E7x=
zgIkSbR~CHa+Uv??wq;7)qR6e>N^_>FXC*yaaN6&%YoJBKt*1sWKR-J-VO?MZXFqGy
z)*CN7qZ4DE#eVfnfBGk2<Fe|j_oL3eSrEf<J!O%<vc*hot+x^Gvu{Srw(;w7Mg^|j
zTXWs({$i&OVV?i1@|<5zzw0@@<LZyok0u=R$qRN^kksU7sn={$VxzFq_=VZ(*>wkb
z#5S=kzVA`n{cZO|$A1PJ@5z0ebnKy7d**KC{ULv+b!^bOS^kYd&f?swB=<=sF&WFR
z)oie@7MMJrHGJ)U4*j5r4O1ot&OPS&{rd9CP*2{BmuGttOf2V$HtTM2R$-Dn=q<s)
z=>Mp^M1E?h_2rK5#^!tFH)sCjJ(DT1?YrTT*NdHwK48E8&tg{ftVA!Xqm@as-^QJZ
zShD!bzS|$JEq8NxetUJ_r0=bfS0%cW*Ya%l`}Eb&Y{OcO48NT!$v(BmD#~>{ul@b{
z&{pEimR|ci`6c(F9K|nmXK$7A>r#w+Vlz1_K&@}_QmwtL+m|j%`DnU6RkYSQ>Dr(C
zA6W~;Ry~M2q_Fn3Y1Xq%+4Ax!3;(qjePr8scvnMB6r;~0(aBC*gS-xvDe*MeoKgQQ
zT(dmmhsnHaH=b_~il506BpKmWo|e$(t|;OC#{YoO6aBi42R=L4oo)|#WE0Tk^u+a5
z&)O?RTc=z7HQTV<)rBi)<-{*7$@z|@iQ!q^YsJsqpVp>kE_1Tx&Fzaj_~toya{qsB
zI4{caoO-0Q=yt&l*KPR`FSX10zdBypa&3)qU4(+9jM8@Au=uj+8~(IN@x@oKJHM{A
zT>Z@AW%IdwnHB_eK08;teT_1U4_CVO?~Om>*0s!eRu{PP+NW**{x?sJKNcXRJt_3t
z@0dr))maO}K4|zZ<qA1yx`erJ+G?k-rrX+!jK4}<KF+*$>g<n9=Pxf1n*B%5Kj!I`
zggt#Giw!0yeN^(b|CpLTd27Oq5a#ZHMSTaa?n{WC$^IgTQLpfu#M?ACjjOr$9ru?r
z-cJ2I?WD|uNzAKRVx~@9d;jIy-YM?tWvSg)52RG=`YG)%(Xf`&XU6kyd%y1papRUy
ze<U`+eBNTm{g?Cdiq{={ysOoUt90i1)5lBMCkSVBU7s-FyH&&Na6{dO#<W|G>9)JK
zeR?Z?V&;j{vAydydUxzuxkFXXoj3F3iqIL_%3nV|$mm%pmFswRqx^**$3L`-U8&~X
zn#_Az*i6PHGC$|}%1u>LOlz_;R(9`gI^B|#{Wfh8mn^r#8XhAVu~%#R_03p9PD!qR
z@7>lZy;J%bf2oA=xs;!6KWzOh#G+p@Y;SLwm-}O~Nwm&+_EH|9xl3Q%yfyic#KS4|
zI{I3yPhQD$<$u2vcXeA8_kE$cJPGb+&;9;3i*eDhJO1XYoSr<8E&eOub@dnTwu;3U
z7!ElpI~9i2MDyL8b+BUDk&GY@QEOY>Vy7JU%24-3eN#Epx++RatHKo-KG@_0U9tHr
zcI<fnu`RP)?x#IAHDxFgIdgy3k~B$|qJx*uNHIq2aBsRF@xo|pOrqD^UjI_Q^<Rso
zo^Y(4y)|;iuHUwzi-f-KFFn>G<o3-+YYpe7jh?f<967gNGib`u1(k78w)+%(?PU6&
z^?bf~ymWI}su}CGYU@ko$Jd!k8T{uyJO3D$cBP@S(@K-~=jt^U@^ut$kFQ^O$!cHb
z92MqO7sXcR<v0AhcAU4a=%Rk9z?`=;MgG3Nm9%AD`r#uld0agf7TYfppKdN+zP=!<
zBe1h`-Hp$J1ywGy{4J_#lDgFM#H;^Bt@&o>{^znoF;{cV>qEj77F!bxa!XPV{&r#f
ztjT2YBEsE2W8Lxdg6eUcbC#BHUt4C)^eSVQj&#et*oTW3D&Feq<eSSRdz49eOQvF@
z&z;-uQ#B&zzCP5F<L7*DQ_3Wp*a@?)2|Y9MwQIdraqVDxsX@Sr>dyH1ubl^8OXqv5
z{n%2Nc9W&FzRqkW>p4}gr6CnsS>+EaUv9N|puYLHo7|n?hKf0S-08+*1)DF~hyF2~
z^|ZZl#{ua>PmWJm^u%gG{B0YDbs24*EA@{YH*xv2>Z|MHql(-1iwit6O7l3_dVj;p
zwO$G`#w)nOU!G56S9>!j{$Nk4^Rw{NtD5J@%<`P`M8jjT_%6x&O_EN>Z|s%gzMb>w
z$&C`#s=_L##u&Tp3sMRmpIPR6-z&5D?@O12bLwUjZ%>)fIz2^E>Y8KswuDz73lhSm
zos#wY7d051&dhvxRd;%uOjvOGSy$GTw?)`8YI%2<Ke*y>aL#6Fi=`W1<nnV)ea-ek
zF(cIRcy!t=apg7f(^oF-T=ebxPVuUJvkVzDXM2iWW`7vF(r0#Dh>Y#2Ljm%}99uTD
zPL#W`dg@Qbw=6U2c~88W#vOO*O-4@=Z;e8|SL@4J)4s>n*R6dv!Ed74Q7*wvG1siZ
zi(}1C@9{a_{>WrPY}lG(&(G<9{2bd8e*3duexJGe&ile^wYYD{T^9NI>a2d&#;mUq
zmUkEvW;U%U-7j;pX3H|ul|39^Pv0y(`e-|g_^ES$n*6?O$r6s}ym0=-in+YKp}8L>
zzSM8$6tzlWxS~68zEq!;^eiRInL)c%cstKdn7dzl`FoWe%Ay)c>mKIpUfLvZ>+xx;
zrs!Ag!rPkqe2gonD*D-Y@}7QacqXIANprH{@{7x5a+k;6KKt`)nuVkd*MZ8Vzjvhx
zvPA2>tH~2*IBL?sX)o}}f8UY$-<5YromOEI-}qf}ig77RkKP?_tAaDf*FU?SDy`>j
z@%f9Q&`eLkXBNrl4ELQ-yv9H0MUCRrhlyuheJ-8kIJZ#qZO+TRxeuM4S8h2a9dT7k
zthn!ZDvx-<@&n337Oc}EA{VpDO883L$Pqc?Bfovd)))0BB%E4KF4il0^rC6aFX4!U
ziMsa2u{Ck}45tFWvPUO#i;JCISl;oFV~ua9N73O(tA$Q`+~PD6-tq<7F7iIf7cgIQ
zqEyz-f9D!YGmfS!y0<9_-MF`8%5kd+*|SdV?hiDRPETDh+r-N4`;l`WfB5NC321*0
zUwmwKf>KBV|3pXS6_4)hS2f+Z`{S;|m$fn^`sXZ_ES@0JYJH;X>v{Qw(i?8RewScu
zxhC1L^?p3lo;QqzYY#1GyH|JinucbVO<M29dyJEnem=V+n=#=<^zM#lg6o*Pv>e>?
zJ9UN2ovq6|Jig{ei(Go6vwq!-Q0qz46!@GM^!&b%s$9pbXE!yA(e?HEy}pbSjH~@O
znH<nueo)%#;PJ8zby;2eSS4;YIBnl1Enga(R2JsHU7~m8lKSPJf4K`WxPE&WXO`6c
zxgp$p_Jp{T+qxJM^c8N^zY6D^^VnKi=ghk~R!UF*9N8z@+9`iM^wFA}qgh)SN;4MN
z?z|LL$+&sndM#D+bics+X%+1eCl0KhbaQq*hcwff_RX#h{k%;7YtQa@SP^??_spI9
z_HW(4Y{t6{p*^yPl$HdaH#@<5e^=}}hpqK5{SGZ(_lnE4DgA0?N6?XFZ$Hkm{5FqK
ze|58Zd&|*dcQ*^nJ8&_-$SCgq_vW{Jn-@v3J`_4~@Zp)V6OtF_X)LhVf4KeX0m+4b
zQ+L!K{OqT<-R#5VKRdKlTO;<?@NR0C;raTlvqW2a(~1-OkJ!HOkTbaR@p*CE!%6%4
zzZ(foyK|}Y+`5E{bx)5h`nCA{q4Wj+-*g^VH-0Iyz9;<M!D&|I3GVCOm+$-F*T-Ke
zHaBC}0iXUaK0$TMj$XSp>rvDnnXsnvQ0~Y#Q*J#r4Q{XA`Fx2EU()$wrmdWO^Tbp?
zhu=%+6uEopTEx5Vs_5<aJXy?_u?4#&1(=-XzrT;=%Kw<EiH0gk3W=X4v;UYCJM)^A
zs+fM?la`Y^^QU+-N6!?$wbNxMyNKSYw*D+TrzKe;b|!yVy<SQGQJ>E3e<uIW@&1L^
z#lkcF&gj<tH<#RKbDV36w%^4h!x=T*lR3NIG3#hQdC&fLwL*}|+0S$K-;(%RwLxW_
zif7-+*0)PTG)=cw>Gv4i6H-@ao>i@Q<kyRLg<{M0Sn%XzZS5^gU3&Fx%Ry%6X`J7E
z4&3qUa+uG^`YyuJXWosOKcud_{c`%f%<nyHYpoX+WioebuQ+;l*U7tYB^sVyj%57S
z_xI9k_nD^U(+qqyrmqwD6u&4$f69^I2XErF9~k_J3~{w&y5h*mGgJBC+Z^kEulB_J
zsZw4(NvJA*VUE83_t<^T(yTsqmEX_E+eq8W-nL{r%(=(kc=2tWw)tyW4)5ih(j-%F
z&i-us9N!OzUY%+>64J0cbAQ&8j1q@lr?dQDt{-tv6!s}}EcvPTEvU@p;w{T16;(gX
zoedKG54$|)dv)|*#5eB=7oPIXb!OdHTKPtJ$<qsSZvJt1F*0P-np#n;p6}D=m44kz
z<%ej1?mE^Nd45gtvzA=EYq#O)4+Ezsi>k$@?u&>L{AW9{es_@gWq*ZFp)6hfSw0Hv
z`<HY5Emqsxl63H;m#}W=orU^;vU%rf{%{v4Zl1AvgYGPykQ$Xo$8Kwu-1zRKnvu;g
zbB3aHj;M;!nnvZSHCdeB#GG$9@jOd@YMyy~Zp1NziYdFl{JHT@#xFJM%*O_eIfp7$
zq+jqC3o%(;JHoM@pXpw(_xif1ww?o%I}{srMcUtfcX)BzeV4$Gj(Gd2f>SbHx16YZ
zdp3uIWplHj<>WiopZ7>uDEWK(&Az0T5mA&q<)DGknN@ck_~duRo{fmuHo-8?=KWLk
zdkS~!yZm4L_CBF;-}(O4H5V5xllZotQ{iQUK>K8wJ%TGY>lbmlZu)fg$iK;-pIFa5
zs`|fa_q2nWTRe2j7S>q)`J)-Q{-+x2;uj}etmCFMdLGN={Cb-^&Dmtq8igO_uID%|
zSMScAbFJZE#}4Mt4=$~3(NZg%@?mqjCu7^+6@S*8jo)7S^=ffotls3QuC<=izpT0$
zSiU&C_p9~N)3IwGRY~k+oZ)Et&TvncPq#(eYpdkzx2`iys@qV%u(T>sME%q4#{BmU
zJc2D9FN9|8yTtpgD?4=BkNUR_PYZW!Y29hdx|k<fHBUkQW#mqVuTzbp9C#M|S1aqg
zf0u1*U!0-fQIEt7xo*8ZTi4$?V|~*6)86d8W<9!-)32wb>vn#=_)31}O^Kdg-!%@Z
zE0|TE`G0PKiQ|2fdyQt7?@0^Uad+<UUiHH^;7D?*Qr?M#xeJAgwtP@16FF8S_L(nQ
znD->#mkPN*`k9)0gM;|utZe=&z1-l%!@#)7VB=JW73VHR-1D!sWvFU;G;Ncu(D_r#
zZ+?4w+EvwL(X?4R7oIx)(l+C={bwbo?eRBlXZj}k9$=ec9)Iaumsw<npQP5Ztv_1Q
z<Ss3EZBQY>x}3qaDWrhw$}F4mH+2j0Z?c3K3#2s!ZV9i_wml~P;(eY7hiu*P$X_RZ
z^aq^SW1$$5?OwQENloXw+Lj&1-S{f+&C9se<tukN*t&PmpW9PvOujtusVn|HLE3X`
z#p?U_PUfz2d(bM*D0)Hd$(piWmWKyV6^OcCez#EK^~=RGE`^tyO`d&8`NfF~1-FEo
zgriUJ?DAs2B(8YrmS6I}OK+y%{<XVB>E)EOH&^lbrn40H?)11by~fk;PTc>K;`6u6
ze>16Xn_u?p2FaZ_>eD(rc+E_#Zf#-Ml+JSYwQ$l8qnBznYr@)-9|@XW`MyG4lO<~U
zrV~4O0{8h>Jdh6IzvHjB)kNW}%j|8!c^XlB4s6-oFu`q#z}d<eg?S8(xBg~|yG`A*
ze#R}PtZT>SNXvUgHQjhs=E`$qUoThWo2#2<Y@AVFl9H~y@ZKp4l~wn|_4fGvsP^z`
z6xB?0c)G%fkx$<9^74y%jvLB+f^!eQUi&J2q5P?RUUNRO$|i3Q-teh@hWCuE++W%*
zPM_X8NvCw*&5fHAXWQ+4H}$B*)?3TN;tkR&zMr^o+CQn;DzS87t>>99%yL^EN~Zn2
z9hZ{3`jznGUyHtW?+}}4vU~2QQ@`ggYyT<O{<C|5<l<w=hYH(S-p{e=;8klE<5}L<
zX7c=$ZUX!IH9N{H3zmfj&1YE|x7w$D+M$&z?ku~v=zNUplQzYc9jV<6UF##H|Gm2S
z^l|6OyVH-l#AjyZFFjFT+jYs-<cXHCyFuBLc=fjMcjfPb70(^1+|w5KKqlu^ZPS5!
zY{uUe<ct|#FLm|s{1C~>uwcQaZ=uG!I@jIHDK1pLbN2r#k$K#*DsR8eik6>zBd^Em
z>&wiXFK11@G|iCmnag)aC*${zHS5>1eLL4#%f{e6|D(INP_cGxLgG)wIZhQ-@Atme
zeR(M7`RPVmQ31F4tkcczy*s)~VB)oDH;%mAVSZRC<Xmcmvg4lv%Wg$H&_BJ|WRG!4
z)~ee%8@>q6G5qRk^lQ>3X2<1AXXrbd%C#i+EZ47+IBgV_&b6fVZ;Rk;Umi2=h#eLg
zD}CBOJwN!Xe!nh{@RR8?-kg`;G~a#SV@JK01st=hyIffpN6u}kS;Z8*MCiPc>HGS}
zOw;qez1sfyotf`}9}oT%&P*(KG1#+al5S3qc5)?)^EcMQhUvP`q+|D_f8=HIydD1c
zz=hdMUKt)+%#oYDR4mTev?}vu^1fH||Gbx*sOIsQVWz3%WCi{hvGn2#ddCaTZ~eR0
zzSy+5!|H}$%ar?4e)`+`YpQNO^S^OCLOyJcncTlue4khFYd$i68ons<`h)e_`{Syo
zaFx3_&%FLyL&wwLy3gEGhudy+?96y)-;{gm#C%Q;4#pp=lM6C9!he0>i1Sz!bVa{?
zb(ksBS^E+b=E&0fX~LS#eAjf8%U)X4BwW80;8`FWeoErKxj<{(q1SV2lfAEUWHDul
zE(uT*^VcYgjQJ?Jg5^|0os#@nJ=+^Rd-Kl-w9iyDXZy5yK402Tlh3kqoI>}#RaX0a
zn2%K@;_7_e_iB#P>+3F+ZwtMz!TwrPuI`8Ff~%ELu8!RWW(nfEv}d@*M9sdl<-q2U
zSzi{2t5%q7k#7sWFQyS_&0<+8_o+olLg7NfSpohZT@qgNgI7h$T~$?m9;fT_Id);&
z|Bu@qd<}kZTkh<=kL-pL54?`)Z@u!4Z{CGFXP0aW?D#nEb?yCf!Qw?cf2>xBwA2|S
z%w5?lk<eOv>51yA)}t#+yKjg*sp^cJxXo;)sZq9n$=Ai%yOzZ&2)A$FJuhI*r2D<y
zcT=hkUEAffz1k-|Vl}7Ai{Ex!hD)v1ymN0B{PXps%FhbERDX>rhE{j8Bg<JXNZ(Gf
zT7B@F@v`aJ-9=mPto(GYKG8c>?8T#n54c}<g-ciM?QaYI^(9A)ZO-nMBE^sXZ`%3t
zn$Bt8A0M7SmDJo5qp7xW(#fZ9mR<A7JnlcEt83oO7OrbuEZh&*f2-J)zc#qlpy46c
z!vNF8lRd9<n^eCFDO)EIwX1Efvf*9j^Xo6I=erg9{i^QLYcsw^DjmN4OChnCcbTMM
zzeHz{virHFd6pa2YsH<s_^I{XEkBQ~8&dKW9s9&uLtPzQ_y2X{+7W7{ePF$K!`v`t
z@mK%NTy}pwIx*t-%v9;U+1)EO7Oh-9*;jPVkBv-fbM|gl>W{s*)j@4n0z*^Z$FF-n
zc%G~bUt72SnMbaUitXBI2k#jhY@C;WTWZF4-xp%H8EdQiYOhSP4|w=~L)Pn(;7do}
zUl#qcl=I=8#A{J@N6YTGSar{toH8#d@y4b(R!*s{&rh>2wC3|H|Nq@Jp<u@H1A(=Z
zcDR1~8lschQ|r3Y>{3lfX7{Db4?Xto5TEip(p&C}&-oLK<y}@RC--um+OYFe^8ZJZ
z8+iQ_(%DQ|h0d+p^Sl1Rs+CK!?^x{n*mJVH+Ek6L=|gLAx<ZrkZ>3hNVAX=nb<Nhl
z8*0+}cWS9mjVh8c`M!Og*|S%hIhEc{3>1|yFfiD1HYcXxrq0%$BYgWEkJV=0z8aua
zG<B=>&EC6urs03*n!T<)&);)<z0!=lxJ`=~!`K-DIa?d<FocV{AD{dG%d))d^MsAJ
z@iVdCz0C5`=EvHXd#3uBo?`qe6L9W>PM-glR2hM;Gs`#iwn|-m{5mh~=pEO|c85;+
z-QKNp@H=DDZ2t15+6#YpojTQXE8F`!-MA`})~;;KTD!X^T(5@v#1?ZdTiyp2ti4IL
z3i^AS+Gc;?H{)S^8m(daXH{js*PS&tj~)qnl`Qr6>duSmk#{%kwY<NcDR0-$uhZv-
z*A~8UWnIY=)N6Nb9@Fb7`_n$YPxLe>bv;&+^6K*JrZ;7Rvw03*3HZN9#4(S1=eijc
zd#^6mzRLeK=i6eVmm7Kg-0y~8_`UK`(!2E1d(BY?3Z=Spw@IJycUU{U_eFHmw4b$g
zkL!Eh&uKSXR<n!I=g(I6D85<gKh17W*!Ao<zxvHh(-m4;eyDnGs5E|Lw^3|uaZxLa
z{?>h4miBR*JT=_=@w=a7q5GZcuhIXvWE`<N%x0tF>!}#lwe@*c<pBltsR}h$ET&D1
zWnk4|x!|P!Wv-9Jn%xVgeVNRrd}g1YT|k4sZT*XlOqTZAci#3dIQn3YcSq#4=D*!r
zLfK{{R_wmP*2RDF=?a%Lw{H2JO024n|J~~jy_UVh!pvxs<^;2Zn2&8-5fa{iu6W$L
z+!*Qm?zHs6_)B_o|DFk4UF6hU%vw5;;hHInKg-rL?o%>+E*s7Kzb`(j@xdSK{|8sk
zEHN`SIAZ*{Nuc=WX0ND>gk7^V&T%h38U1UE$n|T?+|#w2Yi3OMxF@xK@`BtGH?;h`
z4xBHzGPmb;`~O`5r-S^J6n3s`vg&2<UOta0U>9TBc?Nw}(bT1}D%UKhtkCh!|K;Ys
z<9|j}fj=`NZ)My(#jYRz%t5o%C)Qt`-*u{{SK&&RCu>rt(VTEG_t<;8&bnNxU%=||
zAeF1OWM|HStC#YgW^vE5>Ra|pop<xWsmmsRd-qL=V+!AvEi12Szs!67*G%<CUI527
ztC$0I;rk9$IyABr)nt^|-CjR&@0nAJFBBa4W1(R7PUHP4t?PlZh02K^KZJigcbE5a
zmqh6wE}OnH{wE)Jzw}DVoZdItx?)?icuAO{&TJm%`_FlQ&ItWBRZ08p#MBv+@BCYJ
zICy=qRKOg!6W{B;FOQulaOdfS32!G#ZE@J($aU<B)anDX<TfXruCwN>m~-i<&g&Ox
zk~z9_ckR@?eEjgUrv_3fWv}LBIJsTDrP<w@@XLSO%e)`=wG<frX3jlaBBn6s<aL9z
zdA8r#in`olPl%_cSP2Iizg%LJwOsW4Z3mz7nHSCo8FEdP)(ky-FLh~_=Cty@7fQFL
zF59YXD-bzPtJ}Zdsyp>v=_W6yzHJ**B43B^OcN^nx_Uv|+J^FXYYn*f$(42X{uTJI
z_m=<uUSaX}gVA@icj$D_{G_${H1m|!3$N`nyml!%%=NXk@1FU1pQc>ymgUdS&#(XP
z)m<-R5q@jK))t+@f;ay|a&KSjXciP(wWDmYm*k>}K0Zp<1DkVZ+rDgO4b^J8`RvKw
zu!laktV&N#scZ0F%;vk5r?i#h-F@rlJa70e=-H>o<}v;M9U$0xdrd^&_sP)#Zif_p
zI!bs>lB(x_di$e~_w<Ls+!v=x|D1IC&YYW#wr|gO-CW52ulDzj^5RALQh&H>9-r-P
zd9Jopt)~A}p7_(k{G3g4&yOsM>bs^D!pJgD+4inj7LW7<pPh@VE=gXWWXyK{xoh}q
z*@TaiN_);U&30aE`u({19L=oDKKm#B3f(hnwc?Ct{L1#4874o3)_Hu@yg%i)PWnwj
z>0LWdTV&~HR4*yIvS;mMmsOX=qpqh##irz!@La!n&O7kZT7#oM)^Cvt_dm7g>4(We
z%RFwk9_hVh@Z8rTAjsNMy|Se`U5=~JM7zT;`r3@Z%WK$|Fa4YT$MXB0!?9a~-zN8F
zZ2iAND=hSfe%{&KN;ca!ml=h*{ibkix=_9O!CL9Iv=e2=H{W2%%ztc9V(7W*8>hs&
zO-HLgeqA5<@1@h8vIev3laG4}uIFitsED@x)MLuO<wa%N)4RJPm*0)7a^Jjg?}2BE
zn+<YHAE)&;eG$xRi<Lfn^TLjV{vQHWUhWpfM|wWbZfH}!^;M2<&Z$i`9Z%w3b>5Ik
z$+lH~*X^2Rw!DnnHD5H7Z!P!Mxj{#j&de5<dEowP!-J?(Pq)fwo}RLxrRx4>qvz+=
zT{>&Um+z|mI`+G+)(-Rf$8Ynk@0|YSwQ#}yqu=s_J?6~WW$DN@J+nU3@V-~?CJ(tK
zCahl5n`4f!&tf}L(7I|?Sgi}QOl8y7j2+=V96Z;5>0J9|9rCE*!mQeIo{Ftz3nCTy
zed}KQ+ruaJxAgv^uiEu{cXF<&I3U6QP<QG4FM4j*Zk8H5O*DAx>5%)%SBZU=gw*3K
zZ?)U+FEpKdaV6JdqE2V*`9R&nS}T7a5?y^Ib>37XMve2{%SC5on0h{~nJ7Kya1#4_
z)pfJ4%Po49crD=sUwgo&@6Xr**Tiiod%xDe<E3~qul;@b82*gq46is}@3?K#t-Yb?
z(cLF?*Y<9Za0~b+p>e0r|2JdQul84>rt3pO{o4Q4+-0pe8xguo@KA#HOoffn3^nUC
ztF~=#`JSTMrTG7n^9gDHYetV*bM8h*MxNb%cDt%s+y7$gTDN@nz)!WRA~mnR{+#j4
z$@Wi_>a__^o^?4+n00iyk2KezPo~w+7iT=_XQ^e3XfWY&Dr-3(apv<+b2AU4v^&8J
z3opjG-`4C?nl3!2>PAlWreE_LCan8V^!u2M_QxA6o!e{tE^Y9r<XOccx!`1Vy6f(s
z0+adwC+?antDW)OZ-LylXRqg6Z)E6Y@e(;6Ia5Bus9fIfvBT=muO{BeG(2B)jJLU_
zYzeEB<^C68%==A(<b1BDR9V}#e)`cin@iw|@GbX<^4>`9Ni*XVC9YhYR2%kCpZVq9
zH_K%o&s?LX>!|(xi+1pzt&Rturp~F~a$&RQ%FQSDb9Y3(7GHPp$M&Yx%R-I{$4PYd
z_D<!H%Rbkyd+5abK=bzvFWa-eC9~u;RW|W2VD>4RaeHIVi5DK{FC@HqADi$`*wMXr
z%EgCEWSn?k9@dzdn0!t%Xe0O2z?SaKD>q#iWb^g*+rquziu0F~0<26eY^JuW6GiNI
zFdp5%l%xDE%d*&_503-x&3Jowzy1xWJ+2>m%-QcJC};>)@pIn#d1InO>N}amEozB2
zhn{{Cdf0R^EAaF*gNRI-%=W*{nFgP0Zcbh~bJqzu$0p^6*;<Y}o`#teWzMk*<1zl@
zax;4IwC7xf2c}$<OH^L+F2P9AwNUn-u<z%q?*oIU-hI2qXd;8+zIs-+mzAEMXPt0c
z`JqElpjd$0FMZt)$ERoPRl18Ea`hLaFgv<c9Beo;XYnlKTj^J;-dWav?iSTqv7&X!
z%+M}Q`yWhB_d+La{=MzY!u57Rm;Z0+4%jVF6TY;IWwA(%N6FXh$<aaio*ifIu72~c
zeM|H8%91+&i+7GX&;PgUl^bW7we!c@CP9oz?R)i`I4e_p0)NcR2wM}YlDf1z>`k+R
zys+R|tv>>Do~i6~tvz`;Wro{~y^p#Ac8Uk|o%@_wd$_e`_V*W>HZwnD8`VY%*_NLC
zH%H`?)#;P#HdeS59D4BH<yh~hc^|JkRz3;)d*Mry<D1=%b$J;I)fZddNH_ibaL(9Y
z^rF7CyhTP)nf&(y<;z+F1uuQef9241*7SFSX6IWY-!B`SS848R<788vnD#?2zavd+
zzGC?M*KV7QEZol~+&!fDcIHpda-PambN_m-RbuHT&c#({-0K6QJ%YrwqT9Lp+1U*%
z&wQNY`TUu+o#y-eqv^$R#kZs5c^})&kT};e{ot?N(&o!NzpAP3RTp(`(uut!TyXS}
z)zw%03y<wn>5P<;m~0gh{AA119bJvVp9B=7cHFc&F11UoDA89kK$`Vvib{NTXMu_T
zUxQya!)|ewcz&PaUVQT|)9<Yb{*yL&ZeDPzW=YMx=Vw>R^u(UHcZrSZ;ryV|Ct<C6
zZR<<F9~ZsV_vdDD9?x|bW}U0+uD0iJHGX>~?7ID|;E}5l(goWt8dN9Gsr$!MdcL$j
zcPW=sfN$ErW4|`+Y2xxMD~~z7-r<Yyl=3C2k9qrF?^u<4J7wO|`6<!e$^T54WNz*}
zbK}C9=Uu!9mfh9XeeApVzV;^JXCmU&Ykp*Hb4XM>Tl+!XDqAeue$5`I1<x8^<eUw(
z=sfC~c=K1n?v07|tCvV_Qv4O49x8r&*6wFc9y9kx%!*`AQM+RCWn#JeVvB>XAAEVd
zI&PDjZRCO1d!Ec#x@wifoNGDvHXIRJ`uB;O|I<F5)$jHlvtO@u<kaRCJK@ed`(AxG
z6fS61;qq#eWU|!yk~7(9R}4kl=H3jtvS#8&qtf-ecz9b^wo0%(yU|;gCjHFBu$k%8
zpCexU^P(*aKJSW}S})plOd&YUGV^qf;qi^PY!;}_eY4WnL+xbZgQ&wnJDzn5EH-`1
zn7Hh7+E4#?{ai_BCfWRV{8C#GnsnOw^pelBetb_?cFFEaOJ*~1&8&L8p8wImZ$+QP
z!j&I68{7Ma-exgnt)AktL;dN2G?6Dd6ZYi4-+PAh`QG9=Rh!N&5fYm#r6O>>{lD?d
z2?ndqy!^W3O2Piw3q@`Bv1@j&D5#4uFwENUc4tOK^tT(zFU(Zx0;P+O>{`&h<Zsl~
zd#@xHbf5lt?fb>)pBiJ=_pXRl|MYjGTj=dn-lr#<PbS!%DEg^%dX4Usb@K!C&Yg)#
zGnzF&SE1?dW7mDGntfVR?SHxo?Bn}#o7d;`<LH|_O6?w3f4YQztJAtTg<EU={-XO!
z15X^Em;AznbLF*z(?U<}ZEn$FI`?6_^0RY-O>Q0^7?a*tdKX#Dv6q^8m%rR_W4TG8
zRlz=uH%0%p%zt|H@?1md8G6&tX~mV@*cuU2v7&fQFt@O#)r}4ImcEDi<IO6z7teob
z=ll28(?zAT?%eLki0nFk<IlyH?7?n-N=u(v%@vw{)6G@WVsEoyli#f~b9OW6Y!|KH
zd?Dp;fb!$0XWX$1lX~xL+Wkd)&UCgJa#P-0IB4~CN^HC~!%$Gm`*;4TWx4a2813F0
zq`X@4PGH|R(W{Y>-)mRfy<ctfBk?Bh?2jUUgC}~=VmtDdyF4}Y?T>|G-R~!@DBSq{
z(@nd$>x$+}g^eGTU;Hw4nndnxrdaWLFIzSoKKjaQ!%5jGK6|yaqkX?Si2Kan(cS-V
z*G$epALnaZkD7#qEqyU*Blmp2mX+r_w&$wrE5*J%wCuEl_Q5Y)1y^~tDy}~MWn~5T
z*QwthRTdvk{AG2Tm3_gvQZ?trEptyBU01NXd|SZj_6E;pIr-xU*?c4=ug>jke;a(z
zIW3Lt?8V}*Y|<<XZ)HEpp0MZnq!MwSZHu(#oaesk_+Gl8buPo^OF43KdpcTn6gzCY
zzp>fiU4{GO1e=H7pTD}RJnh11IZfdg#R{&1TkZtQFPU^F>X5zVaj~N%Y47tUuo#={
zJ$=pMfSUi#SymHEr%s#erTFE}ZVmetUzNDB7oKwwxb-cv;ZJarukN|f0QUCYCswED
zok<aOO3yKqZjerTB`qye*Rs^)hgrHX^Nf{t!u#iK?o3X*dgp1(>d%$|y>}X(YfZmU
z{o=9wnwWb+#k<y5p4OGK3OD{SS)hX_c5=*oDV2TxZKs&FrdYGiU7NTmC^+JvjnU~H
zWgkAfP0C9>#65NYz0PZEsssLI#oRk~#Uj#F-t)q)2K9vps*XkJal4=9jZ9OoSz7Qy
zB`xOCCiCeczF(r0n_v7{($%)FB0g2~5QBy9jD%;A0v226O(_;IEPT4+joPf=+w<a2
zdw*8(iQO|bZ<b*3O*SU^Hrqu}&x%)6oWA>8^7hx2VuAm@I<h7D9G!ao$G%oBRrTK!
z6hoMQ{D1mm{hNd4vP*f>l*OVCYZP+4kCpJqIlQ@lf7*tQrZtD`{jN*Khj8rfNc<u0
zmcQ=#+AjvnEH(=K6fXPNR95)4!!vqT;<@9e?0zX08(BohPl|Cp@jyV9Wxw^pyECNU
zPH5G#z86uSGSlL2(1w@;W|NjYP+jCJd%C@6&xSa)$5K03{e^mGsbAoIa&>yHXw3HS
zY8+SjruR)0igdeZr1O5iw^HC2uA^t|E=;|#S$h3_JK2_)7V8HSS58;gJ?D`kIYB=8
znWpB9JU3O|*^@8lugll;(VHH1<5p0F&#UJ@<GQ|Y%QOAsc{1#_Wcbb>iGh!WZyRpg
zq0s1Z@M+$HoTj=gqvQAU({=0JPfO)L4rYxwbZ4FADgGAsyYJJKcs^eU|M{@0*8gNt
zMT)qOd__vkrX9Lp3LO5;`o5rWz5I`J*%4R1Hnw%`jZ`=Mp4L_9vZ6VyDE{`zze+o{
zp1e2pV(YGe*R$+WbM^<9Z}8u!Et2!%{iOvDw_g2J6jrodX`1=n9qy0U-%NY>edk`k
zC*I`?L&Fa>1q!Uvb0}s~mN#QF?)+ByP;!mt>9Ex&cI;|Zdu}IwafLHWv%_V}z_U#p
zjUOdX-a5&@wN&KR=fgAP!yEs}iFnLO;+j6`tM|g|`>%0wiM#Enjyp1Gv(u&YO=jB|
zjDNlgm}98cb$+*Uzj?}A(-SPSm#75fS9m?zqw-#$XyyaHOXUSuOv{o59j7}c*u0)r
zoO(*4|1h&<q*4Fwnc8;TehR019aB~ma*O19-a7Z#ElBYJpTe#;!2-Rk>`Oa4;x<Yv
z9-eaLs=|9={gqw1ni2n>oC*10sm{Lr>^Bcpf#~^CKmC~JSf2SW`R@FBo|`W5yN-qa
zJ>}$@aIu5ev1(rD)M`t^&WdeGZZ*}*mL7~`Z)(<YT4te@rG99QyZo=dmK)V`U-}k5
zx|cY8Qu<u)r4>q=vox2#s$S{BV|9tk`nuTk_5c5Hc*-6BTi{o=tzy~l@OznSwsv=L
zPDq#i`*+%xjZ1evJu+3bcHxvf^F7a}Z}yqqzCgzF<K4Blp3GbNy?ySq)!H)_#W?Tq
znwZUYGEr||k;G#z2GR58M|4krJF~L)ca3!Z*DV=|bC3T%(7Iwv%Zh^1z4||`W(PMc
zGk4y?EL!ucp<pwkX6RC_y+%Km%yZw{<Y#9S=*H#uL}G5zy#8%-9?aXGFx&8BePRB&
zS#f{0xYx0;gu6`PpLA_{*jewjJ4-`qe<z*Ixx~}ixZh*aGldfEssm+rm;_xn{CV@}
z#Ut&fiz?Ip7|;B-vT5h$nXVOUSqsDqvR?+yJ~cZrYO%TeyuItwY)lQdNxZ#jCwjkl
zTNTs$jQ=GC(x(qkyq`R)?Zv91*R3<Pd7V>l?JRvhe^Kc^uhlZeho1(A{*{zxDQxcL
z+uHqC_>HR3-^G_0lnWl7l)uvxIqd-VcHuYGu8i@M>W@Vi-u;n$`orfXE=L|^Yg`L#
zJ0cL1w0Ozc)vrDYFceESn@oG@#~H1!T_v0>!Q*%D#LJ%*`r+<OclNDxF`H8L#Y*{r
zwDrQ@Svu}>C-1hoWYF-0agpl%SML~BhsCOg36=3UJX~{lhEk*S4`=HL*?jdD(>~?8
zHigOi%3kdJkihTAK1FTGcjr9WWjodG=m@=+eNfw%Ifc<leWt*|gk`g5PVw({d7Sbq
z-|A$=>@&O0KAJdf_NM8Rybna&)w%rMPh5II+4advCv1+j-z$&WbNq9o@7%=4uRdSm
z__j6Wvc&H&)+*BogXSLNzn4mv#jMdjde-Z<Se>wAzPKEB$T1!Fdxzrr4br!HW=9`&
z5$Wk!K4n8{*{2;mA2zT5CeGX!pr@L0a?@#vbGKTKdr1W3uio+D*Wu78jUvV@%Q*t;
zQ(8*SaYrut_{b@A_v}q+vO4T$@!Cw&Qy(3=Ejq)J$&UNZKfy0$uiT!Px9HUWI2}-1
zm~`&)xjq^3k_@@>DHHcK2QhBmTlLVW=lgkm?tAZJUIag#DEpu7oxsX@yN((*G|4~T
zEtj$3j;ZeZb~zcICuv7r7c?+6PF|GrSM1e`_9X$IKX!^<SpD|LM5oH7qVAvW8E>#!
zo5J;O!IVdfZ{N5Oc;&^V)0{^gH@v<2R(EZy@va=L$MdYjP3@AuS~7~W`1sd$T)%ME
z{fV<_9)H5@Gb+sHU2k_hFZ{2$d6S>pse_03TJ`Dzj%*ZbQ|YjLy;~se%T0~AbFLx2
zZ;m9_9pJOvrM~m`mDLHkUOQR^n_Su4dPC}Trhb|}-)8GG@016rYa}%}*goz0_I0A%
z;>Kqd6LLEhtsZea{V3jXO>??n)1IkMXH{H36gW-u*Xfx3CO7S}PNq(4;;Pb__j&Dy
z$BTGZ-<&t`(J@XJi}QgWzx(@yoS4<Vc<Y+9#h=d2u)N40aa#P*{$<PVt>cp3QUCa!
zP`qG`T8*G`_wuXn_a3T|Q2(~JU444Uw{52tYcD!+F6}s)y-eoL>0I`UyZR5UofQ1+
zs{Q-_&f%w5+`bUtmBAcnvRL<X+kuxw@~q|CzOdbUWU2aiyQ0^I-Jwh?CM<5_>f-NI
zJ6=5_FGzQ)aIC17l+v>erq5=wMoV1z@O7iPOnqJINpZCvImcNtLCPjp3w>XT+i^!$
zN*%e-|E1>lC)qAeNrx^yuBxcJmnXlvSgjW^@ArmBmZ=A4{QKOhaCl*wVsQ3k+uE|j
zDZitGN=_@^*FL)aw=ZLefc@M;#$M+SQD<UP9CxWaIRD91+Dlhur+UVuH)eK~;)@)t
zYZdpjt_-+%ee-q+UA7$&+kE`5WQqnD{Me#2VbiRi66YuQNL4Smr?19bct5U4%5_fA
zo8K(2RL#Es&f9k1X0gYgkAjApM}LT)t!C6xSr`zt>egYC2<u3>1%1D>TU^`D9*yJE
zSn3?D;d+(v`}`@JO#gY9or>0A_2FsDGyBXJcw<`O`fD|1uDNzeou*zFkL(NWyrR8L
z%1>VN!CZqspW~Qy9?y`x{qDYV(1b;MR=G<bVf1x#@pe}bj{Y39cWsI`TX6V_#R@|C
zn?ICJN>!S8Q1V6DG9%53BA;uo-$gz#nAEATdC&VK2cDF~ng6sFy*!q3wCq!TK+J-x
zDrYAIr`IfXTgK+M#5`u&)}sraJ)bgfvD$1oMb#N)pV<s7BP%U}=DjFNiRGE1p4D1*
zV|$8?*0%I$DZRIev4Tgfy+7$4-m;p-sIh3(o9||uX4?PPG`4*;_mUJtWhwL2zgKJG
zGvpN4@|-@97^0m&uXyA1r3V+Q{g9fpX3q4&bu(8wD*0x<nQ{6@;NqTWLEjlgi|*gw
zCwTAb=gcp%(_%z&8aOPN*8H8&zq{kjS(VNFPyOPX=FeX%wf?i;KgMU1+XFHVl<ySy
z@{Ym9<XH6SqqDDMDa3rQk#+jQ>Nw@g<R~ehpOVI#`rNYai_Ddhp7j1h!gm{mg;LjV
z_w@)_%)fB`f@Qk<#0gSL|6Ux(4N8CbDBs?B<y)8bsXTiXqUyqJizdy;?Dtg3ITWdX
z`K*rKhIfu)&T(@L`)zW}3{+kO>g4M^x>?3BS?v9a%3}hz6?QVuUa<Xg-{ga>J7mSY
z-I_VyX^B-A271)a*3kC#_!_hPQ@6Zp{W8Jgi-FA3wHnoqtm*1k?et&8R_k-tErF{z
zt<vh%Bp2C#I|Fw3Otn~cH;>g?Oze#~^MRDl3%2%On%8Hu`QzqKPW`pBd$Z<E{ij<j
zP@MN^GH2Cf!+*DmKdj!t;lJrAw-~FF3`^K^KbHifuhu8xgc$s{>T3Gd%U+(nU(e>_
zzlE8rMARSqY>(cweY3}11@<5IZ_{*lf0YSeo_$XsG<`~4T<)}W!ROvJ{#Kh*zxuPS
z=0?|tpC5dCo^~=qC-WZv>*KK!TQztB8l1RReiW(SdftgCZt2ndneLghE2pdwTEN2j
z^1wl^cC}w~7sNhk{2;$}aoAo5y)Ej_{EChF@}YZu8Xlj$!PCFnFVgezr<@Jb{@hio
z6WlqQ`>V}@JEs`KHq4XrSk9W=%X-E1#cEUjJ!=JSah_-R)fID?iG!W}^6aUzY*+q|
zSIx^<_9Jt3(D9O$*PH^S?qqJ)d95Rs5aE_<oz*>;KUA4V@BZE<j`}yJsx==deR$s!
z@ZynR`kH-SwLdrbe&hP+@aMu)rDZE`6{kPEpLqKo|0Elsoz62Cr!{>NQnJ%LJ!{oU
zHoZwohx?d4jinOgy7FgrPxKACqjBxEOYCn!Tf2?5_k!M=F8Gn%ze!ob_MSw*JGr_G
zmwXR@E%-HAsbjjvUG^#wxqkslEzBZe+Oa-oZ9G*1f2v2`eQWyT&vG&G?q?kDJ0`X+
zdA>M%9{;hnlF}PTpYLW+54L1Kczk2}%zsVQ*3*lZGQU^-WPW(VN?FU8fQHuJk574g
zyTI^xPSQ++B+0H1hv%GD?g-g8r!4BaaLfKs<=3+tbAoo<_XzwWml}1dccwzOjr_wa
zThFAHI`3$_x^xlqH<9a=s!zR^-meU{f4=(mPC4JnjGl_N#T6_|*b6rZ|I5qDRCM{L
z=B#gH^u27Y?v&ds>c@E}Y}KDP``#gildIPo9FSo2dmr5_%W8SXvOQHeXtl|PYqg%~
zSNdevN+vaH8!Njvaz2@=#64wQN3)pGEYBNtaeI#B&D#I><>jK__>;^%((-rhryscd
zLCB*2nbYT{y<gn!#Ad&8>8@kDuOdB%?P}MKUp+oYD!0s2c)e+r{Nbl}qxW~r&@VYu
z^Ryz-i91B;TZrM|iBGGZ-ffKk!u<NZ_$S+n(lcVajXf1&*Su!^_UzpL*Hw~bpIh^n
z1ecUO<xifTBpf2GQT01~<1eu{@wY5AejiSL7R<<C?r>uN*>&6uzne{SRO;PkZ?sas
z_{HtSyUfWOPkaxX+OS`9ZKs{lIezK)w{C}As(+ElB<kTJ;3{V>zk%t`$J@0Y&#QOv
zSU)@8oi*Pv|CaifxTwzLbB8;XzhyO?+N`|&`=`IXoaQaF8?x(WPG;|lZ&$b|y5{;!
z>+BAf{qZM4&0C89&I-@pSK)qQ_nbL5)?GakeEs;IwyS)H*;ebGzOFNGL7|3VmD5eP
zJ;i5(lt1s9^Wd&%zE5-3p2WUue-akmXml5E(k?u(_Qj(s53&s}SF7h9d|~*p;Dqye
z**RMoR-b&i&SgW<;Uah8-C6!UYu-2<ikbf`I_rb_bq|@gFKX94AK!kwJav&qM1&1<
zpWUXmq(wItZtYvKT;P}E`PKUIuj&Q2g?)N?Vy@y0|BY=&-~E^wJE58ByWY7vWxfw-
z``PchJep8>_w%gkqs4)N+h6Xzu-ac>!Gc1unbUUO3S~II<JOr;|7)Gk3FX^X+x?F#
zn_>{#Ixod<^75S4s)I6C?We4F3K%4+s{i?6Bs|UkV5%+is?HL3o?wpT-%=S@8=8Kc
zD#$SH`kwT-@6V(jJ*ib{(U#})yR|z#o=Wht+|G*meD6d>;nuVM5r0<ydVg@~u_N~<
zRn)QlN&2JPvhl-`<H-iH8<&>m3sgL)e7h^Ei23KL)V@DblPtDvs94<ke%I9AC3R^D
zTW)6@)4s-eJoK>Z)n9I(#JcW$yAfTsM^!7da6#3jN!8)oj2~p~VowxceJOFU@RA-w
z)E)V~sfQmt>2r&}w6OBTw6l3BM-~+59@o8CAh>cu>kp3W&(GJCE?CwsK5vGLe4Eb>
z|GXxvS-u|Er=GVxePHUjDIUj9)rPn{m^F*(T7m6euf2tvIo!WzzexG9*&}q$RHHR-
zdS))P%QD>k;+}HXTKmqI>*Jq#`Dq?CIj(1R%tF14XD{2E@GqA8eMCKfr|oNxd-*Nb
zGv~{ZH++BZ>Rc;TSk8KGoiFRH`-d9(Y!4VbGd0Xf@ANR5E@sp^Q%)qqadFq`ZFbTc
zj~9l1Q~Nso>*biLbKD-!b(f^C4izd}mQkBB{rz_V=UJS`mds#2W$?>?{Re50jaN3$
z*}L~tQ)8f!*}nNsv0-M%P8G@?J?^W2`9llSe*WwRkEstzHFqkr?5OzY{Uk$|!{C*n
z!$pDn!Mol@_AH;(A|sf5CqM0M+r>G_iXOd2Hz#aLNxV>^VD6bOpSXC{jisB<EzZg^
zRSVd4LACeXk@*f@nvbq`-3b4lEHfcOd}jO7&!?7Kx;QBDiTa*f@%8L#d3)c93s=p^
zSyaez*sbtuNQdf-%`Mx@mu+%d5x=Bh4VUDsUrzVzFNFVSi~sS;U}>relS4D_b^EjX
zPD%BgH2x|&S5|eWN48FI%d_<GT}xjo8&ACT_`Eg0f|=~Csgippms@8@aWFhQ%{c2%
zJtLdL!&0f<pL1BJKlM&x`J8pfr~ZLo=!~rsQ%siWtHiH6vGHct$%IpnvTHxgW3qmq
zw=az8&@s{9+h>@~<y_%@@OPlLa%lDyn>oGTitQUBmK%#kFaE=IvUFwK(}=4pn3du@
zc05fN?Oyo%%buq%<~H|kSfaDkjaz)RXT*oeq5d3md(!5uSi$-9iZv_$!7`01yNH*k
z{=DBBE$UL<*YNoFmF#2Q9bP|uch?6=aqZ#pdwZ@_V9H99FVn6qSBYe-UaL9dx}vqK
zr$nl{T2K|k&mV$y%H7kolP%Y){;-OfFhAq(ttm;r=g42b^fE(XhWK3Wz0J*u-;OTQ
zJF@kf)EZ6$?x>3!@64W`d5rh<tTI!HB^!V2IxhV6Rf>q;E`d4sPCnS|lsWhFcL(0Y
z24`N2Wu|*CtWg*ARq*JO$Zk4OEScPTgl%flzB^A|oQ=xccI+_w<|e^{n?E}&6y7Xx
zW_C;znVc?pZlB0bnVg2FYoFXO`g@7#|GnDp-{oZwpY}bg#v73IW$r|`t4+uCo9BFt
zO6<JEI!n=Q@_{?1I`K~rnRKN;esw_o-1aBlvc;WR3)U;ncU<mm%;_w)_NCzW9}>Kc
z{_kDYV>mB7cX`@&xq)}lmi?!lk1HO1{jN3Z_n%{#T^la^{Qdpz;wvF9&rDEU+aY+h
zE6#EGIk9<Hx$ks8I=e(`$<CVe$6p2hSe(9-)6DgOhh5FWJzVMBYWwFIjQj3Or?vHL
zkdIrGYst~@VB561NP~d*hqER&+)mOi`xLiF?^6H#e+)JeITc}s7OqYT3JMBoi3SEB
z+Sts(;I9nRr%zo;4#mz}&S`|p?s=AUdBr{r!#PVoe)%dJ_VuOsF>W2z)TcY%7Z>U%
zU40oE@Il=C__?b*<<&9OLK=5GgOeieT^8N{zAW^A+l9ZAu9>l#{i(Z^yiGkzEAqo(
z7T0PypIh&H8ZXH#@3h$UbO!5-W%st-I92z;@btxp-5T%S{p9A+{@7}D@|~l1+JlU|
zl`%rA1B;elyndi#<{9n4`-}Yzm>e$s>fL`JccqTrR|lKl|0apO{IrmH!xJthUcr|-
zDrYzBU|TpvuFv<&lXS0)!it#p=gvO3vU_rP^~0414YtaQ<<Gr7xyIteTlwNpsmpp-
z8A<|<6}9_wZn!(!PUO<QyI+jXGSslD_H&=e|9VASYH>@Hxr*Da`Rk7yb(ixJkzwfm
z*!*Cbi1{2P-$Rcpf81Z_{57FM`}%6uvW3gk<pe#$RJVkRi#aC$6^j#Q<USwIQQCUh
zasI);>^^yc1*;dY_%rLXNY7-3a-oC2Qdi9XaDP1~!|p9hvy?3MY(1v8JU!OIMD_0V
zDQS$3cdUFKFitdE^kAphl-?P>MgEl%ORK)=R(PnY#kU!#%O8&0SRWC;dDS$9{Es3f
z1&$@LbIdc(bd?<|O?29}-&wU~&LVF%{>_u)8_uP?U%u{3U-@N?y|>SLWmh-6*x{<I
zaHCmex54d%0K?ct-;RrKQ{vUWd?54jw5G)=_SXe!PZe*o&~Vvluh#QuW#UrPCcm2L
zuNb8G3=ON7K64fb)8J>R`R3Kq7W{ozw)<|C6^~^r|C-F$-*&N}JonTg$#rpxTW@?X
z6E5{x_kX4AALT1oruPW+bxYO!Tj6k1)_VIJ+b?3l9bbjK`aY{at)0G>&*JnaaeFf#
zcZb_AZ0}U?X-Dv^oi)F-g&|n)m-=H9>vvjbtY@2vTDV(i$8XJu%h*2MAe>8m2KzO~
zNfJg*XOk}2u`Qo*bM2hX$D~rfKK>QhbHQb1L*(Q0JC}Ps7cQ84)IzZO%9el8cVDh(
z-1Dhuar>tj>tA=SZDQRz_t3tjTU{T&tb4JcUcKuCYs7`j&BC$i`8=Obc8UqPwA~lF
zvcj=hRi{yX{b}PPZ6e34t$jDeZ$CI$TB+cRz23~sI;Q-EFGJ2V+%(FR;4alL-H`L}
z4#&Ss&WDcOayYuGt;f1F<j!RA%wztG{><9CCuo0Y?*6+Mn!?sjz3VtxJI?>+{^F$8
zxKp2en>A1V-gJKH?75Y@LZ@*~ofE_$uym`W!-l_{_IchPE;&vQ{Hgx)((kx{ur1Y3
z&TUO~KJhwCkuhaw)v+_23bImNQ&-NZ>3MVM(W<<i`|T7Qq@SGKT(qx7?muUu$$=U3
zLPK|6`Zevsi75*b7X4DxZC?K5_$SNcc9H47dLM=@Uj0sZUg%b)os+H}yWGgl!{@yz
z`n}a7^D`FPPCi$+TCwTJ6Mi@IgVP#M^;cx1efn)5y?FQO8($wSKE=~{xAWH{V^OwK
z8?6s%cQcggOkK5gbz|?|nT8s-zpdrW&A-bTK9!;6K--JinwwP1jW!<OS~J0ygXv&<
zhv1u6k>7o~9x0k9q)aHCdoTRym*ANH9U*gkY^JN-jrz2PD^;s0r2pyJQ(q6T@hiRR
zN^6eXwf4&!xeX4-oHn}OG!4nVb$M^lajmVp=XP0S-*09yI{Yu>_2rww%16@uCp0sf
zXn*(`dE`o!|Lq8t-qS0(Rya>^o%CbUqxUO+FDd<2w<m>fl8ej!l6L-idI!$UO#J=b
zA*V2VEAxh#fzLO4zkVYVxy|rftT^NRD5-5_&lc#`oMhtNr=(?g@5HeK;d4!vL@sW<
zV^`h(@bkhY*I&3tq}+{O{<Pg(J=|IR_30XO^_Kg*lWf-gpO~2}$=LHHKytBM^C^9W
z*iyciUyrI7sK4<w4qdV>=k&(g$-!5d7HXF1270=b<UBSvd;QkQK2|b6#NBaX)S>eg
z-qla$ZD5~OdhL?)o0<}S(_7+K_E&`Ua`ot>#>5ADOPUHjZkxO=&ss+JyGg*w_pPTQ
z%3hp4AfzIpP!JW;q9V|uAXt^n_JeiN%A9qwQL8r?ENyrrHsKE2qsT*6SyOuF^zv6S
znyh+xdh&BgZkFy}+_9x6HPY9JsmX7Yl<wmEILE*6;*;L^qig|hKlBv6vRGDjy5`mH
zIn9?gY+IZ1OhWv6R9}tp@8CN}whKM?^jmo%V&|?+hc0w8e%tx^>FRdFa~mxlJnp%i
zoKs?_wBt#I@2?k&&i&lec-M~UzHZ2@WQC_WZz}5UDqRwvKk0z;rAwuunc^GgewoeN
z%vuoH>T2h$zMyo^vb8R+4KC%@Z%>$I&a(c_vFf_N^}C*a`cj-~{PnDMm*2$2K8=>q
zvo;HOzczPSthd5?>VoeVGXC)dJEvz(U1iER*Xhur;;kz#`z~u-QhPhcC{y)}8k3r8
zYj3ZBNZXGsce|#?WNhK#J}eT+|Iq8jrUb)p!osy4JGR-bJ%2&LeX8ciMZUKxBA%&N
z=q$B+{%BwMitJ6h_R5Jp3N>0eY2s1agPB(U+c`IK_w&9n;}?~BwNAY~XTsce4z12O
z!RUp%uC8phbid~B*Rv;Z=j(oEi*x=*S@|_?Hm#grXnWvZ!U?NbFV=lhj&JWhzaPN8
z;`)T7?-LH%l-G6y-MAS2oT=XOkk7hSp%e0D7o*}1>+x8xeiIx1cU^&n`a*|^$DaFK
zy=Gn=@MF^#`=TE#_B)<_Dx9tR%F;SRGEi&ZHHSmoLO;CHT#FkObTx7XerlB*cRNse
zqq!yXn!sz@HuFCv#wYVDbFX~TPCU}Z%&g|O$mX=OZ`rAqyGr#|er~Q}Qxp>qZI=9P
zt8c>caqERA){@4XgiB|y3%qI=l)B?j?h&W=Zu+`ux4vj@l1ToX&6FYbt)<|Uz`=!&
zcAZjuF1D$|ug~<k@Rao>U8mYi{&1*uzWOWofnAWdK{5Df)M2%acV_pxZ%gUi;-FIV
z|22QE1#g+sGLG=)*%7*i^S8|Rm>pri>qKGv%vbYD55}I=h!tBg_w-GttmTzkr^VZD
z6i9N<eH3QubI+yhc8<K=SLVX1??+24v{mLQe%DMjD7tdKdWNEGOs@03eY<%$=NK;9
zbVu1%pZS#d=8(&;+zy`Kc}RZJ$q&Y#|9(|ZymaxRnB){eyV{jZ7tYOlIctuJ;N<P)
zY92g2UazMg6|fDI^C?QWIjNL6iOKOe%S*Lf=IqH4e`DO8cXI2VIQiQqPUv9n{qu=`
zCB4}XNwI95V5OpeBRtKi*hA!DU+d-RVgFC^X!3tsd*Sxf&^auBOaxATb9_<m8p(g_
zndOor^Y)*vOkb~PuCgiiiv6+gT5XdLnSNLtrJX6f@|f)2Wt=}WCWNNsZl5e>X2m;;
z%jVy2QT?{xJELbfR<ui0i`=O65l@~Fcg4W^pHR@e&ih_sw^KV`IT)Se`eZbzXolt?
z3(k4{?vp<+Kah8Dy3wVD42-Kc`Y8pw8M}8nU*9Birzz#gvFk|}LJJqqvhx4Az&H6o
zlTy$=_fMDkcqf)gJH6O-s`|~geXhca54sJczdt?1cgEouXWQF~vSWUp%-25{3LLon
zwoSA?P(Epg?*nVrBTINw4oaD8h#fp-am2V)nmOpCV9io-nG<_$SN%9G5}$B?re}g<
z$GrT^pS<-GnCEWzc{?dk_jB0=M}`N=mB#mV=Q%#tz1y?Q_wsXBgHpbn^5pHQmyJ?$
z4j4#g{-|O6QZKk-s?cGV)f(Ya*37k@@j9WxdHD&mjD)(wKF*HenJeqhc8-6u?8nIS
zP1-)Z?mO2lI&>lA;l1--lRjsp$L5)_uYEc1xc@^zwF7**mn)c5x*z?$`C(Rjn#rsy
za$LF<&uW8i{7SzZBYgeQg8GeV<;GXvtz}ulG5Li0zuCLiR~F0LFMPRngDZPn>9KPf
z%Wl`k9+lgvRd@H3Q^Sp>@5N`<)}EOiS@6i`UDWC+ymybaeBDvHTuH3X{FT4_Zn-IY
z<}NYwwA6Xn++()BTD)UzRDD6)$Lc>0QfGzQnf{fm3{uv$;5njDf2~5M#HLW!U$0}+
zxrmL6^z@UyGK%Zln(jS(YM;Y(Nh$uSFSjkWDdd%3YSLeLEbWh(YIcEP^>)p&Cx!)c
zL~d^VwKt$pWGTOKX3N3Z9aEoZtygf_delUBn?_jPljX7#m|B=?=Wd^$xM}`}Q)vk<
zrUuu}%`vYGe<*F_)vDxtz3!6Z<6pTh(vgOzHn8}9RZmmiqTidEqvUt@c-TbN)d!DO
z*7WIoIDPc|o_{8MVb69?RzAnNgg<)P#tWS#pZwQNn>jHvvhmtt1&K_Pod+ai7ry`e
z`u&E0FJ(FY4^ubKdofQr^<U}@gH{&Rcu%2KFFEY}6qp?nR$rXV%esTTI;Uk?QqiY&
z%}kEJ6Pj1bFD(7~ZS$vst6Q18ZI7xZM(=i6S#zPX{quI|2P$i9A3Mm!e?DG(e9nq~
z=O<ry<%1pvGwzMGEmwCh?RpX1Wi!Qjf$qPSuqJtf>*6y*S|42a9DX?D+*~cL0DZYH
zp&MOd-P$(2KVVqqzdH0r*V;`1SCp^!oIKA|R?~3aUgFA)S05%BJ860@*Upsp%gt@z
zGrupt>hF5CY}vSjY4cyM?0>DYFaMCsJ&!AM3(~9F_o?;<%(FH$)taNVL2k~g{RdsU
z&dMy@`**q@$26~LX0F>#U1l<zv`e=tKVyc!*GvsH?)!E{+2L$$Mw_>N(w?&VKbPr}
zE0bToJ>mE7wAMknNnYtIj~OPNvVYgW_h8w?YXUo(?gVs%vU)D`IVa<t?z1Q5>%@i2
zU3uLZ{_NOS?!MD?an|&0sfT`gD11l}jodMTH>;A7%Tnd{H~;yj*|w+R%5~f=*Budd
zTyaBYM)8zC)~YM~Sag0osV@3z{PJOsPBTwM$E3?|ch4<3xx~IOWT{2ggL!JEXWLYl
zT`leM^yL1qqQ3e@+Qz{664#i&YO^GgzRmKOY5T13l*zn=57CEygub}+k?nwbQEyP>
z=fjM9*#6n>tuCxQy7uk!siz+0zL>qHh1uY*$WP{DyF3;xm73D(ef5+ki-pdbnQffX
zU+vwW9DV+&ZSMaCIt(=y$8>G}S{=-Mv)+D_edpScH{nxn=?FMH>Ud%!|3<BB;-#HO
zy+Y<sX;0<Qk72N9_TXUn{;mHr3v+tyh1QvK@7i6I+Z^e$I-M~sP=RyS<;4yMpIXe`
zJ;TML@8a%BjnPwmB(xPTUgSOSvoY3IQ#LCjT5HV@?K!7%_wzgtv|!beVK42yFFBz}
zcm47I_t&2@dU;^k<BP@GxBc#X*p__#N7;g<8ka2Yz3pq=-a32Z?H6Av&#m4Wb!yj#
zMH6<Ox@6$~Usf;WptSty|8l2h9nZSF;$}fLTc*O4J1-6i&Ysb8rmsk5{<DpYKi@o$
zyLLlL$M2`}8avjbE$n(>0Ve`CXdON#{AJxD7YUx%yuK%2be_^W{cm~PntzJIiz?24
zaI!ohb$;^CzUa`*>(h2!3VWTjO*D6H%znNH%N~nwsM9i3|HKo>Cz<BU(wFz^wQ!!{
zJu|khbw{T?G}t*?^Pjn;^ye46+%IxheF@=Op?~_BdYirRc7yoYvENsJt>5ZD`4-ET
zNt?KZHJWF?VXi*&X8X&Ba})|M@<_ULsXkk)I#FI*^M2LC`Ml=>Om`@ly9w6l#j1wB
zIudEWiZhPS{|C>P?Gx7Z%$>Q*@=4<_wFu?+0dvJ%XP5q8_3_v<-p%o$%g)WQJr$Sm
zQvCXoLk%LVUrikMFP;|}qI;uBuS}73imEB|@!Hac*(xf+FR%1$S+!Hzdf%b_lNb8!
ze*W_K&hA}7n@_|Ra4?+^Tl;fDnBH^|@t(Zs=~q7{TAAwz?u*^p_WISK=ubS;sw1T4
z#JqUnZXNIK&OZC-wio@D=gf8<5;YW&wXfeB9`yU0XotJyhdsBJnY8XplsG6dHG8t!
zH`|73*E9t4J8rTp@=9#8W?FS~=S+pqg(nVW1u#0!eYtSDN3zUYJw><T|1&p4-xWC!
zIosC3YrB={p`VUlW~pBbx^+z8zO&A&${jYdHGIB3eWb3>KQE*C$UdDHJ4Jq#Wrp9H
zrWr3XW6$B!0eVM2v5EeA5<5rZp^Nm+B&~3Nfu2tn8%j>tu&LDvxu^FpPPa=*|3A@q
zeq8R}T?sP3jMY*#DvvsP{1;BpU3z5Qe5Z%sFP-1EYh8o(f#Y-D$xL1FZ|{PO`4>z2
zQdE5}d-XFG2s+QUpKX+{SNAMw)=Va!+w4V4c(*dLX%=N|IV}<NI@kSO|MaaXvt-oG
z9ptkPoWFU*+{P=*?a*hD_Pt8$_j%Q9*y~gyU0$coy#HxL$ll2s?yEA^ZeRAkDfGzv
zI-|5ir<H-$FBdPr8Q{&8_aXNvXMyy3f7cVm+YXp+EK-?ym+5X^fO^9Du4B0guIH^(
zZeD(PK``%7oW7*csXHZIKek(by{hue&UD?QSqo;(>9*(h+ri55Hc*3gSJRa5D+?E2
z2<tvJGwx&3+q9#;0r$L>-E(plJm=mQbIUOE?_q1z=wGW(?W+roZ@to}y7N`8{KGeU
zugy2Ix%*~!^lXo|^1L+{_ofRg*XC{)nast%^=I?<FM9-&Eq>15Jee(3Dz0=z*1Mf?
z9qTN+H(495G>kiOg(X(-^GaTixWd~_hHG;jnJnCmoL64)Y+RH#S4U;vd#$!4v0sua
zVlzzdnOlULB_3Gr^kMs->0i@W9~4f$Zu*@4q0kA&XBN#x=ZfEJugbaqn3;RNf}6pd
zi3_9Z9B-abE?-xY<DYC|+Sf18qL_RquFJsj@~_qZ-)2tV$i8{muSpkN!eZkD)4xS(
z==?i0|MAhBpNdSJe;w}sUbjB&>GZ_rdu!T?rO%vLWfao8qjcF1kzbdlR&UPu8YUC>
zB+2!z@t2Rg9``8wTQ@cv#8|KQm44jdcf#kqpdpif!J<!VO4PZY**E{b@#3=T-^AA!
z7rZ_3QY2w=<Rk6pyQaM{(A_JlQxzn6yr4QMN6i29W}k=c@8gu_U6emIac{Vu#cdbS
zM(=g+DoUokGZ2Zq{Pc(NVvo+oH(Pg4P2a-K`Bld>)18^OV$ZX`i+8LmZn=I;m$8cJ
z-jgbs<FEDp*IZeW!sVr!6{EOj<ANFNtxWwpJ=gQavR9NEo_NH0@A8e4vKOAL|847@
zEaUzlh*kURzs)&(cD1|wSBkj09xdpXX5g##dEM-`TYGoC=<Wr6vNInhy#DZWztIQZ
zOC^hMteY~~?Orx>d8_cM%nM%TUF+W1rhnypu&-y|v={B?dOt<osWCiQ^~_(6>*TWO
zXUaBD%J!LF7L(1hH_<MCy5s*)ev`{HK3v?uRVb&He8C{)!-N9QjyJYHC)~QQc2UF>
z<GsQ9&su+Ou}pdC{ori=$32T}uW|ciEcR2L-p%F1>ofBw+s+?WM%#|f6^iGW%;Ect
zd%=RjnJ+f{xT-Mqh<^8GM-#cNo1$yjzVpdR3M|nPpWDmuHc^o^^S+K^klX9UHb*=-
z|3BEXKk2Rcjfhr}&oZstx)RyH+AMD$wAsw#^gQ98#I0kqbo(>{>ip}kO%}Uocjumb
z$G$ha+jpPf>zk%_`phnd+@R%e)_Ct&B^4=pDCEVNmTyrXJ{5oY_<YtQ1%bfHGLo17
zpLlVn{P;HhpCw<uxP6V^{UkN)LDS1gMX?{${Zf_Z^#6BB^qUlaVA0wr@vk$cyI(%_
z#N~N~wdwwpcNPDu;&YhXSO0QOO1^3=mfgRiH$L+5+e6zft(B2u-l})sq3CXa;4ACv
zkwT))M;A3esW|*;n<Psn?;88)2b0@bv(1mXH}t4GU(dAn;XS+F$HL<v_oC$ZTuVmD
zYYB{k?~ZPFj`+7rcjn<W?_!IBELMO2UiZB9`fhc>g(YQmftiNi?#`>b_QGi2(}e63
zx1L_OxAxSw{B`Xy4IF$<WvmAr$|GBMzesp_;{5a(+kU8?UMam{MtjxPlS>$HxnJ4V
zn0KkUy*`aSHX!jz^DNtgjV(_N%#MpY-;OqaQ8G8;$+ANqEACxYY}{BEow9|;f7j;c
zmLesQrhKlm7A$HiY4qt_>zVwk?eC8FPx9|gU}0!46pi@vPIIrft8C8VgE!Q=f?2h;
zFX*of-SMv6h4-~g(BJ9Dk1qMux7c*S!!uHM6?c7lQJ?kkdTeyMbWqym)f2W{tjxMu
zarE2$fF%o#+7z}vJ#wP#P06wFq_<Mf_m<>s3SF1|GNCf((W32>Urk({rQ=e$>gML4
z@MY{ff8<97?E9g0wKS?c>h}bOt!)3RGjDC!nj+cse|=J+%%O=)tWVOV_{DC{C=d^t
zb3sz%`|8fk1rEHy@w*<aH~rs#maR?X{B-w~>z~(|zpi*+=b3qb!p*y%tgcR;uKKOK
zWu0QtE0GlrRX3z2aDMeY*>WSGMqX<DtGlLGZ${o-Rpj8In)31QwI!!#)cx1k{Wi8}
zUO}V7W6f$E=B>)l(wA;w+}gLuH2huk>UqL!9Z8#HPEB}nV|z8nbfK@BlP+A^H<cwc
z^4!xW`#ZHo-Jganl;%9R<@e*Xg!6K})}98!^|_0=wUv79k9_TYll#HTA^qK7nIESm
z%KJW43m?#Dl%EyTxayniH*+BwOCDL9x|jv5H)E2&Z2!N&sAcoL2Y22GmT_!c8280w
zvnkhm=QA5)PcPe5%p$db+0<jHN%m=@FP~~BbLmb=Sj%ww)%TMxS$CJ6RmuK;w#&}0
zIC|N+sCf^Kf}Y7<`BZvLCCL8c1gircvfuUM7o51F@YnO{+&fctuX_C_;iQg@o&1U7
z6P!ISjulNicJOfJ^|`CEyi|<7cL}5_N9+=xxVZmvQj~hr%$)54Q~0f4c(>{7Hs1He
zI&a1CA{lE_=}_g4fOiwb^}1F4becmSD7)@Y-<mk<w$nqc)tp7MGWTy;kn-l<mbHrv
zAEob|SP|#Ry{t5c<LEUXnNxo=-8US%@u8!nW#aBHZ{D8ySophC{r;I_y%X=}%+{Sa
z=gKePxF@<BI9h#uJ}9>*z4>nuIL$LK$U5<o%AOm>w*41we2(nQec^g1rMh~Kb51E|
z$(G!h6bZ$HdP*YI+`mOLH$IoW5g+hKeckVbzz22HIK+M&42d)6RVer9cp8_LDj@qj
zN@>FH4|V}91!wN@rTE6|Um3+=X?WP))5~p{&pAQ4O+PNSNwvot$39KUPfA@;n#s{J
zAtk@s#2_MxQ7UC^)O*vyGp~bE*^^JYeCYCjA*WDk)v!os(b9w~7hG#1mtM;AE`6dQ
z@6onkcVDPhe4@Q^p0(eL?4?;+KPPOLddR?UUw!D(`7@>BQ#CH`wL5(AhlJ4gjV8DB
z`abUcHkW^Y!R?<@>@xae;yd5o$uxf$XV0qAHg8qL4c}AGe4e=nAD+8Y@7<0iYcB4+
zv*gLO?4v8o6{d!NtjsL#X!s>IO?$q}tslLypOppAOuux};M|*8Edn2xy2mb&ziNMB
zegC1uH7<!CZr*z4;2S&rzV7bl)k{0i&3N=#X7<gO#!BbQw;r%qe*MK{MkQevRkxt{
z7i%(pYu22&I$1}uLVfZooxC9Ni_1Tq<(EELc;=(p$xlz-M;<RfB02xY+~OJbOFgo6
zBIGtqy>#)7s1JYWxhlc<{fC+)H*#(%oP71@>V1rNE8A|dolD@!xfhrqzI)=be^HAq
zJS(59lRmSd;Os7^iCe{zv}?ZSYp!73_HxFx8_84CG}G6Lra%5PFLs9UO7W=8)$s}0
zH=DP;tg!gSaQCE<uKkS{R@3s7B3C6xZR7lKV(RJLQF$p_r@A|>WSqb9Y_TDq{<{FX
z#s8j4@fis(c>n)9xAM<9^HP$Y`#17Us`NFR#T;uS(;_`T@%1XUJB}>|^KCai&D@~7
zZ9|1ujMEgIm67J_`rq&;`z5N)cWF`Ebb{mUseZLTiWfB}T~oLoTOYJXdWnXv`n(1{
zi|n>pI~GPa9TgQZGfVlQJ$?7Be>d6vmmZx|x9g<nl+GLe>~96GST(*A;xv7F>v6Tb
z(B@OUZtp@ruRm~ey0*mDpR&z)EKf~xzumj3doe`Pj+a5kVanT}NiV{?nrG#Q>}mJk
zI+4})&6~drSG+&!EEk<M<FaM=VyihfEJLrAy~)foeYwZQVvm7iNU)9^!zZ6_yW;Hk
zg@uIhYj)kg<S8j4)53b)^XbgO>{Iuj#uW9udCsM}<n!Sx^Z)JUJgFjAXx{MFL2dEI
z!VHa>+l8L$MISkJGWN^cUsp8ttiLHMESX=k$T61j%uH<?`-+9O47;X2Q){}kza)_P
zT+_CUz0&4oW~bQiNU(IA?7X^8`;^b!S1<Pk1b&HRu=QIXV)<a}nb??LKeCN|If5pr
zu#~LIHdLBi9sH_j_cOkn&6^JLRZn>MP)uc6Qj$sY)Z=@l@>O>E^7-oY?B2^Sx4<Ds
z=|=wkNwpW3fBJu2@Ba7L<I_s!o&Te?V9)(c4Qp8UzfE{}SorAal~MY$&SYK{6Km16
zsb3Uh?RmfdVc_pVvqLl9v~;t4m@h5voA5wkS^fJE52kDDQx9sdnewIAx9dg_|IZh*
zdA01B-)Qir%v>cW|I_66nwr^ux6YZei(K={c0Bs&j`xqoy}@7No+)b15t@IsEo{x^
zclxjMS1Yg3dwYc;puK$k+*6u7vXvI>VMZ04e2YbY`@fD`#dB!e1paq#140crj``$C
zh;LGOw|ZLax|m%*PyWne|Jwd1e0}Fc<tZ<`IsFZ<9+|6svo|tW(I>~*IU>Ms&%$dj
z6Rs+5zNg!4z@A~FX5v%ce)`#?SMBGjWp0XzPQ0>E=lucaUxgYA3hxO$XWFf-ogekp
z`T8d#iwUca--;5MdtTxB?WdE@OxsYO%X#pLzPf0`uLGa1x!R{rcJf$xCHpI<A!|u`
zc9!fU7TsMlCuL<?wZ}BCe7WY1ef$IS%^UVfT8f3WZQUI9;V{bzQ-ckrcMtKfZMn4K
zoKoeXsk_bu@BF#%*69xcxz+Ct^eWhAhBj$U)ndD}#YI!%hq3bo<MZ3?by<JNFFZJB
zLdlE$o3+FQEMyskR6-ctu7vb6^vbG8&pmcOo}0Zwy3uKhgP70eWKQ0$Zliz6^Vh~F
z%=u%oE$RR7ei3<}bWsO>i=!3Z@iQ`SC!23Qy)kIjLW=`ErVkG;IXva}P7Y(qT8q7V
z%a2qZ$UeM7;a~TG(`9U{Rkn!CF{v|Q=U|o0GtW$7$+@fK+})fX_Gd4L(VO~=eN)!N
zuRh)}v*XtjC9!bxmQz;sML8SNXYIamId5a$ZFN3N&6n}{bLQN<qhF!B`>x{8<9Dnc
z?SIa%u`h4-!Y}nzC)pMmpZVZ6WnpgEq^134%$;@aI}NV(NbS~%-DN86>db0?*!#%r
z&$R{_ao*W`)*4hWAA86qbk+3!na=Yk+gD!-d0AiiA?(6?=?;aJ+_#_X^jhT-DtX0X
z&y`(TPg}oc&psb>ddb7$uh~0w-5#dbb$$H#yxmUEbK`mML&6OyPI(E&hx--kW<N}u
zmlJ#Y!`f*7(3Z0`64U-~Jr=NiLFaedgO87<e{$$wU^;1r)U?!@%q%e*<+B7>3Kr)4
znc|cb*~Sr4{x>utBk59V_tt~POWw389W$~@3_Q3h@7wdO8r46Wzsbd2I$d=3V^d*H
zILq`$lNPEkEI%mvxAXD(vuExXZ=N~r;hX(IS9H>)PtS6Wc&+mN%x#kxM#V^@BHfgz
z3k;2%4*S}?{pLCy-{R)`Dd5Obd1J{0)pJ?wv;L}t?qlnpl(8>6<;toThTiozJYJYv
z|7nd{SZt=N{oiP2_s%O9B#$-CeCgFW^XJ-2O`CaN#q?P{o3OXI*?dAw>G3{igFvZ_
zxi&F%p@w16U7!Ak#Z1+={qA=5B2$P0^HV>a-?OqNyvlm`NW$g)seKhJuO)&n-2I>w
zee&t!{b^Pzvn5#HomDPqdApUb@#0Lr7Vgvknt7y5)Q>Z(9y-Op*s*a>(+c}e;}=_=
zRFr?VRI@sl!_5@dmRy?czTsgclYSxtbJGRaFHiq=ZRrZUAA7;K_|u0aUyPPT^mbTQ
z>`uKa|KfYR)AN^Wc02ysCL;5ccV}9lA;S%6?+;6S52mbm8IiQ6=Gwi^>rOw5=7|1#
z9O}&aQ(p8!)6L_7w>8h7e7)m+?50G`jz6tC^^V2zAK`iGCi?U6+39f?H~LSCD=u0a
zuFbut`*?;%;?Ef~-+MD{;`KOGxo6VF$Sp_s7Oo0Tbh=hG;m`i2TmzHCf`>PqxUenq
z_C(ggM2^OOzbWTcJd1Z)uiZ2MrEfx&(YFKh!W`v%d}1D~fAp4j!eyI#%5Sw-J&3x0
zz(Yv4o}=BZ*6~ZtqU3Xrb^cn;2vC)(D=%>Tx-({b(*&>kq3R9)mKbbU!e;N%Ho5Ax
zPt3;k`cW#!jJxkO`0SH@b|8pNwrYcL`mM=Nm0f(KMV<IIImiZZJKoK|+FZ^Qy;SI0
zQs{{{W+zfz_Q<u`bopyEO)qdM34FVR@6-PKcl!fxMW%B~_5U-Mvo+8=kPs2|g7Lhh
z>;{9#U+hwSU7Omsim1+;D7+%tZl2|`<A0w0@8AkK+-7(tJ74sT*!=G&ojvDvuhV_K
z|LWY=Gu5j-<V%8`jabj!&+M&kxyYdT-9+AEZt<JC{(Y8;yV(RMSiDPU@_HfBE^Pe!
zU01r0kHGy8T_%dlMW(!5y2bIgU_$9U<(eGkcJ?{bea~(U*i!uF>Q1MBMV{ByYFDoK
zBFFyh9mB1OleHvHN)#)k`FuLgFE&XxN^F@!+^w#j`Rkq(%#7w&Tl(VHO`gkp&Tl&T
z$5#2N;3c)`s!{xhXIUM5xqs4<*?jL+>}ELl?b~Ckwc2D?<dm#Qm%`s~IvHAb+2Qet
zr9xSb$^CmZ+)8&X{lM$^Z*5M}!hOdN-V8K8sB=7O%fA!nvL3X#zPK{|_3e`lYnv80
zRi0iHdUDfNU-yHbzj0V^a#LZsZfRSglV~X=xbjq+yNWbJtlIS^(-{T-AO2Q<>GWpP
zwHMcq2|KMWIGWkpex@yb+ANm&b3$2{-MjEM<oK&nwV;{(f_h$Do##tZ*DCxIpXb*;
z$)nQ2{x(O{<wph5YWL+r|4e0R+!MHVddgwF2Y;p*KX@26dBe>(=d$YNXZb&FlwZFV
zKJjj-szbVP&50hD4fYp$JC9$E*sSC|)p^36GE46=fpypOJJpuz&41Opp}#Q1@Lyo~
z43{;@Coef!ycLjlyfJ%S^VEM)fgJi5Ot0CmoF<#PIX!1;k@4*$rtR;)Jzn#xe5Gw2
z#}!*){iQFCSiF&IOqso^w%I=D#?GuqR<>V4g5PD&xDcIMx?p#>$C}876=haOg{=Oa
zd*qV$fWhycF6+jIxTqx=CgoF(Wm&Cnu}oQV<{WqWx1=kJxSTcz2ppVr`c*0KPeJy~
zS@*mOye2lBs(vZ#?eX%Md~^BYNkWw}ExYH*S2PIZ{YrAEtV?aaF=OT*x7!cDiN!Kq
zW{+Ts-gK=o>7<INR_D%c?oMVeuf$FPIj{F_*E#Lorf@Ej3OYUU$eHGD{YlGeSd{Gk
zKmWF*)I&Anrd~k)Z>d)~6OJ`_h~<BBEWMf8dQ>GYok_OFQ!h#Q?b&DV7gyV7+?M{Z
z$9pCB-0=O&cq)Bvs?D7LOzqpR;5g0bMQ5wuzf<QwSD2nv{h#&m*5<hQ^$GXhah{tP
z-*{E;#=L*4qBJ*Wzdh@_xiox-S$>e~@fRWyZs!EG|Cj%{E&9m#{>0yhI2-S7xBDIL
zv3}W4M#Cr5U6NdH37k^248J9^X{FqB6A5c!3*CSJH0OPI6`G*s;px>fN&ozE_gI$m
zt@8h`D4Z_;dUwO}6EnA*Qc;`XZ?AT4$*1R?N9In}Sp1de?K<^{7=!ss*Z%qB^|Ji!
z<`w#7n&sBNX9-=@JQSRM-sSh4M8@>jQ<`r*+wJ}~*Lc^v-5WU{7c?f#N+|!gS8Dm9
zpQ<y?y$-o{t#17zDd{_tCY2nL6#F3k;ijSQ#R+cLB%Qpz=&od$;;Sk+`?Da^{i6CO
zrZM8llJkyy<NY&f?xDB0ZeC5>xaalHBi}d-8!~rFeOs=|yL!5Oe5~(d&)>3%TQ)6N
z7Gb*J*h&S@Yx6FN&24Svy?S)>#-RJN{<R&mnAo6S_qXxH!Px=-^X7$UCYddpwNNQ4
za6<3z)DX2VU(H@mH4|K<TK;vNPQt(GNyq;FpS|c%mEE>uNt=&}${R$MT{_DaSGHox
z*XENgUix=V@2I=lU{lNGydw3|(uQ?MmL3qEdt}cx@n^~k4i1ke#_wzXzOMb|wSX(T
zvd<s8#&^Ry;o366g!FA{%IUGeS6^3WPZ9R&a$S=5Z#N&$#HHOkcHa=U`R9`R?oMjr
zYPbIk(MQ<o^13XYmo1(;rS@dh!#{WT`t55oUc98CaL#?^XbXi1%j`DR`H8+0HTcAe
zEla<zSavEg??Aed*_#<nr_Ys1a;bJ+zWAH1Y0HjzIi2pm_em#7e9lr(zGb|v*(=@r
zXuzu1qR&^<n`gS8+_-Y`^pq#URp;G|-8nxizmtFSljXVK$1mG>oV9j#?>y&qNoqxV
zbA*`K@uR20p0;p(P%FCL?YlJS9nXwk)s?50F5t<J&CT|n|4H}m<KEd-pBApzTxMw#
z7F@aeC0ELynAS(~Hy+eRSN{BRq1({3Gs0Uq==75)S9Rmh_9x${t`mMCdsHNh|G$;q
ztH1GKlE0=T&z)f|w)Da}hBK2}emz~LQejcNTc)r=a?RyaG4^)V8Gh+=KX`k_8g?99
z>iGD0&3eC0ZU?yfHFEU!Pp#1m&r+E6Ad4%2C1jap;A{DtYcrKJ9FKQNzby-!F+J`}
ztXoF&(d)u_(OvbCtG8${hkZD#rsw9n{BFVZznfhj70mBT3|#N@rR#yUL(ajcw<;8B
zBbY6!t_Eq>7UZ%`(Yn9vox))s?oQEj49T~5WZs)SD?Ef}p_#zPX70w#*ZUPDLo!2m
zOnngQ7khuvHvT2oU6`IulH33Gap$tHVa<kO2UGp>eoxqXEUhlW)YdxDL1XPBf4&7T
z8dOBp@B1x1Ue7AuxA$nDe98O^_kzz~HCKDFNVQkjMedD3*ohnVg<U&hAL!1g6MO30
zrp)Vi<gEII^%>?8CB{{K88g(}PK6!XQ{a@$aDRaluij4;m5CCQx-5#7Cv`tK@ke6)
za)+aj6|Ua@dbIh@()HOB;xxB2PWrOPCTYT&xnh~I>dX;^vX3~9)bcFrnDB_9&F|0!
z&o8cLD=S}Js%;EeeAoHy1C`q?C-2SlnBfw9f%n7lXO@z};`Yw7mh!N;F4%qg&auz_
ze}An`&R6z+cH+X^{uKYk(h<5mGJ5Camq~;zlh__QZS{op_U?f~|BX%<RfNubYyY@{
zNvJw|2dkL;i7Dp~lr8ma*?i2*Vg5nSKes+b3a7q*Q>gcF=CiZ5{U!^yIdet%Fodj%
zT6_Bb3DsGB_s{Hh%(K1w;PJj~`r?;n_8Kpr(CjjsHzLc5MZx}Hy5V`fJ?EP{)T>-&
z*CpgQRmB(S{P@E<ha+zrkN-X)-^Eq->wX5d)++FtGMzr*D<b_MDXuF2*urI3HJ6HL
z?yiiqjk={+c*{a+qUMH|Y{sAO2c;G_C#;z8r@&ivqEx=wxoU%1*Zc)*CopW$dN2Cf
zT}Z$vYT1M>mK#}fr1Gxa-f%ulQZsOI!0)fD7ngn5>9vRF&05(TCm;Py=JGb(U7A;3
za&JGQUBTu0ALpgB^RGVe+1+*X;Gd(<pIIEfTCe82?N!zFWQ)Yd9M59ogL5|B{?A@e
zUm^DMOhi+ydDp_?wQ^2xO1JZTZt-ndsQu5usDnxO-~Y3+8>4@OeKx-L#OsTsQ?=rb
z*B&3<@0vO#wcxEr(T~GjJKUm0+rqxo#hp@gp7-#UWk>W8Wyj-OLF^6zTfUS<DfO=X
z!zkkC_$xH%y#E(h(aH8ccMAR%%X!V)w)NPR)AC7=epg<0*na4AVb14U_c{Mwe&uHp
zw)$)5i_QBzkEXr9we802LLWPkbiL_(#l@43i)wbaznp2NKiB4==)v1j9ES=yB;;Rg
zICx>D>9*A6*|+uMS_7WPbr!igcCl;fW|e%biTqx>>sb1l=WjmsEnT%F`S50@A8+qm
z{Jb)4aj;YQo3GLPmuagXs#vqOKsI}Gr%uxa9?P0yo*hf}Bz+gpaM8OKb@ql{YLP(6
zv59PYIvZ`DO{vN2o|VQB$m6}T`qU4DuZGVGC#2k!{+`9JYRS}p$kwM(RPv!plwZuo
zJoUR?``%|xy=Tf^xlCH=_`&d_fB$v;^!w(W8~JwCj3c%g)7N`$(VxS0Z>C<u#uwAC
zZke$xW42FvlJ>fv!8^q7nx+RIc*n8U$HqR#_`=7y!xE8`wu;}JzM`N=$@=!nbtksE
zT5@#TOuWCbbk*Gs*^f<kl|t3{OV<|J{4h3|-X`%Q`H_*d?fim{ynRc3gUt`J@h$vx
zq9K@B#D)Jjdui(4u*FXuzb-dot$bl{_K1gs=ZpKYRz}Z*+Ri=V%(R`Vv&HD%{!gA;
zF3TotHCffY;9#hOg>vzX=l(g>jGo?y*euw$hirbhRm7&(Y}M(!zKwtF#3XOru{SG|
zv03~_aq$H91@X%#9+Y0WSmDx)wB>!pX@ZL@1Rm|t^?fZZ-tsfsAa~p2WWPCG73<~e
zT-Wr>G;23XVf+8&;T5&phnMoT{#>GbOsf48YspgE_sbhzo(tK&EG4XY+S_7Z-Fe}$
z`<Hq@IP@c_y@TmWfKvc#NyEp*myeyl>7sKz^>Ou#20foe512w;SWPhI=iORdq&Mx3
zv(D=~b2$zB7YIL;t4Q<Mrrc;3rOD4~&=P;C=2Gxcb<?O-4?-diuePi<cAv$1;MBce
z(&CHaO&!F#jlZgOU)N8r{mk|3hvNR)i_@=}T1Z@3pZ%)K*r4&ZTKS=K(v^E(y=$Df
zDeiY(o&OS(o-?JfE7exV9-hs7>+Z#dH_pP^%bIglpREsCWwNC+cgC`R9=@C#6%MSq
zC}$u(OMm6^?cPyAhdIrKX4bAz;TN40VRq*-)8690hgaPG?b^QNc+~Aqqt#kRyd+o3
zo!>9^H`ASoFSf2HIL|_=bpD(0H~ybR-)PDGHT;ltM*H0#j)kt>+=h}|F44t>zrznn
zU6$DY-!RJ6Pnhc@+qwt|?PK{OxlKFjPAud~Z8{ZXzg|`NkdCy)^uJ-c`q%FCmDwk~
zUUM@kGX2l%PjmAQuKZ<_)yf+1qP53RXhTz2!K5b-W!5@ZRd+4jzma{RNkWy{?Jt}3
zr*&&=j-1nXbjhr5f6V@C{mU&d;l2M%=>CJJn;o9;#6;g;-@4;5cjDE~7Y486ZMqw6
zE?zk>Tea?Bzt`NN3P!h7AGJGQCh0B`{&<C1erC_*$+xP%`6O#{I*YEl?amm{ntXd@
z)VwXRb{iG`X61h3tH1L$*<sPD6j^zjCAn;$H~U?joRL=gutr&w(IWczE?z^^rn>=7
zQ6GC7mpeRpsQdYML-y_i58K?-)0X_pl23?SP-A<#w0+x4wtGjzHl5IGG1=|Qdgaol
zS?$rMQ!6!DgPwgn-k6$XbNgPI;>2g`DvV2JM^Ey~jXZp{;W>|ac<+(PCo`;;ZslHe
zB02pL=XLgcu?Npx+<yp8i=W*8cx6wFc-x~;sfrWN4vBWFUp)B!&hCGOFE3ws*W-9j
zDIp=}%bg#|8?*f$s#-L(dW0Sbe{MhTK~R_enRUDMzgm=N)MPg9eR`zRyyP+CJEoj(
zp$8tac3W}8&#W?Eys2ZN=l4a7<*&b*G`~|4Ix?@4aoT+@*^Lc%)|&-CQ0M8bu4Xq9
z+0Ah5LWT^R<Mx=p|An>k&OAG8B%Lp@QfA{jIaS+|e7;z{D!Y%u8_WVePxzqrj$@kJ
zmt^@vA$8iiiFLnSO<rDgWMR0v;pU{NDu?I1?wIEv>i+uY{`}pVOo{Uzw0%-Jwk6TJ
z<!S!e*Wt(gOUx~7q{Q40tSsjKXY-V6?lexug+Kf|x2|QM$GJbt$>_z*DW#v9qD+JT
z3mNAa_E+D#$QHF=UFPLi9ZDT(CnElDb-B;+E&ibN#gk5(yk(XYt>@O7prWxb{-n#v
ztkk4SF;a5&JvPNTA&nAOi+-AC_?BroYt7%E^IH7iwI9c?-Kl#wbxAwV8jd%A-T0Dj
z@;9#EEphX<V93oI`;BJobf}Qo_;1TUojHG(uI4q=f4KaslhdO@ZTt4;)sFsx$v(O)
zfeWW(@vn4Rw>m*(b!7FXNw*J8_jGISt~PV{(!X=Re)*p{GY@j_{QpQnVCyQyjUR=K
znnK(9ytyX*i9T)kO>}~6o^ScF`#)mSHl?z4exGzU>8!BH*S!-O6K7P2ybQ73o$K-P
zf?;WEdZe}v&k=Ly(&*~PS&WwU0{qj>C02gE6s&ZQBT=!dsoiqH_rFP2Wi?MT*&3#9
zJn}MqdB(xr*Vz8n>2BP1<>AW+$Nzj&nLb!~#C7&HnYouJTQFv7i``m$>x@6|oXtFX
z&xMZL99TSIgVapzcd4r$Y;bZru-!;hXv3A|3WuCGsXhA3`m^3#FX?Q=>8v@Tc6UnK
zH>G#aNt-xDV9LT%ha4x#8m-k*ewDq(Y4Y-7?R?f-l{^=8S8ZQ#`|I9A<}B}xzVn^*
zJZf3G{c7pql-`@cQnRn`nf&ENY@u<G$GSAHnmfjP^F_Y~IvnIGFk5uU^7sqagg1UE
zm;WT^P3qx}vD|X)gyp*HEA>8_ZYs{HJkH6_-+b88=;LaGwnGZ>Qss+T+|%BF?s8$d
z_c2Z?scqRao_C8}s#<;&3)|H>9dFdV<n`|5>ipUZ$9}McYnc0~^Eq4yHnisZ>DBz9
zDd6*zo?fE^1zO#Hj=T05rtozo@m?}MY9y=KakOdXOQG27d-hCpeV}i>HRQNT+$n#D
z<;)`2orBbauIyN_-+*=J>fZGW_ld4u_fNvYq?=2BmiJ;y=FOZB3m>R<EtuD^u>SmS
zw#eY?--66vmtRlpxct;Q&QwWQiG^j-=@s$Uib89Zj;{UYe|bXVr`%}^rwZ>`b!B7s
z|F@;nO&4nE*E(iq?XBdJ2t1za)orqU5qH*=uJasLcWT)`+a`ov=3g@<Z7U<g@mU3z
zq8x1>tGO5PoxW$t9q-3q^<A)f*11_sJDyEpWt+0*pwsblvjx1D-2Iia!o*dhAxOx6
zrbWCzht!7%Z2QtoObu>ESZMrSzVrC<&Sy3cE+!n0I<$||x#XMDgk={5yk}dbgn7(g
z@%xp<_bJyM9x;hbur)MeoHMof<}QQOO)XESoJ#3(u@(qkygGMn%-7|+)UHmF&i3=Z
z+v4HR%f41n`NS8dA4M9GKleu%rKeortUT=^vE>by(lQ?2;tr09c6Hm#dp{N~^^a{z
z`PRUnA<V~mY2EY~hO9M5Rywhszp+c`yPHYVrd^rhyXPD?OKU3S?vqh&m(|>MoSP~A
zPen)%-@3K^u7SCHc4z*2weVk!o4G(|s@$fDQ{^@tQSyDl&gjJ&)#am7onQ7VeNPKV
z=s5|VxciRB_1`Y`jFEcx?NKcc&y+UXDH}O{n4euMXX@WQPw1h|$H1;HqIqlIzfxYY
zJEOTJj`zB7<In4%$If4}b>Y!tH(u%|y8Pz$BA1EUw^|+_RC~<r7ZCIDqbu+9vevS9
zpG=o6Wlpk<Ir#m|uGl@SQPw-J&z^Mlw9}jUUKjc9%s%En<KhLypt4h%{CZz<Ch=+K
zXP&T_Tfe&hLx%g5rIM)%i9&Oyy;(J*X!f2csi)ZslQmiP8ft6*V4Kf-mD^*s{KC0w
z0uu}N&zhvx^6%*Opk=n~XY*43P5%7GljRRn_1j<1Id(B=ozrht$~I}$GT0I<xaJ~T
zsSWeBgg)af`b#$y>^m1N(&Kr;f%nq953{;$y<>f5E7Tl&thLXf>-)N$54HM_on~Bp
zWRsF<>8$tp6-^sj<ve=sr+?eye563dYoDV|ce2Rh9<5b2PEXd~>06q2=Fz+@cV?D|
zo!0odVUB+B%^SIGQ;+Oe%<a9;s`kj7ufj)?qPI@Jt!;Gr=gPTthnCF@ckA-n-}GmB
z&B+5ZJXAU|uH2m9%4~HYByHNP>){4g>OFowI%4TjZV$TxkH=k&vDz(b`#NF?vq8qk
z@Kqd|*UL9?OU&3bi@$82xcRzBjR~CLEQikc%<^=2#h|5rr6S#M`vJeenw!PfwApuV
z&@DMX?ck4E-ka6jOwU-BdzQ|Bq@~QZ*m%MkRpFRsww~7I<;BW#HC$_aGM;_pjyj~F
znQ-jFt6BWVSwasLWlYI#$VmHo`nBHjb$ZsfE-m{W5p;-U1*hA>Q@p(lVh%6&AC3IB
zyH}R^?X&G7?JpO9Xi8{E{dBY=&qug#)6-IUDenvS@`|>bO^s2%Y4iJ6)_*H;<A^(2
zH{~4u$`+?)9sI%8DOt<QnXGg&bo$vS>HLoJJ07o{Jfw2O=I&dQUv~GjNcz7QNBzPh
z1%j&rrf4^Nczy6$-Y$FS<!f)lj;#y(dam1iT|CJ{|DZ?8lWpxE#bzc=P7>d_bkXOp
zULRF2r%a7KD}VE{ML*-$2_H%y*lk@BpeeJkbA9Y~$y2kcuCq^0^xmHEyS(6C!IkeG
zpK=wXWRicp*Su)@)#R)Fnfh$+btelFHn+#cnQQf((`DJX`&FCEu|I<L83*hS-b$;9
zIB|2?JWI`K3p8I8%)j;fZ=uo7e3z%Q&Dy*^Y@0M~@-^e<m*ka2_Ev5(E4|)kX2RnT
z$Y!KeYs&VeK>bfmeVNiMoq*XoQJ!zB7k=WpW_eJjN+~h<z{ZCMb$=!b{z~ddUvt7`
z$wU9V*^HNu+_Q6LQhM@t*ZnybE*tv(dFEadQN8hdQO}M#C9bCj=eqq8E?#b!Fnx}E
z#=>dhb?VJFhWT#FOAk3s_EzcE7523}F1WWd>vHa$d4<R0U#?oYUUDtdmt|K&qV~0k
z*Gk66Z?Nsv3Oe<mU49DJPm|9&>+{&UJsmCbUd*tUJ+v{xsC&cF^|iJaF6u=|eCkV+
zy|K(;bI7v71J2yP{v|Lh-MHgEPtTj`fDG2HE0vXEd^d5=GFv4bz~1(4!P>1S)-RiW
z;hTYOX;5?V|G(a`9f>ZV@0RHEYEE=wIxDH%rpB?E{q9mjlbWP0!vBw^xwAeux?=uY
zEkojqV=-shq!Se%<6EXLeBrrGYolX!u9(Y>?fI?+4t_z$AF9i27khjm_4AHwpBFBB
z{$6-r*s~zz*R9Gn$C77389%2O&6z%VQ{>BoyH7n9oSIys_rcQDHUIFv14}AC8*zpA
zas?RNbuCj{WV&lZW&0vGm1PeW&Ny*=<v-K6J{fxN_kQAMY}wJG&LnsKlKC~2v|o=(
zx1L^fPsw|B!1L};U#ETE+<SoSNyinfUc3KiE?!#L(r6p(^2gTHR4hB-B-^aM$CaBm
zi7dLn?V6?1k~;6i;^}YJ_H{WtoEWj@^RKe`(-kJ9?VcW=8*}XYKiz%IFOB-N+25=P
z`}SGm#BxIq$AjvD|4ui*68ylrczxls@MC9Mzj@il{hN|`f9J~lB9`v|ukx6VY;y2^
zZy0^z&&$70nX3xLGOjmWIUs*@#qu5hGtx|#rv45v=>EVieNW_;{PWO6mZ(D2mmYhb
zKHb0a#LmJOL96GVU(08;b^F{cf<kZJ_x8v?HeK~X;-+c-r_a-kGL7E`>?!_U^2@UH
z_#XR9PXFYWJeF*oAsk^o`&}gK_N!YWl8&WETqt7Q5^3dof31pq^kg5#?Qt666Hm{!
zdT-@>x~QP(lUl=Lonu--p}{KaUu>PyUo?T`@Jj#vm0bp{DX*mFGppA8^!aJ^`Efh{
zm;bU%e@+M;bwB!`?!aQvH9I1k=JY+S2|Ogo!c)P<Hn-33^{WLPl8<(+EBnYV*1APR
zqV6!e_|ZjGR_zCum1zs#nUa6&-km~w_l&Q-zk;j_%W9|V9@-%_fB&RsYYfhvnsxNd
zq~%8xjrK2yp8w%w#_i(6$#w4<Uj8|+9^=>SRD5Yun_FQ^LDA%&nlBeczg29SWzTzD
zaZ+^kpQtAclbP5bF^W2!b}(mf6SO@p^PuP76N@d{wqeJeomMbEDJ%H0JlWpsMP2Ue
zdBz-<c3c#;yCWyj{w(l9S}@0+f>0@&k4nOSH)^bS{`{u>i4*EAd(OnO{&<*>B75?n
zI4}1+X2#dgbE4&==cgskS|Q|8JTd=&xq%wT&!1oKCcjC0@HDX7`iAH8KsA=4AO6K=
zpECbxJzh7#oI#K28TVtxq;O@XsSXnQ52whhtcvwnxF=)3<xzgWGSzE0>US@=|MJge
zgD(y|4|qyH7Uc&#J`%Qn>-vTdR@Y7Xc-n3`%yrkX`6<#R9rO5SazXgsylJ8{Y8wR~
zOtxNnYkr)<eTi#L+$<8B6J?K?J1U=C^)4^@WTz`1Z_Mg*nmzoBFW!H~W!PR))v%c7
z^Kqv56={2&#6PU_n$4A2^V#*+WwT}x`QJtSn)Yj^O)^^+5!2Y-?3lyn?RRzBC))<5
zGoDTA9t9JZ=H&>ypK`5hqG(6B%_?S*^k4Vueje>Ry0rCRoY~$L5vywdtr6*t;}Pp!
zn3jF{pz~UpY0K31=*;m>{iS>FR*7F-<_VE=?~Es__lmk$e{ZXassCKGt7i7(%=8&2
zk9EKN_ME>(LE3KS3!cDfc^pMM3pG}8PQ6}mmSbI))(f_4#U*W-yBF-6v+qyE-@9A>
zDsTQ)xAS7nz8`z1oZz^t#^~C?KU=eRu~iPATykG&%(Ymr7RiqCV~oA|N6Pi{FE!8n
zVg5<&Oz+D6iF4SbjMgpNtiG(8oik*Dssfv@&^9v>_rBfrQq0zI?h6mU>}C2GzQy(S
zhHXd6tBzFuHQOj?)VJ!Qn1!d!oz3f4iI=DyoD)B{eB-P3P}v7}-lt7{mX|S!zbo<m
z)019xv+Cz?axK+c6P(WT`tTFgDeIDNR0~YK7p)g4yh$UqyFI<0$9K11>5(Z4?w6Yu
z?zQ+Jp><7u-PQg)@%#ImPi0+Cy_EgC<g-yxU%{=^^eH|k1l^kb8rFBMxPIlX(+R7;
z$;ZBIoU);5fsZwJBZKSwbCwmyY_%#`8>OzElfC3;G$r8Q(#(H`5(cx@RcxNT_f==)
zt~;R%r|Y*rc#)<qeB|2)`C>M$9z##w`Qc{Ac_-f8*&g-LWnbGGuXx`z>NQLGuS+V)
zNUJaVVtym!i`vncuFBW_m$?2Mk6j|Iw)I4cLGicw=UeV+#n0S5`M}RV^=CVC<~#kj
zJi#Ed?cPJPs?tNH%Rbmgc|6E6l2h5Q;B?Ee`v1X~JsZlUk465;zrMHDQFFD?y2Tgg
zu3vTf-n>^|ODk0L86$S&ZE(D%IxkFd#>Le=3qBU4-oC%&yw6*vqd}{riZ4ElTOlR?
zU1wLR&)R1bRxn#P{i_Sp-FG9VPOPFTsA}@sKhBdknS7C4k<Aqml|56S(4$7ZKY4@Z
zlA_e^HC=a?CW^7D#XVU6BBso!%6VV-32jaVVez#8@66Wj5)4zZTlIQH&guylYPO4p
zr>sm4S?u?vNcK77GVvXfu}5}&UYhlFZ7p|n_7uf)UOpNt?dA(=riyLImSny7?x6fm
zF{`DA`cwA)wq&zkzjx*FI`%nFmV8fjp0l*v??l`!y?c+Ewp+8AsC$+Dy?%4&<81R4
z=emM3Osrk?3>Q=caRq<s|94NnX!14&$By#V$2Mp@W<D%)=!w&E(frFS-f~Z46_4on
zsLB<UX?K5%VC9`A`0eOyJD<<l|BUNwS%p7*T+_r=yz45%f3Dqce67A#hV8z~_q#m&
z_+bu(So4+NxfH9-MOJKnId$)+ZR=}ShB2nRJJ3{Jy?OPA<bOA^b5%BL)t!CytYBO5
z?DvoO^Q#S+SXwMY1aH2VnK8$0amvH@yaDNbqB|aDoj=igec8b?vv$>bTCXgOo~W&#
zx7XcBbD7+XeNx9ftS0?`ZmJo#i{<ilqpn0wxhvwSb61-r_&n-r-`OLfKBJ9i$;HF(
z4=9_q{r?pI?Dp=is+)=i<-S^#%b(hv^jlEzD66;SjQUOOy?3Lw9%zkbHqu!>SBY^4
zTeV7-!mQ0F!#7@?9x~(KgqfFfQ>WQ%@wMRYbl}q17gwGpbilMezj0z@yo~C`giFgg
z#Z}iaRUTU3_SN8b=Q5+axBB$EW1ekY^Oo}y_XJ&A&bgQDtVOE=Wk1BdYz@2lM&hv3
z+r!&LCvN-Un6)j^cJm?ki}Ut79(k|OptNmQ#DyQL6ylQd*6eRs^!}*K;#J2s?GDn=
z(~s=;Vl4U<q_fC=%f!rCdM|%DO#8@`ygE9;#D2#cbrIHmM^8HLY+2`hGd9Th+?Of4
zr@gg1Ec$e|UYmW{J-JOGKSIAbUpYCeWrgCz3GYMeq+g#X*!S7AV8i3eZD}{|cDSl!
z+~8eZdHjXKnj6Oc@3?|wrI<{o%P(Lqz1J^2f$gTjF3wL|@_v_y$|ZBx9yc?$I^Mhg
z(_iJJ>YDwQyoYj*78;nZVr1#~d-Lnfn95a4jhjy`HQsDL{lnZV917egUsMHL_nDX3
zK6OUlo97dcH&2tYzcZ(MsR6UhmM2R0)Fj+bPLuX2x@arb+4MBz#jJNFCk?i}-IyK_
z%=3Y3K2yTCm$N=DEc+<?+j2dZedKck?*l8oJUYM6;Xn75ig({!uSr~<dH7tE_I>^&
z#>%q=N%N1Hu(PRK3nv~vvP`=~Wlw4^hu5iW*X_Bpb>r`Ryuej`F2MbJ+nsq4)i=~u
zpG^E({5RE?SH)<;p$s$IjQ3BE&2Q7t?YPh)7%}y#ZtI6-yDJQ*7>jIuCHSI2L*t#N
z`TzN^{ca>oyy9|EAbt5S&f`H-?(W+AW#(69jVl5h99WYc<v-l$CNtC4{phX-b&vlq
zY@Zn6p}W;*L!5S-iq+q#`AO?{%N3m{zbd@apt4Ka+cJJy#ABI#J9r=L(+`-jpDifa
zJoFiZV^2+D*v&92qlwBV9d#HwxKp2f{g*u>$YFx<^mUpnOr<ifQo?k?cq39I{ybKw
z?psrIK2#|4=a=^h%ZgOkx0~8b@?Y1M;!$2P?aAKmuddttmTH#1@wa^A@`Iykzq8~z
zwwzd&h3`Lq-TN$6D7<Vw3x@*tTVwO!8@CvuKi8U`DZ6ts=Iyyz3?FXPpNW2F7P9f(
zWx4s4TW<;dmP&hcn}aPm^YTys^2*wvn%Kty`7d^at1Q_+Q+u0Ao%L6vzdyPaTK2Z&
zf12`^<LJq*hxdJLj`SXC`!au{#*U*K?pgd_S9bD>{qGmk`+I%=JAALQoa(%uKW)KT
zy`PsOPgP8EZU3|?iur3{`g7i<DUw&0|DDotFmF<dQjU?q#^oQpc+XyMc6xpzrA_j^
z<@;_S-)tL=^R^a0Sr`^h%8z;RY54(;&r=UinYpB3+twuo8zQGLO?xqy)9#dJcZJrc
z=EYL~m!*p4MJzQjow?6&RoR(Dlkc16zuW!PB*yB^LBkK6Cp;g_UekG-wb|pPzt~xh
zK$ibSFL)e}TTEX3ljHC1cmG%Oq%a+HU$^%D-&tobZ8>t@KuBhFgzGDVBL&BI=~b^^
z{h3K}W6qSmm5v_MChBfd+-_TXZ^Q1%9gE{rcDJlQK09tcpW2hNEFCRglKXsJIe$zN
z`OqSAT0gRW#es-_6AvDI^mo2&xA4T7XOrZFOZJtUPf;^F=Ek%<Ygv84`y?$R6-6Jt
zpL>*do$~772>k6d#dL47)&UW|)CW8w&Rw6@wLW8uns<8R;lN4W1<$V5g;nZpWIcYM
zW%`x2qig0KIw$u`BU-E|<-d49oNTh##roxkt~_S?_T#Uw#?I<Xw(qZoSy$Su+nN5a
z@5AxMA3w?c;pv_DV$J2*i@qc}Kj7Ye)4BJv#CaDr=hfQQewmvpPszXhC8p5H=ckj~
zq?n($bRG9S<ENqlCzd+TDdgN@7Wd*4dw>1q$+naJ8LxPA>N?wm$0e1$9VKir3Y~1{
z)+*l)&=gs**5G02%d&p=qE*G=Rg$e%9NP=`B+C2|Ny=uqQ@nr1iB)_B%yltZ2@;o%
zck@l^oFcMNiSv<0);00nr&_07y4u0<w{pW;hL9;cPx!1|)1;Me(U*OEKhGpXorSOE
zC1$AGZnO}v(^?sxx=@n)N5)L1i5ZLkdNaOA>zY$GrR|;eycTW!4LVQQugJweux6fd
zUd?#hiF5lUyZ8++<=C~&u$kx-{&f2xu}s;3&y~7s)A{F2d8I!k;$>L%np2#|4rrNt
zdy+R#|NbJKIo_JfeEA*D@vh$a{*{)hO6c5zpGJ>+f4$ntb@trGy~YeWw_PtTQQ>yZ
zZ~1liwUp~I9>Efh$@jYijvknk<aXmmU9Xa-`3!YUwrNJ+w54C@Cw|YXs#)K8DeR#C
zRG|vBsp36dp}l@eW+%iyK0h~aPVlw~pKT*vOl6yX#gpaF>V`XymV{YclE3!l?}ruh
zCZ}(4*6-R9(y{C8CF9<`JKwC2v@_hM)p~|`^?{DWS319i`gIG+=B~eeo8{o&V&~nr
z^`B2z|DLrsHM35_jP2v`ogEx`<}pzsueAz{*v0eu_nz>~mMy7R;URXc(BWc?jN|&^
z-|jXIn{~F^uWo!XNi<Gw%fk;p>MvUGz7oE0^z-I3X19{67@D3vNwicE4F49PI+y*}
zhAERfOI!OK7e0OT|AuSg`@6P$D;Az9;Xj(W@pQ|yvq7et^1mNa4{$KL+1ft)@o!Z=
zH{}&7Z;aIh7U!wm6u9lX`1A8q)9)GI+vibSQtT4FWKXZgW`Qjm3WaXnxgTp0^zQOs
z2A8$xjb|x*y~cmo@>l(&r$U*zeo4&nYMUx@->m-J;2<~az2@{l`85+8RHw^?Dln<L
zO{s7DAG}gP<+)MIwFI4OhqFqejU-Qa?tS3cbmEMTu;7EnyR~A{yPjR_VLijKXl`=-
ztl|&MzoWJ{)>my2ZBDpd-FV^A&Ph{V$I9eI>SQIo+fl3c;Mf~I)lK~0D_-+kYh8JG
zVZ)S9Obg6KpEIPT8!ot%uG_XnPs%Q6p@wcH>#WnhZ_oexE&A5x(dNziRS*7jvjxN)
zYU1WL^WA0e;cXlHHlMQm!u{tb9d+v{<Jso!6LLnMt96y=!G9Ct74?n!sxyAx=1#oV
zRx?SZ!0*kvgAt$J2CcCwvD#H6xgcuYv)WI&Qo?PW${cC)nB6m-yTqkezuqY|Z~d?M
z4_;|(1x%@ZZ{+Vi^Ln~yzT`ovTZiws?N^h@vOIp;CFQh{nPszRM@it~FCnbj=Qou5
z`)$Af$>X0>*!oo~oAjJQ`@jA8^7lT|n_qR_Hx|sS_Iu!Flg7MWsPd4aa)-jp!b^KA
z)8FdNHhXwyX2WI4hFdGN6+Z^LZ!Vczc6_qy&50?72M>K~eR-a9+H)h56NZJZ3m)sF
zs{hZNDSISymWy9QYDS)JyKcvOmtMQwE1y1&d6d1ep)ln6hAYe4MVo7{&2w&aeQhh6
zbnxES#lE)YAB`TeS-kbnpE2inK6A!?vttZ%lE1Dx7I0&w&Api`EIFrL|KC=ZB2(cg
z&CckYf2i{!Z}0k&zQqCxcb<FPWpes)L+FfLUj4x(a@xLa)+<kmRjDvfwPm<7S?0%y
zbx#+UrXE&s@VEJE>73{`v4Gp|!l5}kuiu*B<vjo2<`#v(w`Vk>-}e@*O-MVsVAfXi
zT!rGj^Kt|96t7e*h`i|R7JtzGl2O7J`(yH43;0guHD8fl6mo4kpY_y=c~`d7TnRpZ
zs_n<@=%UQVgXKzUNuu$OlO{;HFUkK{dC>h&NH^oT*=sVj)|NSio>AL!EV?Mz@^E^M
z(i!%y=T1_5?^!36i*|hZ@c7BoE6wt^W~O?2tY%%k)A}&4$&J6c2W@}G%_{mZ{bi-a
z^us19FI+a;#~-cL{o*Zq@<-jJ0`VmV@6Y^@aX1{jdh*q`2Yk(3-+a{n%^UN@YJ1ax
zguDOi4=ta>$sHLTBzxoRJXt@h{oTvgImo1cvZ$_oW!JZ8<IZ^1xHHGZSv#Ht+AoxC
zIO@&6e!{PLZCBRnt@gh9@qPD~-n(KaYmINDEUvt!UYF{7(!}GZ-m+gOU%pq-ymRA-
z{OmO2hy81~g^I4^YzSSFaeccG$BL9FEn$tV3$}2E{tF6SXd}G;pnmb^DWQgOU1yf8
zQqohsyR>&k!pZ6{=Ps);saM1ua+tT=e6{$3u1nDiIP9nX;#zy2z2A3Ev*Ux9J(_w=
zJ#kJav)&p<W<DqlnOk$FvWt6ugv7@9b+b2eM%$g+<!8$ILMP29qI{EO;`djc$v=v2
z)~J5TS+CO6$o{O_%1QF_>p9tfn`QGKG;9;+*c1OM)Mwvslhxn0i9Jl&GBJbaqq7<B
zUhTAKlS3Oh+hZ9Y=Fc<m4d_{?ZNFQm(A;?`$FIXHI?B~cN;~5cLfRHJ-cZ<c_UBu7
z-z_TwYDG3r4rBiP{=ngepnb;CTyGTePP~W?X5T!Y@7IoHbG0YLBveiBIli~a&D7b0
zNpSYRn|Bn2LR2ms3tE#N;Qn&rxvNtwgqq7gIT~rL{P}bLoSs>2zdExcUS!u5PAfFv
zO%V|OIYnTbPmce(<yt~Ifq#{{n1c*8Z<U<fvEWyX-=t#;602s3GVCwiEdERA$|cdu
z@g35sv5zjWFZ?SwBSPo>POC<Ns<^V1tY@?<f;LZCw{NB7mgS2dyq^9{{qifhs$a)i
zw_eDMQ+t?_GyUlj6?KVv-FL<TwM^|r!s<3hRgGS=WS!R3`0{e2{QV8RmemnY99Dk~
zc=>k8Je7aUH&*4!$tx{?6290;kkR7Bo4OC%Ec~WCl9fnb&}w`l`DUVQ!^wO6PO{fF
zHTP#+I`1$1a@W@GvTI%zj|3eq26QiHx~lni@w?p02tg-vce}S4l}lyzF6BHiYg0*F
zjm9GX2OQfM%9e3m?+Z>mvsHUd)@3b*sdLp@Q^T^unMJnzJ-Yh9o~96Ho435je`;<y
zJ!j%33wDhN840hBxmCYT?%ZqqJ6~(+@2ZQ=>{oBvURvkSW!<9RYIKqNeqsNWuy4h7
zOLJCwKAfU>vXjH-|H`>m3z_b;?fAv>)BM`c-&Kp>`tLr{ar1ZLm)?byleDkLOnEML
z?2YIvj)1~HDy}j-@n)(G46<zMW#x6}+5!yuPuzLCNanrD=|r~TXG}MA^lx8rm98sZ
zX7<A}P3HBrJmn<WyBFgYZlC)yv;RkR@WtKnDcsSS-f{9dWfcd0w&?vm`nB7u{!>`=
zR!7Bv7~UoKHpKR%Y~E`0deOsg+q?c)Iz|US*4g*kSo-O*;xE6udWwS{JzT%T)MLhZ
z-I|voB1}w&LjvZUJGYLnM{W80>Ym8xBTH>-Qyz0{<1^;DqxPvkbke)%`r5Vnq8&jg
z&y5+?zNLTYIK>&vx}qa=)`m46o4L+OX8z>3Exk9}iC6IJx{Faa;%_E}dT`u*_%O1x
zX4i`{$?ugDZ6`evWIEpdT`M$t!km9%n|!wfo{YUZ?{LY|dPRo6!kjzJ9$N|S$mx8*
zkaf(x=X>p8$s?EdZ3>HdnpVEU<HkqZsmCU_hKlyRopo*J*$J|u4~z2Hq}i{l+)#+)
zdB0ZIaXR~4@g2)=nk1ZA(rNX3)jB3O&;QHsoi^Gv`}nJqZix?~W(6NV>s7kw`TV&;
z?^f$i;kwV}nzTMEc)7*xll9AM`d`c3`@i(zqRAZQ69Vg!e$^c|H@#pXGOzfP=O6Fl
zKg`p%+IuZ3W`3BJ%6f`DL-%`G>Yddmez9d3vK~(BefjB+fk?`FLG2r=&25s;R+~uj
z?nqzwspY(xy?o#H>OVrS7M^PSn$>r2T4Kv24XuAmWmj5Ec<;LQjlCvI$DbKNhM(SF
zS*IfNaNC0AAsk{0J$n!2J!{z*m_7ZS%_W9i0W6yh^gqZQnZ+=7>hr_vbY_UE`aJ41
z_DtUObjB`$6&nJkh5WR5-e=mxbV5{NL7NM=M1G@QwZi;KTmI}k5w!Nf{+1(5GSkGi
ziwkefQ2HAE<L4Ixr#BOJOo@M?!Y=F>D;W1FRk&u_Z54}zRHtPgwMWhuO$q;Aakl2&
z;V(1lp6TD)?rK+kR<zmw65qp)GhDp?tnEJ;ia9mzaWgvNkon?oeg58k@fS?hjWV7c
zaGQ5u#`BEUVmXO7);pG2#m$zC^1P5#eEV_6>-VdoTzn?4vXI^_aqiJMlcMSDFLv4`
zY+TcS=*`dPjU5ptHT74y=PhX}cU*JmxtE$$vgxO#I&W^aZ~E+^v4>&%rB|W)v&DAp
zyAu%Zf1+u|+4?9RORd#WAO2lGDRuX!d(fren0ey2A3NCYdB5+<x6*?%es?QQbi27^
zv8=Q~ub4?fTZ6d6M%}1qIX)M*#ZP*|Kk1xh>Rk5wGILW@9~QpYkRSH!%$gnh7&CY8
zePsOnvHG6-jc<Db6<(FIWp^2t_iYd1@_WH$r!s5XbFLLXBMt5xdaU?EPT*CluCU_1
zQlBkf3+sE%zU92<HcRZ(o5Xs?n5+P~#buQYp60xZH=hv+n(DPJW(lv|`UBB|^Ef$f
zZeGjUu{}F7>9Ey7nZ-d#NvsO#GEDJ{&cB{kXvW#^=E=<VZ%)g`)S}}(_aDaZTzZw!
za+)>cOy6~H#HKoK-8Jvf!$bA;yh2GVZ*KfFRXNpq|GC=4km<bt{%2`;YJanRJZX;8
zvcSEz7tbwT(!HLe;KH+A3Tx(m*%Vk7z$?6PZvQ4tUx$nTZp>pc-S*?Fz{~6Dk-s1J
z?wh%_?vI??^ON5;R3zo7F!1!H{;PQ})V$<J`Dc#mm0YjWFTYyybk%~gh8<_^?59LE
zSW39}Z4ka%b0Ua`(PiJ&H9M`2tXP&J<-nC^uxoJ@=f$&Wg6cO|9OY*{Jors_-NM~z
ze7|}`rdf18xWN!{b)($vmx-%iUp%)%<aCPg$MeN59$e4vpL>7l@Wpvz3!244GG(*f
z3ZATSU$gJS%o(5EmOZwg;#kTf_FwiEXVA`T_joo0?~QjnKAAcGhVOl$j_=n#O;!8y
zvi@18WGCZ833HE&^H<bl#lL-UZH-uau&2$Dubmq5(X$t5tXx@q!SmXs-m*%S&&w|I
zKCiLKyUV|z(`7+$&+$!mb3ZC?p1MKioZOe?8Js4MUPos<I<i0gR>_0v!g~k#PVkCx
zi|g1;Tc{^}J6Usn@pKQiABPqQ-rp47@6i<UDWz#?)5oOv?pgd*lMZg)Wa?S9OZ0nZ
zX{_N*V}t7&^X6JbgnQV3{r}}}h4-F2-^AjN?w$EiGi;@swRCuI*th>VVmtrIu-n<J
z75S+CYt@=<zAk~!zaNrV@KAUr_o*w+57!k0u(TQa{(sCk*Wz-h`-wH8{QUiO>iPu|
zPrj?Gqy~Kc<T`!fijKE8Ol9_%RlbYUlsaxKdR+I)U(b(qkHW(vL`-+QpK@il{8q2i
zwihN;hCF()_JY)lKOT{Z+jfX{G=_X^^DT{g_^`h7lGl_cng?5SZ(P{%*Q#B2n%1OL
z#$|oJXHqu)ifrSHH##;mVPo>+Dvn$}TRGl_6o-E6DF*3+mw(FNwVU9O{oHTL>2Irw
zcQ}Ren=k$7Cwt7MHNu+hO+e+L$z?f#H;??D`N(q5&V%!xZuqz1Yf^S{-`rU?LZ;P^
z=GYz(`~7=QcSxfd|F^y)Y79RXPu%<OkmrY=-;396T)5uV{>~Fw<-f6}7w3BY>({<h
zE4h76m%@^~wK|{Fm%e}bH22My5}&nFj~1ECW;OYp_^`e><ILY5!7j?lA+zoJRkpiD
zElFwHU3NVB@r)l2etHO8^1X5Pz!AI4RdczrTOy`iUf6kA!AO<wx6zI4{f&zxC4Mtx
zvS*+3nwoU_;Eec)jgL<5JDw7();sUv*JHIEnX@z>eCN9BoGu!AI{w00-~9YZwVqo;
z5A1xv&|L2?CgoSKx@T{|i~IX_M{$XV_wT;U{d$4<%j!9keqNJ2;t_gDu4S40i9{vC
z<HZYHkJp?&<m;QU&+CEgq13sLzH2YGY1-|$?RLlF-&YPEti5*gnu+pWS-yL7m6X;m
z&A%_?_2AJ|Zl~G(T9*%8nB#ll)B9%%2}eS|nZzCoj%VI8`)c~4N3~}z6bO}1PG~LG
z`f2zwYy(rRZm8V5IX`t{Id`~R`gQi@$tx0lC-3L!Nd9HoUY#h*w4VI|*XL{eI&sH(
z1zzrp;PGCN*AhMRj#yo!+5N{l_cQdm(k5i^J*?Wi=hpjQ#&hCUwj2|Wzw6~=mEUsG
z{Kb)Xuh$j$PjOf#H|fFZuHBzcu2ni8{Ol!%XT>9d&YHiy5e^4W^RgBxw1lqZ*YK0}
zJ0yShNr_QW!x|~S6K9zM4p!>lz4|%q^A4}rWftq>Z>;*VYqO5ie{0ce&t|Fxb=&Sv
z``2UGQ*bi+siyqyi%z@GOW0qw^Q+6@4$lof6&4+HOF!(tnT<wv(djiI%^dSqZ8>vl
zev4+GTf=ik|Eh=2ggsKX%QMZ4O_#4KS~1IRlSrHEcKNq+qV3rwB-UNad)is!UUflf
z&iU6(7fx_IW_N!3lf%s>U~iU_>7|MPKGscR>b~w36Z6#S@tX&86o0O&IJaIfdwuoN
zyyms9J|%PA4z5?NRt)&_yZ6=Ko2_Zb51hFDZJ)tCUxBFWDKqV5CtqqieuzI>;2KLy
z#mXL&7&*l+DPQ?Qzr9@Oo^r@^^DBktmHH)nU-}B>{Vie%<>=8dwynA+D|ck&skbTD
z9=>Av8hC}hNatC{u_LusD<db*{2dro@iXZ>`}SYQk{C{jif`Y!`I@~K%K@%8Ik#o&
zFBKivzSzA$vVMLE&tK;M$FBIC*65BBtDRu@bj|baOW%5Su)Z<=yHwu$NkkgQeT%no
ziJOkzX8q2%@5_Eqjm(b^o^&3*>L};^MmNx|QX^hw={!fSltlkTQBH&UoD9<npV@vN
zS4thtzx!(~Q&F+rvzujRJNXRt7>}Hn_$l5u<=)BAx6<dYH5}O6!`%C%-+wZDvG^j1
zuun^*#Bzfw{g>ygwJpk(2@RJy@cF3d;&sQx_cc%c9{9&Ib(j6pwfyFdJb}K>7oDd@
zlotDX+v{FQ(-!*S!!yUh`mojH&-ZMmuhS@gRZ(hMeYCgflx^}U&bKer4Fan4?D-CU
z)d`pW5q)*_b^X~n(N}ig*V*mOCOLK6?&JOHYl5Gvo#C6&`gC%{ubxXU-)VoF%(y?+
z{=3$K<t&{Y%oA7d4!&ct`|g1qFEi859AjRwI_2Z7I3|wcKHb}uLaUcIR$qDct^B|9
zyqo>IY~qfZmF!xO9%b=ba#HfgzJ!|CtW@d3JIO9*awV2EaTzw(+Ag|gzwoi>>xMXI
zq354%Ef19b{8;{?T3_CH$Km+jx$}NsYWVcPxT&gFtJU!~(?$mOtcP(NE?EcPf6)6i
zarG5>hsvKHYPLN%u=~c!<mee!We=SF61M#T%fd?v;@vhi4?EQ-v50I?+fWkO#K^Zh
z>TW-K&EB)`d7Imk_-mH2yff&#s_x)gDHbMbs2nY`;-g67!<rxEQ$?EE?(VZt=+>O;
zx+#ETQ?cz-WnEVOzI7Y-Jn#6@diLz4m3P0@HMXYTDEs;BmWvo;v`%qz$DQkGH#l$3
z4!$zw(Y183sNyLK*4#+}x2MNF@-ER>FZ*=C=HipA+I&5;bc0=sYZx^=a{WGRDqYq8
zR>68nPIHNg`m*HfN8Sq_iJa*aqn|Bd=k<PDv_L%%SD}orfP;R*ghb1v$d;cKiP?oI
za~X`5No+~$ydw9-McldI!seWneP*}fvUH`73AOOut2JGFGGOy+Nwd_(Jpr2UrZgO~
z>c1J2^k(;?>1ju*)@n<yDgQaM<qW4}Q08a$i<yBlA2bF(Nx50P$#%Zn66N>rcK!eQ
zv2a!T|A_~u?q8U(n{$(jaO?{)qvhLwdvH!{nA^#p?Av~A--4h|7MEXkg_*n7Kb$)C
z%+dTMVV_v;7jp>z+F!);m+#}Yz4w<Ft_<DFw@fMS<Mzt?#aR+5O}$1Mn|9t8u=uia
z$C~T1S{Jhg-+T+2_vy9E<JchSh4=1$5`Xvm<p1ScuE;BdPhL0W1LviEZ#w?ocvYW~
zeDlN{xyh}&=1nP9=iTJWyh`Z8{>`n6lWQc3_bgg@so*i&?WVxQ%{Pv6>ilN@RDM#q
zRyyO@v^PHHt15N5q@Em_+@rLs@*Hob+X)@H`=7ISB-p9GzpfCi(WEU~l2tQJ+V#D<
ztfbi0JE5kl9v%>$_A0WDbCLSvN86u@=LK@!*SXDhc=r?iwAWjfm^6L#+re%nrEh2Y
zc|w7A<n8nCuf8voDqE3!ykmXVrd6JrKSJ;BaX*rFVewO2r8yqSp3Q0YW~RXm^Bq}o
zniMWp)hFeADZcz!H^#c>M2NVO#7U*_9)%vCsviY^+}Cik%&LDJy+xmgwd7>X15IJE
z7nQr73UHf;Wp}d4i7#C8U8KMDbl$eUV7B1TyS4dSI%D_l)%<_4l`(doOylgQkJ4+W
zabHcjFI{t4I+lBu_6#x8z5CvCt-1HtRw98}r<`+xRoM~FQ*y34M;U5LFYnEj$vSq?
z_`@{SrpenDsLQjJTWNXR;WXA>(i!t{2UpIjAGTTI&mWlBt$3U=H>#`Mk?YFmt~bo3
z0kfV-$twljSnGDl#xXP5X){+|*}}OO4bJvVk<+u2==9L)s$XoWa(ds6OI4=flaHoc
zDBnG$w^Fa;jPT)Wl1#h)J5Di6iHL7saUnCPIrAx}L0t8{3+pX2<MZcE-T8T;-xse7
z##QI7S=?oej?7&kJiU4Q#^cM62KGJB^7Xorr@KMeCAHOe`(38bhs`!SsB9Kxyy(vx
zTEv-UyDh_P#^uUwOj8aVpVxgQQ$}`m(fJ=M6#iRBJ?l5!ys=us<N3rTULS<B8eMoc
z^IC+Ob=s|eTcvsEaMTIrGw**Ef2&;Dz_zftEa~w6+`X*HpLN_el)XQ9M>6NUY0c(y
z3mP+&el*)P7qw1pEo%xnJ0rz)e&~ZRf$bl955E=5;8TD0+pSlly5X@O$Kv^wv!ufq
zYd%VE`eKo{eEq9+j#6_NKkPJh<(lw8Mj~k2k<|3>8=k)Ucdw%C$b_)sjdF?ot9<0y
zmhH$-<8t@@dF(Qek-=^0{^*oNeVh$EnLGbV=RW#v+FX6a>AA}{rlK=b&aKP55R)ym
zF{5S9XSXZY1d=aTYc1h$Z`}7KWRFuGw}8VN|9eZ0C&db`-L9}sG`@Z6Pk-N+!5?1D
zU9~7BL}6lCZ~TmonmKHHm+ap8YGrWN$;r1ThqQ`4cd5Tn`9SJh>)Ob#4vZ!4;t?lg
ziv3n+@W(Z&XQ{HDootf0VDcAP|HL5I;|m+7Unn~At0sP03)5v;=>ull;^$YtsNX3T
zqjKhsxt5g3p8r|T<Bz;r5!!ht-y=1W$xiyzq^0}S&s9{r=cL&#dsnMrP!Q|tY*{k-
zq{Yv<cC(Heua`A<Q+4<-W!0>CZ#-m9W-OTGApOed;NA<;-;y|=?|uA#bDwQ~21Dx&
z>xxwO*oC^2Z_kgmOFUt>;Gn?DkPAD6Kk_`6OERgvaqMl(tlyh&6!1PO&he@G?UgW-
z(X`L)=oS827eQu`&FlW$<yxy(VS8#(Sd+5lU)RXVTiyDd)28fMv9UdKV@JX61xwd$
zkXd86;%3Hb?YD7G+ihox*WEp*fAG;KzMadI`NEz(<cn4_%Vya2ZdbO$9OfJRe#_rl
zF)Oj(dFb;(#z4m5zd-=wLfINoYfWx8!G96{m#W^reeK;ZIp?x_lb~4eLMOkD4c^SB
z-!3ZtqtaNryKU9VcO71{7hhLe-nqA!VgII&>ixSzV?U{yNZ-h~Jx74&;@*>H8-9FP
z5t=jMMCv}~C5avmCL7{sEf+U9Y<MAYnc3;g)<3J>8hQ%`S8fUYuWPidY~d^Q_w&6z
zwaK|(-jr7UFjVd*)A9W42bt9k!#MOKmUhnLSzf;5M-$^**?aD+)%VRmwwS%l_7yK_
z^tq=}wRC?g^RtU<!o6)iiL`7FvyREM&-HxZ@Frkq{KTua5wfL`kK{FqRMOV|@b-w$
z>GSIm-TUDs!`$jgQbM;=)-F!dH@Nh5iL|Qs&FeL1&+nO_eBsHR$Gd%e_I-_iGHJD?
z_l14W8A7HVToq@x-0b_RNncM$ELc9bmt8Q<{fC0p4(seSSDSX}H8hJEu6U?5W&M-0
z0`ps$=P6Fr<?)%bhU3%ijpBAM*Z#g>z46%k)uQ%)`}3~v{cvMLzRSITFQ19q_SSJr
zMb=Jf*1O8}Ui|0nI@yZ;>IG$+UwquZC~K-*jqGU+wKtm=r?iDl;WkaH-SBnUAK}sm
ztL>h-G5*=Jy2a$NI!k)K?-x@p<Eu=keXWfQHTF!Mz}@L8CnQjH>2FNHQ%Q4y>YZ;j
z&VF3-^Zt$7)iHf1SOb|;H!oircUC0+Tga{_g)!yqvKs3j+p&1qs>IHF7q=xhKI_q|
z`}fl3O&4&E_`SI<efN(q`?=ry37&cOCbOZdKxV!|@oAs;<*Ux@QMl%5l`5_y5+E6y
zxh6s(C35=v4;|T&Qa=_*b8NgAl*QrwrPCrS)5V;5dT85L-`jKVe!HAgvUg_@tKgyK
zn|iCf_@-Sux#D2R^##pQ58EAIxS8EwS+wii8JQ*F_hlAKeLr_T>#up;d*iJi`g+_y
zysB!H*XoE{%)NKNn@yh^!`k)wAEM5?Pxf9JdHnK$C-ZaW{{0@8?{{SL8FMlJNozzt
z&C>1vdm>9IE}84?Dy3uW%dc<rj_WsL683tNT`@yJ{=m_TYm5r#%?z@*E@P#0cy{2t
z8I_E8R3urOmj~#s3Y{YIZ}m}wGs~wbT&}g=z!Lps=T7S*2QN9w8VDy8E*0EdBDX`y
znJ0B^?`4an4jwVfTzO`x>UlWF7k-;4b?Ajc--XK;T<jWDCQsdLzx>g1rBt<Fab+{!
zXl6S^e&*JDo9C_eZHrFbp1avBZmAiE@?AnZXEKK#uG)UYJ0x1`h2b{Ajdt2i*LFOZ
zr7V8g;#YFZ!n&<ep@o;8U5=h=-n;bJ!G5QM(-=BT_lI@93g+<M`XNjHaL<`?hu2|K
zg7(C*zh(>Yc8<F)rQ#<#c}lBU(&h9Omp6TgTk?)&k~D8Zx8(1{u+Z0rAG_9Z*ohhS
zEAlxkiM?n3{dDFMUkTj>CyQGzcbngOpDxmUdRlt$L7PK{R#mNHC-3h0^q3>o$)s(H
z*0SzKmJO1=ZL%9wjz4ePKJ${XxsT4Hl?F@)U;KP>s%zeiqBP;dzkUezT(0zUJYLYT
z=-b!ezKWJplKT{R(|Z;!P~y8MX!c6}yzPF6$*&z5{CB?an_SveYvf)r*?IcfV~b2&
zPX7NV-0^>z5a(xQ7x{=)5yJPhLL*e>@AdjAeVrkdySCnC_8u*VqJKgg))?hTo|(Kp
zN9k-p<GEFyM!Nah_wr5`X!%vzy$^bsSuLhG^<0I*)Yp=izijV0SF0&tZ*gIz`@`rv
z=RN0tdw=rLV$se+4~@>1yxmjY&m6mR>lei%y)&kns+gEuD%70zr{TI}(g%(Y2P8TE
z2Io!R(AXdA)w6njkl30?vyTUFyuBNkzhKWI0k3~MnSU{=2KQZh!DV>-*Pkl?h!^+Q
zdCW0wf4{0+Mp3|i>V>j8wpj^WyCUaIGizt}ot?96mQaW1h19jbSL?;>DOJ)F{~Y@2
z@rB%4Y@3Y?4b2Ur<GJnZ_xo|BR7AHkl@;(Q$?N%wdfYpF`^(jBX?sp`v@v-uzIySv
z%klNE<m$NCc(jj6_dVy*=kF{o&iV9gOK5#XYT~>p7dkH#E}tu7;_V#IW*?vsTDpn#
z+|vCvN4@iSPnEu&V|uTnMpyBm;HekO!neDA)iD3{;b~!j>(m;C#@3KoR%s6}iN2G$
zXud|l`p~C^8Iu+F#5km$63(!4OYM-YpL9r^DPp(9=cmsTdTtj#-dFxM^wZ393u790
zyM$k<{2|b)kgsR0r+)G4>6q4y;p>!5R-IikcRD*m{l_SKm95Wb`LC(B6@1hlWc*IZ
zpE>!KQ(KFc!?su2jhkeno_hExluWmIx-tH8yP&jZ&xREnOK&#3+M=r$($0J3sbvHo
zL$S@r6VKRfzHEFvbC$3EaW2#D%zG=3rC72ob&A@O^7ky)hMEnx&j%DdUwk`zrv3`s
zFCuegx1X6ivvaP?^zeuokMC!F|F0asYMs`M)xw`yn9~2B`Zq;%@~o04?3bH2`x|?4
z+?KxNJ?WmaW2$u2L8rHqnQWcr%(04lu)I+5=_Ijt4u>jZUWT+ymFU;>&427yQEmUV
zVZRLfnsi^wj0B0>DOO6wvF<ZxsrNi{dSETLxHQxBm%(LWg_yl>mR`Af{aCwqeU-6F
z$Hy~M*SlYSz1mQJ<GUY+{^kDPwSsBFhjY6V4t;r1B>CL7%dR7M^;8Bwk+e|vs7&9l
z-C0F?pA~bo(!~5at8}$iirjWLcv*Jv`R(01SN^TY5x93KE^Pgub;&1p{cVnjv&mU~
zbFyt}i*ZcljWZY8zvPKk2A8DBv?wmIydPm>ROMPf@rjAT2e<z-9h(2Ata>f-{mu!F
z2APFMKN^iwvo8wr@M_EveC4I~&G*_i?nBaw>%N*B*>C(eSy(W4JtyC<+7&yw8IQVt
zI1{ZbFLm6hWA^cFGxSfX=+8=z)yp{<;%0K>h|A2?qU<75zG($WOg(?3+oR%gwrQ4F
z&a;v^iVAtxw*6MRV4uj&yx^O%F592SOciXCv#)Obd3J-CuG@qh$@hr?+U|v-mvY{+
zv%V9`4$&^~cIDf~D7|sn<EJy<8_rw!X+c15*XP!){<aMtJNGZ_Tz-u8ClBj~t~sB#
za<I5um^0m?;avO5wS2PMduEhx2|BHv?c&U3cmA@0p(gkF54)3`)R@-JJGj}p=G^{G
z9XD2GmZnGr@!fc{@9i7sYZ{z;^PC#hug$VLdxA$!Ww+8auO7XgXNxv{yR^$#ZHj~H
zWtaQab3IQh#WG*xV&sll^j5FRGf65p@|;dg)vdb`i!Z!?$+Ol~#_%!AZI-|Qf9E?>
zTisX2X!3p3Q+}7+_geavozzP`{{xq}!XEy-&dj(rYwbSuZJN5foL4bF-)-ZN)65zd
zsQG5~_6+UUD${2@Uf6N|;&G#`mp{*7nQ{2y{LGs&Zlc@%-`u%6bba75t?i=C-(wQH
zS1DhLoquPim%#3uyj|aN{+4fX3VCs`_O0Z#d&}RhTebLT-TgOrTibrMHOb4S?vY~?
zc(&?dIhR&+-@>X!9-Yg^>;+uqH&w#tX@<@a>vwg}ymEy@lGDfM-YwH3GtW0#$h`a*
z>iwr?+nxC{z0N1DPWE2*V4j?qR>1p{a-Ppu2x(Z%X9-C=wNOb}ka^y@YeFrSb_XiI
zaAssQ^(J$F`ZeQw)43O~F0^m`t^QeOw^55vrnu+!(;W&<L4uw+LB}{*c3Uj@y1Xvt
zjHff_Lgt0+d2x3hYx?y~)wetN|6+Xaqm(q=s-)EG`AyrrmdCxH!VtCg7K7uAMD`_5
zl#a@|nPnK6`0%b)J$USeVZ{7^+h1-6R@VLB$NncPxSId6Hq*W;ZxsfE3!nRsFPImi
zwKR36`++aLy99Z;+fL=2ySki(b#AY=wwJQsXQjLwjN7gRXIy!+#`k;JWhGl)QKpxB
z*KfYGU8_%z`I|tN??LD4ttD%9ZhUrmS?F8RP!#%KXM^%ijXOQkjXpPCPP^N_t3km*
zV$ZeM|B}u{(YIbZ=gnMmZRb~!*FtwXKd+p{p0)JN0pIA=2Rx_BK8y8#q3F8g$P%7R
zw(|#+d(<mgMNawiJbeECvCQHbvl{N6RjI3TF+R3<>0K8tTYWZBhV{lllfy2jwT83o
ztFvBWT(CuNN>y*<JEs%oS}O|P?VseLP-8eVQ#-{fN%1MmCdtJ~<_C;^PPrx@SgCsX
zwf>)_OZuy3{C>Vp`DONHl>+0NO3zBQ<6~u&*K>!>m7TNwsp2MMiTZ^3>*PyMXqbLg
z?(y>$e5zs?oB!y)on7QFNr}aiCNF-Vd5uZE_Q(6ppUTT0+5K4=(0|^w<&(3Ocz)V8
zJ+E><o#U(XFa4c8an0RpZ#ec|=nq`{W#PkFES-Y-?4?$EFHJdPQx&rvmEDULKVt6e
zx_|H>mqn4Vg^Xu=Bzx|PJu`X3-2<w<l}i6G3Pm|bsn5^d(U4<z>`TG}-dX4RTtpcc
zb4wdYt!`sxw2<-JbIa$@(;I)6P0RE--@TLf-@f;nh3W0z7;|ixE;)<*?bsf^?|a)G
z{tJy0+}P&(Yn#Y@Kl&?9=4_(Q8b{9S3g-*9@>T{^K3%$XTCO3d?S3Nx>kY=mb3Kng
zJNAEuv6V3kYt%l+^9t9LoU{Ek4xX4je{tTe8B;$SPjgbXjedLkLy6TpS?>e8`DZTr
zA$w8Sf2mzyfdNmTfTX?oGOL*PvrEIcC$K6keOn*$_n=PT_4ozLUjGPHeE3sZ>VeyV
zCDRoDaGSRXFibg?bJyy7g5SFZR-%p5s}|RAW;{N3bG>wnDU*J-c>BG+2~s8N%bwLA
z*M87;FJ3pU;9;!umlXBM7uGpHShK=L@!j6PJPYq+<zHmlzOh#?UE-tD&P8cQC%;=c
zY1wY!n+o5y&RI3(VSmBSqfGn~!IPQpTyPBVe-~ch$7|3rU;pP@X-~~Rzjz<A^_ZQU
zEN8VMRHoXO`TFM-d&_lh&UyRN@{iq74b5J*82*U~J~#7}KRnCtn#=d4@CnCzuVX<u
z^9vWtdN)?23NS7>d%5$L<Mz-Rj~d<lzd>K?Z%v)&aC>v*il}8%>Nbe{5B@gE(pB@N
z*YkY`a&8oD)hgrIbF{@KAwRI_WOMLL`vAS?aUm*4RjPesgn!!Y<l1JMoOShS@Zq2`
zc|+Y7VlL)y#Y(H!t!X{KvG5fC0)bc|jjWyT!@tdX>%e&F$CQt%(c8oB%?P^r{8+5%
zY556P-b#mr%-I~~`?qjI_L6U5;jOH3wf>B&{kI;OtE5wP`)G3KgD<mVuH9xhu=j=G
zjP7YKTFQ<bU+emKTe10zXkELbyM%Vt^)*j;9HwEs?Zr;-nLf8CB!<0dXN@=HyE}Et
z7Ofv9wecG7-n&XoJ84nVrZJg;-%x>DW;ScO7^lebPy7A8r5B}N72R<0KHn~GO|=)f
z=Yz5vGY|Eic73M1J3URlnT<#B!S3QcC$k*#q&|GQ*{QB(e75sZROiK8%bGo-t!19x
z|0g@a@Qm<}e=~A&Pd=L}$7yjT?%$K?H4bL)ik(CMo-h5i!vDbSjZ4=aEH7H%H*+D!
z#pqqZ90xiVKD_n+Lgb~#r53fRV)n~q+|qANVd7!mxm{P4U8Fd0e&gBa@%~(qb%|!R
z4bcJ0AIs88Vs_>Slr`)V*FIA%;$3g^>U&kz>$$t<POpiZZT0xE=>c0#v0AO@2_gL4
zuUrpRT>i1;;PsA+m0fPl?uU=2KTQ#M=5SU2U01@U`QkjcuPuA>y-+tM{MF;CkVhN6
zQu73~p4VJCT#<3<e$4rmQ(w<M`E9=P7QKL_M$WwqMH|kSyKODVdc-wxUAKGg<y#CJ
z{JWEcCMGYt#mOW3A*90bU(%e18k1kmm)pybT)#A|(&@x5apALuBHnY`7x%l&xw~Y2
z?{D^V6F*Fx^Sp2AF`lsh8DTr7IRt%cpS|d(_a_Olzqu0j=N7vkPZjr?nep%OuNO<B
z?|yJ-F<(^t%_ZWn+lA-ZDX;aIG<i<k=y;sD{6>?wJ)<+X_6xJU{tPbvXGXnE=J@O3
z%>1S#-A};poWkq*x2C19xwZL93g_kt{qu!BEADYP)%sv@i}H);C%?^wly56Py}o4w
zhs~u)n~fRWKAP^H7uUR8^nue^Pot@F7gCK*9Ced&y2WEVC1_@oXm*<sU;UeqB&)Ku
zMc3x$uex%zF!<u<ITHQzuB{K#>3y?3<Bo*oh2y*?wNsP|w{E{EvHiEhP0Lzyo*ga~
zzKK@%PH3OYmTqRPix*p}!!ch=UC&Fy=fSO`TW8F^Qh#)pU+qn)6w|*Y*JOU!$m#Ff
ze0ud<<MNAUn!#yFcC%JwzSa|S|MXmX#r5@H4lNM9&RpI8OOUh3VUI#|%^Thoo1KyZ
z7z@_!`t5zYw65OVvXG&I%PN6olFCd$v&kP1to_@mKE0n+ds6DO+h5*Y`mV>gs(YSl
zu;GteS0&dj`#yuyRq2TF;!O7@m)YV1*20ILiTG|$*ygpW?8k#_&-)dkobh|Pbp2P)
zfB!kJchQ6lgOyht#eE;L1mxfQ!F9z#uJvKsY466z8%vfsuQLl|=jlD5n!kMN)?=Iv
z3LEv7Pv3gZ{<H0ksIo_sL_a(-3CwtQx8#cc)1nId`)BU{WNl00=3)4@?pM*<ABvi0
z`pn+yp&K;sPViW0eK+Ks%kGE2UmBiVS0*T);8f9PxOm^R?;&-oAHV*QK0E*UQIr2E
z$5~{`-1?Z_h~H{RUD^2Y+uJ8|Hb0uEQ@eNhotKM4na{owo)+>bVd^&5k9mLooRTt^
z$~qh`)?OjT7=4RpcY4Va-tNiK-wmICK3Ja58#E#E#73^lce5odrbgWN*%Gj#FXFCg
zT&L-h=UPb@UrpgHW~|!C`<c1$>Kyi0yRInr)EJkvv`tEAUw7qB%#UkJyE|)cvQL)N
zS5bZ>vX0f;v~6CwW0S}A)i<SGgjZVzFM0pud3{8y&y;=clfO@CnxC4q>#^GJ^yN+p
zZ(du!-JD%>%B{-ZwR*bdtl91=ZqIEdKUCB9y3Ng>*zY@&`(yaiFY#B{u3q)%+cxip
zQD~)x*GuNJd++wRet#zV(|yJ9uMx-ZssBuP!SG|l@=ngc)E!k?tr_ewzqal1ek$1I
zvfofG$j|3m>e5ANZ~4sh%&IQjK76G2J&&^JRCdQ|_Gw;6lvkeXuj*K|twOFg`Q_Zk
z5G4uceMdb%`${Ub{PI)(b+sVphI5k!7oWq$s?^)ta*<K^f7UN-Mn30wEi^cyFE0yh
zzgg~JP;fyk>i_z_u*KfqZ)}b%Uy!u&d*!s%3+f*zd_5Mm>R79wviHpQPot}(OKx9S
zlE%A=^Wib0qDdc&?K-qyExqXZ?IZ6o;i8v3yJY`z-;X&`cshQHm9MkhR`(6p_O=*A
z$IRQZiO+Ut?;o!@!e9PuI?7=+JIC$(=EWHv-Mog0MP|kF;tsPTqm0*FI4xGYdtRvj
zBlV>@%=%l)8y59Exbk^L)yW^{Pu_nxcTODVv85SN^Afjk$!_{OIe*FhW+B(RkMriP
zd1|Ar=KSu-pDq2IpPoMPH!nVM<>B1=8zy{TDmqt1muBQE)LhKWiu+{TE*`%B(c=$6
z(Jh6F_itC43;y|eojE(PXX2yjQ9t)>UfS>Dd&c2WmCb_Qn;L!F_{uH5y!zFdH%G8A
z={<+!gtPzN?#XT!(rD#77<y&$6}_D5(5Uwe8b)*GaO+%dTu~Y<bt#y8wux>}+2Xn0
z`=6<NSfrX5&(iZ`aZbbfLwtI#T0Te$>wLa7Pqg@=!yBz@=jVOx|9bfNiD#mQhQGzm
zz3~oaTGx^_`|ugxzKe$v9?ZR9?RM9zH2I9mg4ZsZ-*#kd)0E{cRp$T68nXT20=-9O
z;_abZQ$Aj7b&J1HZ0WY~lsr@4SN-_IH}~F4ubmyL`b8}CvDa_eMoFFtFJkhYJ?1Pp
z<F-R5dEb&pDFxa6w$G2tpXxbz*gA>bU5WSH?k#eECp>8O{o={B##}*F)ql&uj3})w
zj@>IoOFMY3d}EOR{HUWl;ok9=%axaHJIcT!G&ktg<+XpV2=TA7E<GQ3qjk|U7Utr+
zy4LM{i(XpAe9nnXHde?Exg?joAo}0zyDudgicdY;!`(9LBIi^8ZD&>gd!L<Wn5NTH
zm-bzv+rc}e;pG3{haX69xM=S%>r$+V<}A7SdT}nL8b<^I7OREHivB)+=~MlKikj_y
zZl^LcQ&&ya3722`YTlMt5)B_Rlj?Gtuicw=*67NN!<P5A#GL2eQ$I8JmdpM<^Zz#|
zzX^X(bFC$9<<{awZ)PoBX(Xb3K+0QXj>x+&)-jV)E(x<=t@G2A-*~*_j!U3zi*uO~
zTNQ8VQeo#rKacbytCs0MxMc1nwtcrBPwC787lvuYydoc36PDhazpn1QM569--kA|s
zG_6}3PTtrl{I2T6wr4+!n%AupW!Wcc*{>-7W#RTy6C^r~9Zfdt2r$n|kqvg{o)fe7
z7>A|qw>^TEEkUf6F(EGBRhxhM%-5TKRHR)rdiM;K>5dt_m(5>4xhlvZ%&8|6wB!7w
zcLxe~?MZHX;3n91>DXqrkQr>h)NNE>z5J@Ao4(*Ex7n*x@78_YT$(=9wsZ5Goex4~
zS&rOTQ?QzMmZfZQ(UZL`jx3X1LwDPmT>ULs64ib8-Q3>~rx{)qU3f>?=6w}^UmCab
zN1@gERnLNti(RkCUeR|VWaAfU*4oC53x^FR`7U{po|5z+^l3@xVuzlKCjuHff6UZR
znl<U|u74(ne#dU!Hb=iOimzN_kN35b{}Y8CrPog_<GjMV{odBayf++Dgd3_(IUOzt
zUp(P7bJ~r9hTY5sT;*Ib$@{_|S+)pX(r$^qoVMp;(T!5!iZre3Qh#M^8aAxeJvAvs
z@%00*@K2JicV_6V7uDE%yNRu*IBU~Fwz4lq=Xxd=Ol$Mnc$T5ei0$&te(Njoj~KiT
zoMH@?eDO{>I%Kt`YSG?pHrJJ&HC-so5V2O`+z?s3{3G+bZIZJ$tT;7SecJTs^-EG$
zdxh+8EKvL*`QqLJtCWJQ;>eYOliy9Uys=5vWkZKpMoUM--HBZa^X}_4b8K)?D_>Og
z`@{3Z*9F~k&Ur}5AG@#rW$Ll}D>x5}|9tv;>VjaKAlp4+R|J(e>8hOKTi5dchC!g+
z8}^BZ1B$%Zr}@>kZMbjQ%y40kfaq)k<I=OUziRpa^*^WOFZ0YQGv}oKlO;C_*BWwd
zWm)3=_3$tM`#0Z*)>b5Bf6o4O|9tqLZ8FUqE7C8lXgnS|^R)P)iN`PPRDR33Mru~h
zhs$1UJO_?Q*k1Er=(y&fwe&LacQ%hQ*_QC!U$u%wjD5c1bmz?XimnT+9`8N)@JY!%
z*&}Qz-XDIo1?)0j7-t@BvXg5MTjlj<&yK3SeY<FJ!M_W~m1pPF{L)@O)$Z$(;8GXo
z`P_^*dAZ8p&hJbp+ke#Uu)e&Hx8G9kJv|#Ix2KEUxpW|>F8ASe2mMbGcbb{wB>%oG
z*m3vYy~_1@-0uQ5eK;uTDSPjas&e(=HmRZ#Ux6pelCAZ{EMCUm4X)RPx{h7+)U9Ve
z;<!O$%WiEyWhtGqX7}&=JL3QBT(j#UkC5XdmogoRV#f8%`MN*4Ree?@s!!eY+}2Qg
z`b*QvJxOPz{br>{JP+7&Z_SoDQnx4EJm@Z-6vvy_{nzwK{^f5u%bpnce*NBjVfK&8
z6KUtZ@->`vkt$}NToCYRL&%gMmXLkYl3Sx~S@d2OImq)DtGzyO>{NSPv(dT_OWvHU
zv--bdjuyxF@N?%XS6oQC`dM3`Zn4RfyWTqM*LMiN|GV<Y`<4Ug9q|{Q_^Np{^8L0}
zw_La(AfQ$E+e=>kv;FpLuM4)Zt%$w0QO?}>Ufra<&Gj1uqmFWfU-H{&R?q%op|6g3
z-gU<}6}#6v2!%zeF!8o5w&aa!_&9xn^QBE~H$P~;J5Xn$B5^)!=gW7pU%NKE+b^n6
z8Dx+gaJ76<%~ykLK99eLb}jV%CAZ{DqV17e%dg9OKK8lPviW+QRZ=cb1MeN->1L~r
z?{{wda6-=DDBpxj#*gN%YuzDgKJCKaZKfge2i-IOd$d0h*Jb~_WZ$e6pHHN%7r0P%
z(zC^jNhVf7VRB+>kV@&KT~}6rSs%Y+rrcw3zp&}Q<Y%s7cz&B9x~XS<{H$&jyOf6O
zvMu5nPX%kfw0(~gxoxbl*e?I7m%@QGfzw~k3%y(~wf96=a;(@&-#rZcF}y0O{>!i3
zYTGORQ7cmDnEL4}OUrGhvl(<JuBcW>*(IE`?u5k}7x7nTKL7X-aX!&*f3B6tpAY8m
zw?C2XI(n~(<I=1-ucL3U2fu$byK|}ke8rBI;^LU*t9v%KR#aVmR-G%bQvB3}s06m#
zr&ax@%ypZywm>GqVk3h=uW|qDPY-v*yGT5GAAQK^*zsGlPw!Z~WbS;9?Z2;TYSvBb
zTlM+>!I?{T9q$#|;@`CQk&4$<@d+Xh&x8-jr7YclBJrJ8%8}{nwmxyvap%;&KFUbA
z+kb!QcK#L42D@97<z};OUN7*jmR~8xeDbgFN6yWemyjZ~Ql+#+#zAW#XPeJ%@oDlR
z9?ZQ<+3aq}Ufy(7#Opx(v=@P{G52=XH7~eyC;sJx`5Jk_f8rkOlZx-(?s`DM;(68V
zO9^V`A{V~R;@b0Aa7u^oob848BdaC1q)%<?%3XibWglP3D~ZL|XBzjaU!5{-@AG-B
z+vYV_RdQ)<E}Z_TVZU^PkZwWKFW=DIAf@$tnWv_PSKVBB<JU=@1FUau3(j8tDPmXs
z21iFL4<q@X;g-yj>muqJo~KXFsGRhU=jcJr%@NJAKBepnET8uzgv{8-YEz*h-mrE{
z-+r5wUwkqzo^$T6`THPMQ~RIIew*AryS^<ia(5Z`CAc&fc{sQ{krES`;P~WxHUA5V
z$TWjaqbM#z2N!qa2}ic*Ze9|1*67<Kl~qB~56<Mjd*SGP_LG6@Lb(+$pTwS6e=zb_
z>0u)^Lkr%>Z|hHfSao1x<%Cme7k0MYakzipTI1A~m$_Gy+jDk*k&xB4Id=ZS>pEG^
z``@l#ImEb2&t|TmGvk&|x2|XhT6|X67HTDymH+<B#TP%;XZ8g;G0WdMS@Prw!_tTq
zcYoY2vbmqkxp(`1AtPb8`){|DGT&G=<^5guv)&s7W!shJ+1$x(l-Mo5KY3R5(e{j~
zCoirm-odj|=hgLsSO2v_Z<Y&fJ-PapY{G$gr=>$?PyD_^Hnc`7dGBO>3xEG(Z33;Q
zw{bUr>D}@ANX6Bl;3VG7wn_c>xBj`1D6?eWvQy`zR+&y@-L4j$BVyoIJtso{)1;}=
z5#|}DXUiFCK6e^Aax`s!BDZ?Njq5k^azi$GOl#~~&`}z<ZN($k`orftwiaBJ-rcJ2
zWAG-k$(-pj)BdR)m#=($J!`+?x}J);2Cr{>yL`>HImaJz%;e}rUcJJdOTN1r1Uz$g
zU%m9wO$qxx+2YCO@21EKJ!1EprgG%q`sxk!t!6V{-0Cs6QTn6Vm(Sf){d&Ic!N+3S
zH}7b_`r3Q?Rzk|Am3*6C$jT~D-`Q?-=zMYcew__wTXxB9o>8uF*|nDAfwIk|NzWup
zJS*PqV3Uoj)0F<{^me8B=CiM!<g@&oFe_@&&h)8|lsL~#Y~p*jbKaDzl2ey1yuRRj
z+vaO08s_cG_7QRKm@1nd?ahDl^rXKw(lI=2;yrI0x=*h+pO-J}8n-5?W!AcM`NPL7
zZ6+$NEK=y)vc!G)yt2T3Jkt-vRQZ`jOkVr<BzuSEjiN;^m%py)<}?4`%5zBTidwbm
zdJ{n&)nDa}&l8v)342#wI=^vZBGb*d6}fja)D<V6{!`yBbzyggcF7vv8(+LQ&ivt%
z4W8@&>&4UNb7H=WKNhXez46IwQshO+7i>G-o*drtPurGNDRg0JP192*i4}Z@_PzYk
z^0GPNKKIX8OI}WX$+M{8wfwcXQwJ;EEb??%pZzup`hUAF#EP%bONQxm(UN7ak0{Su
zKF{jOf0JhWLyZ~1ODCro-=8ihHZR1cBcSYF;NRl5?c#C@m+ywHYmC`2kNeH4GufJR
z_DCmhH8L{)YJ6a;Hpf5Fi4S%}Ma8YJf4FafjI@*Bqt`w+`Z)AGx(}|IHpgv~XtBa;
z=U9WV3&#!QY|U+UYYEQ15h(e0QO^6mkE&ABI?rt2K3enT<F-E&?)67+jV}Fo+tD;&
zftPOM0>zC}?AHcubC9aI_#mY7zz?f8&vPsoe@yXpj!B-$cW2r)Wqzp%5$PtcZ?2!n
zrf}uYJBDdHJ1pDVGz700X&&@$E~}RB|FW-s*Ym6c^W7gA|M(|m{rP;k->K^_zM7S?
zCf-)cf4`iqH)`hnY3)|`rBha1(if5GEpE6gS*5gO=BugRHcgjAW9DC-^W#KWWQ$1m
zgag3>G6g<5RhO@=PBu1eew!5@b#{ZEj_~VGhoo*^%QG_YT)M@$y!K(-!d(+hHk`4)
z<E@ppm34zieWJ2nW@^px)sIa+_B=o6$CVbkeP^BR2Px6`%gH;=KfgaE;mEB2-0Azi
zSn1E5lk-l+uRUyen#@1nxP4Vqs*4^nwy4PMJv3wf)uWwt3q^L7zDa$m8XyrCJoCTS
zI-ySscOBOijOcZYeap7N@keQrPVKg}`{h?Eop=&mcRE;=lX=&~ki5!i_Q%aOy^vw;
zWB9p6;P>pE5^N28k!EYN9GUdWA76fO<BRI5{m(z_N^#_iRm<elekLYg|L?%I3q9W_
zoDI2Fmo&ToPw3M>H?*C1Z<Q{LkX$p3r^;90^`6&kQ~Z-(321*!-BGF=Wq<I&*{cV3
zoj4V6F8}lU-8Q$GZHqMDyUzZw?y#8&%bW0;YU|&tC0b8h{`2R>)obq;+Xi2|wX9Uu
zWB<xqocz)HJeEr5A3asiT>1Rw=JL$5_dKUE95(n=QT(VN)xO-N@7I(27Yx4M+Rh#5
zsk-kalU2ayS&sRiUp!y(XUf#-tDBSqD!;ACm#shVm}Mk*YaZ*pV^g(Mz3ulq&snG<
zq9Q+eSM|OKp4;ztem`Dt?aS8EPp)6179DfyPWqLZc9-pmE_=Rh!=5gus%OG&3}5bk
zH8~RU-gi$@f641P>5tepf7yGnN%eK|)ZeW?uKav5bH|HHR^!y894fbO=+!()(w6(N
zN`B!@(Wo4qo|N?;COovy=_y>fV26J0lykp1s{b6Cyiv?Ox2#q+yy)20$a9->nvU=L
zY3Ct1yK4Rui-fKhg|*IVl?(r?|M<SmFtF2pr^mCbDDy{uS6OTomUvsWFMSn@NTzJJ
zg>%mK{k^LhWcOX#bECwZRc*%$F-Nrn`WyDGH4fOIx7)2!XWKgdX}`lel%8tsSh=v=
zO^hp8e9l(wt-ECu_p_bZ{iFI~^;U;7`(_^4KErEE{Pt@b85j@#HZc`Y-C#B2)5#-?
z59BOO`Z?+CrG5O0uk5`HjV{|P_B@<=!1wIwR{{6(y4M|fAbIWGx3e5;ejXBNW6ylW
zU1VdpQkN}IWmaeU!>PY7ueha{WN=W?OW*9^@}Hm5_ax?ZRIC-9w`2ORjf;P;e6UbQ
zk1;3Q#N)u9VD)y_?R|}#FGarjo^a~udcPfq`~z(s+P(U5=95}jn6<9G>9pm_O&1r>
z3$W*`x$bsRdRaom(tR(}{Vds?s-|}}?lSSeapL|iv(%q?mA^hDi7I)>@c!>}Id{)3
zbwfPgrki>zo9^p9=&HA2zEWWq$i!<K?8UJ&(B|S^gTq^u*IeY2e9j^2H?iQ0kq)c)
z%fAQipGZ}BdEwi2JJxHrtv9C`y#3G^T{tO=Q9de<@tMe7cjFyAPfy+7=fEx6|A*h(
z@A?GRo>{&;ciW{HE+{g*dGmGx%l5l2e`nM@R;<1`Eh6b{0*}GV11lq@eR%RNOoi!~
z%DVeKPq+G{Oq~>b<I?`+d)pTKtO_qlnlGB?m%iET6#FlQKRuWE{{ED^ci_bJ^ACT2
z$n&wY-&ryz=Hr3$pFL;T+TPt%BpfsSSii>QX-}4J=4OaH`(i`OfsDN?!cJ%;*!U)1
zHryS_86~ugL-bg_2uH%|-;(zyeoXqzKFPz#+{^3os;JrLuACFSc7o?vV^YK~uhjwy
zZN7geE=vD+@<XP^FRN=8PQ7|Man5>2^DV+hDtGH|d@3k6-~NU6l65lCCvHEPEvq1L
z<>X`Yq|cRNfg+n<wcDor+Hrn0tNhwS-<(crPkH&kivLH;Qq4E_#UE{#n4z-MLR8?^
zxo#~%Q{fpCe*4xil)c-u?5EjJX5Qm__fEBSx%5x;!_7-IRq^hp431>qDf*bfa_iR9
zDH%)nA1vw&oL+bJU;nSICl7q@3~b{6A`m`NavO(#ieJ9!8u3$aw=g$lq%A)szNMw*
zyC8%A<lKWR=Y8~>m3u?$yN&zHXY<UsYu*Q(+-$t(vFNF-cPA{_7(4yb#OZN69<xmq
zKe#S-a)OI||MZ8xAxevlql90I9{f{al>hDGDml%TOG%g7#NST4aDDEfWj2+mx_|Xp
zxPP5)T|N8b3>%*rKcs8_37k@U$QI#PTb?+%pnI9driU7z4Gx9Hx2vbr33t5yaOkdb
zSL`zB@P$Q!zte=-v)8-G9RDYDW$NsocSUX)+lRQR9*mw{_L=#c?BBJ2-F|HRbMNl;
zW2yfX#2?r)sCj-rJddOChLirgc>TiT&!RRu@V{Tbb!FW&Q|7j<r)&83Bxp2eP2N_s
z?Tyh=f00SeXPj3p{kL(&A(h*kzUL+%-1$e{cI7J0ZC6e&<DI$v;<CiGQ=cxscGmkt
zs$Nv>%-GbWt@eNH^=><g8MeK>{m=erMNn*n|CQ@c_C7unJmqof%$NR28jJnYAFHS|
za1~iC>Fs`ZuE&IL;?WM{mu?ZgwaHoOUw(i0y23c`pV9M)7AY5RyBqtdHWkFS-{rRL
zUEMdeck|!&OP3w>*KVx!J28F##JqzCt)|~!yk9DQ68pCOGj?w%53AW#Y&qwPtNgR_
z{u@(w6h`<@u$i*fN#RCioJLK0UqWT0OS{J}i>-zKeq0Q;(Y8$4aIHD-N72eAUth8P
zfoo66*G~04_K~|a`0?xKM~y$%RQ^+5a46^eZ;srrYqnJd^0FF8F?H>dx4rqEyF+NM
z$ydgya`QGv+|XFkzRk0DPH&0luM+Kb7X$>-g=VDeY3=UQdthYv@lIn#%l`Q9F>lW~
zia!bqob-Kxfs`1B&KsW2ED7U)X`Ec9S6bECI@gqCRIfg<>2Q8d%?7!Yy&1~EFTB3h
zMc;YSoutlY;50q*sdxQpv%e|TT*qz9E7T@SoylOxjZ|8k@i_R~+Z}K2*<AZHt8DH(
zFU<uh&u$0ZnmKPKTjkqQ`FF3DaU^rD;#;z#Cg6euC)>4KTRhvpw5?;GcxC>Yh|(Aj
z;U(pJn~%=lUErFQy75?U@{Y%%O`^G7o2S1!lsS9dniXz8%GAzY7B=<s&p%l<!JFr%
zugC`b0Ld*;$`LQ0PtEt?656Hrw;-J<&oeFd;ip7Pb7jM4XZ}z6xW7)w!bkW=$p79L
z`Q7Hdq0Hqr@wGkD>z4KW4xB9PG41tbTi%0zvrPLo7Az^Ze(ulY-!EuqcI01}0K46h
zn;fTq{?9XAG=KfA8^0Qw?kit#-RiBV7qgZB;C)HvQ@j~hUa!o&HTg;Yl&>p|+1>e6
znhu9m82_++@kFQOqW=sAeyPd?@9vQLr>xH3AKv^ld(ocezdsqWE<W|U7hG=JzjV^S
z1OYvX^HW1L>{!>U+<!88x9*`I)qj)11*_k<Jzc!xqUID+nP1Bf{VdPB^}E1llJ|21
zF+USumCaH=XI7eQ&YbVsYQ2?HQRrd0?wUfs6<>Bd%E@Uveo0<(#=F#fEB0`!XD(+?
za&}F3t=#%--41iZY(1wHZ|+7`-I#Y+^Oo+e*GgxKL>8AD?dAQeqPKninHO7%4=oWX
z_;)12E@ttLeBCRbnIu!z{$Xl1X0_jVW}A}N&WR6=6nquqw+SW-o=;F~?hDb6UM6jy
zwW;QStYTx+!8>a*H#`5|GT-1#(CK|Y4EU~?Ut+yhk?Ol{gZ;!61qT-8YU}ELwViic
zGb?bD>{+LWlUFy^GrYaG)5l`+`l^{+7ZzV_-~H8eM$f-HeRj3ywua1*)o{5!JK;e^
z-`9(~S4T%4W%8VRA$nrMrkJ-yk&4-`gc{DxIc6Gh;<k~}f+w4v?pT}B_AUR0W6jxw
z*2!W5flH*t%nO;Ng0C#_;@s-hnfR0K)!$IRIsZS4WS?AjU}>ecZq_k}l{Fp4sjMkS
zOIcIbubA2%Ho2#FyVe~$cXjr>i-JE(-^#AbUXjk<oz7!!9QyB_{M3i`mjmN&=gylq
zqsh+fs$~4k%%2=i|D^66l=_*-F8pO~ua;!)rb(;s-AuZBq&2g5qKsY0NrNNuC$8xp
zoAV>1>XVy#q}}_FZ#oSOu3<|%R!lm$BKCv{r^z&vwmx>R?VDdDT-@g#tg_GjfYIUd
zUS2Nk>0TS14w~D3-qKjq=Tddr=U{AbP1&iKU(*jNzl#33l5e%$McG6SY4dGzNlUue
zeU4W%?h&f|%5*?m?)mBECldU>b4mMHbvu-1m?`gQcZ<%S{v^Mk=0?nlD{2u7&fPgv
zS0AeqTVGT*Wyj@%-W%UU&JK-@*LKm;t&=fvFtaqcID4w4n%SpWjll-}n%*f5LcMYq
z<D?ij_)k3?&9m5;^;_%KFoR?#2@mbrvv!#~ZV?sa;ndOod$_GW{a~PlOR@IX)GP9l
zXI8#-5&0Hb!MObV)E%7-2G49YHzyQC9DduuCCce(JW=BHt-n3XGE&a8vb@o8)oRU+
zcDHms#<}UAp`@0n##){@+t({6=rPHEzSi*O?i>I1)~TFj$KSOczE*hNM?UV{bCYX3
zZ*uGI|C+Jzn5R(A>xJ8xtyI?RZg}(S>zn6Hr`B-acR%#=gPVGH7L&)%x7s>eR{v@U
zUwd`YVZpyMYtI{Odz9iRz#vrM)FClDU3I10jl-^y?5}y7A}r!H^%pk;@Oj6}RPt8Z
zF5SP@gmvF^o$I&T{iLtg?yiYik&qM0a7rxm){jMhu214N3lqBEtK4&SMb@I_(^s)8
zR5;#<DcZsC$e_?^y>pWK{m9a73kvOC85Xi%PBV2je)aBJK&5Dy$7jd;JzHJge7Si4
zl*MM>7rUO!&}wA1JXk*I`q!<EOvjd-`cr+GzdWI3=L{<`>&lxy=3i0C){;;DboFJX
z>D7A%x3~`R#70YU1ceuPI138ZeSSNCX-THm;azqcR6?3xw(B*Pec=kWy|Ag=eAcsR
zJM2Dm`fA>rspNXR?9~;ej`I?CXLq=M>)BYhxGHeh{9u-Mvp8$Qq)sp5J8(-r=heri
zrSA;1xC?*gIT&~BPj`;Ju{O3~W4XaH(NjKG%0o9M<sQDR_2&LjgD0m~y*5r-c5vdG
zSjo`q!KEjEPS<h{mYw2Pr7(9-qE<_mX6S(#Q(v7A@D@JVc`fIj?Ug4LlXl;oG~4|A
zE&dhr|2bV0st}iN+Q<<W>?C(j`4Rgwi#=zzo>1E>s`AC+R?zWZ5?!^ob&Gn{ye~!C
zoS5!V_NXhTJ>&4!5+5I9_5Q4|MYpd07c#y0+2PaP=jydP+4D?zWz0{?N{0zQNxBgD
zr(r=r{~xXd*6GI<thx80`Fgov$kW1ehdw=CHDSrbgeT1lFU(V`zbGR1Wv}67ivSJ>
z<FBWGoh(}H{!V8}@?86MwR>cxy=;XlW=A+HPLEsqgV{m&Z(zyohbm7qH4Q}Htha2x
zI_LDF*B&c3y<OPwZqJI<WqoDr&g+Hv-UclB&3v%@_Q9TbW_gJ{7v*mIY6y3DCOUCt
z{GES|TcME6+M~ay>0W)mbE@Oj4*zxkdqd`mZT{J#_f)G!KvM8^EbD!F@wpjOLyn)7
zH9C6n_uNNZkKX_1m~@8I#a;PKfJ>WnW^ds!r-jd_S7&5bSD5S9ri$F*FK(K-q{$(9
zuGKdm_A{kc=fZAfG@FH}t!Mi#Up47I$KG3lQZG$5N7`RmkS?*+?B2IO--6!TDz&m-
zI6ggg$?SLc)9dEzM++Y3Y<(d+@m7@L2E`<yh^4pO7%h%mnAPBJ?fLoTA+Nm0`zA=7
z{dRX6`-(3SrcR5Cqx7vTc}r7P|L(qc^D*ba|0hxtcRN3d?l29#EZ4Px;p^s&=O&0Z
z7k~cbaOs;^ne^S_N+lN_W}$a->)-l#wwyRpz3%6U54*PCP@Nh2bC*=pq?xZbuHT}(
zuI|Jk-=-yLpM$%zLk@c;6`S%h-krYxKwWY`<o?<Q*9mK_TL0<(_@ucte*1=BYqq9v
zl<7IOw?^GrdWf6LGQ|8mcV@_%{(>LtN;HhM3YI_d)(VW0icve+(YNRHoTJ-%KZe)b
z-sC4}t$*3W^wxFDJ^rVrdnGT<e$eP!H{a92Uv^>BPVpx;4f-$o{xlsp{OVXkjlf9(
zYp!q0dEa#xwRamTPq?_>t?owM9nL78R}o)l1;lJulRwnD;)u&l*P9c0_Uh&7uD@dR
zN2Bb~)5QueluNo9ic>VtRsPu%wS4BU^Y`yuS9|>{n9bqV8s)VPvxN?41{po<D_>;f
zy_2z-?fM5+$;hjn_jLC7r+<=>pZi)Z-%_YU;l_-qt5ZIlah_Y}AG2`Q(%Z>9r|z8l
zw%zw*z!S^w>QzoZr4kMcaGJE-zx{<XuIa6K*h8-Kb8=;}XX*I+N2N5eW=p>eyveR>
zyevYMb-L2MY$3;05&v3eiT;c}-m)q7Yf91gPXT`q*Tn2D?e{bOy*p{rzXCt^qIYWg
ziOOLyvrkPCN%^wc{N<jkKN}xr@A|y!-8s$8{hJjx1O|ULk_%sVe6`81z3-Ek=v3P^
z+!5PRC}|q#RXSU5`o5nI>vpw0%!t3?xJ1Ia-({oGvZBi!ybJlZ+={hdP*u_xw9BQ*
zEHQO^{o#wh6vPwG%<U^X%TUyCSDyET<-*r$`OX*j>pK={pGupo(88rxsi=M^A)G5A
z@JJGGjb=p7?;nrnh9A1g`b9?Xgzu@pSFDAeDcp-?lYI6+s-QaaJ+DT7sNR_cI&vb{
zxl1Hp-SV#ZS@_?<JW=@Yvj2B>u6ZHg-g-Z?EY9kCz~fNOs<{agr|+M0yr-TrgJs$*
z8KJex=5}GCY59#7uG<(Votve7bMnKQo_?{cLs!lT^<4RFnAk4#Vb#2EI^t#1R6=jf
z39`Od_S42EVNOxVn*|J8w_lMv^GP!|I$0-bPNzm>(d8Ny+l+!sc5jyHOpUmF+W#5v
zgq}#L4S8Kt%O&epEq9;vbV{YYD(}yedP;T9ma<i9j)zKh7L>n>dXl&F?x*7Oj7pb#
z#BMG-8h2?y?I(xXo$omWQq1+VyZ>q|Z#%u^=guQR$!$r`ZYwE#+k1R|`P;-DKlZOP
zU9!A?>FnFf|J-`)yZ&b+|JjiLL8~?SVlGzJTGj?yn*T3(@%Ew4y;WvA`gYu%uOC@b
zC&q6))p=@v_@9!0yUyM#FJ;kd+b+7Zig_pVH8GPlpVT}j+(=hQS)RpiBM{7!QrGZB
z^<u(<xQNI59G+I^_)e6_EwI)9;I|^8BI#Vln_o*l{Fl0L^82@>R{~+t-e#HE-iI{i
zxL%$S_CG89eDl`}>vCU~y_vOp;k#|@MSbeO&%HXduvJ*(R*M6ZkbZLOKMN-1%7D<#
ze|^k;c1A5`IS^x@BA)p@V|sO`Md|Am=Q5*ma_*`%O5Zkl()4qV{xqG1xk5T+Dc-_!
z))fW6vExh4V}D&4(mCBsDXTE`{NL?%K4;H<bTU`xHEm~p8Tf74tn22B^H;RESad%4
zn4aChk~aCUqqq&{y4!yftiEsjx8+V8Bai!4e^0%nuG-*A>rZQ|dm>g`iplDp-`HUL
z<c5lorjHYo!S91pgjde9cX0VG=`msA#Ha1`)qSpZ3szWE-<sfY=H?aSR}-3Mzb?Fe
zh_}90Y~H<HZ+hJBzTNMwaF_klWJAqMj)Hd&EcJUn`DLnG@<u=TLtejBpSc_Ptb3a8
z;qfV5D7%*VhoN7*<uBK+MPh4i`yM}i&Gf@ufyjH=Nx9h{RAxrk=0+X3?s?W)!Drp^
z7h>yf`Se<Ede`iK<jv~fO(`)M0g^wY`ExZaR6>f>s!y&HtmCzMZ1>pk<u%TXlarFq
zJ->L&*zNkx<`;FGxkZ25W$sySVH4T8PqO3;-xto1)8$gdkL^lS`WGylpcZVt{cL2Q
zji6@M#Zzq?Cl@&9YS&1VycgwT`{>;4W4z%<_->#5zLs<D?Py-i$$k5E^Pe|t%IS(C
zYb8(Kov{4rj;OSAtK`ouFXR9BK3XMcZ({F%X7%4mpYGMQZHnmaux$!`ca-CCJS*eW
zy{FH6e6u<v%}}$pLH+-4hE=8yEo)-;)P{RM4{?%_EG{z$omHMZ>+8%S<?@aDRR3#y
zw_Y@hYsDm?o%34G_jYmHyGm9RGh{e4&gT><bNbioa6$E6onPg#Sr>z&)OhZQzMmkO
zyKPpm{>LeaOC)4?b*-g7PgMyHn{$Bqb9U+W3ei3_uU~tmnh%`OSaPz$)6(<iNyAOc
zw>Ym{b-_$y!34qTEfaaKidq-$=Kiz8`lv*Gai`S3bt)DzFGIfc#q8}|E&F<ni-@d<
zQEFgy=DUgeKKV~CP{~^mA$&^ut@@t6MW?%;_L#jelL~LYZ4uV}<{E>jlG2&52V0}v
z3?nA2j)}Dt+;Vo+fx4Gb3$;29oDWW%V0iBF`N)}m^Az--oP4uKapJW;hLZ`acGpk4
z{Y@-h`$F^k%e!{2*g5l@v0q~9<bv=u%2WJ~PKYULI^?}x>RsYKlWl)Peb`SddLA`T
zaCTeZSu4f6OA@?4@Y%|12{UAAe7yI$oVS|!^O|UVp}SR%=E6P7r=|7mFTe7AdT5*S
z&5Z}Q7=G&%Tgk-wYWJFh`?p`ezcMy9e5+-Guajm(h3K8<+|$gP<{orC^ySn0Al=Zb
zH3ybH%QrS@xc-S>s$4YM>Xn7OvzYZd*Vy#bg-%vaLJb8^U$mL8dg{i#HSPf~HMw_r
z=&wIf+3C;Y|7zzU=djK9U(FJp^ij_HiIAVcPp>;RJpDmJUA!+F&r7~}a=K{3o{LdR
zXLg=o*me5#rG(Jb@0l`fmW%DuI@cXvmUpN6;w*pW@1^nQo<(=s=v)q2YI5?|1l5Z@
zYa91{3f&@^zA^mlt1nWPmOm0g66R~(-S{^3aCBzEiDw@+xfM@Wb=|4P%$Z=>cxSt`
zoWn!a8*9yYJ<|$#!d~;*eEeM(d2ij}dEI}d=7#M!Z@6BDNsmM1(!cpTi+TQ5BwBE_
zS5Am2^JJK@>FP$m&~GJMT~3^L)-FE#OOPSGV7k*25y9ep*9|&t1P&U$a`~wvQXQP<
z^SW*E7oM5E2IXI4PyBXSb$oN{R;4dYp;gQ5&sOm#bspJYU$Ez9(ZRr1N4cjm?-if@
zu<L8cHYTw{FFxFs_w<NPJ-0{gve9Q_9gVaTB3XIQB6TKih&SNM;NssY_VLSAMeFlL
zN`h`PFT8Owi<x{zU9oXn`B^*BKeuY*DtUSRCQGlgj_L1{*;ABv<&aZl+?~YPi|y0y
zahzP5yXyZLn|Fc-)k}|9t>yb<&lsAk%$<?8fnV+JR`z9fOFrKED>zNPcllEe+ivH*
zVM!0(hMeEFK7US3;3JQyiy!X))A0=3{L=N1)JrwnSF88F?GWqh+vRX?=CX#e>fN{g
zypV79&6M5lY#pTYaOsTG*5>JdLNeaIj)^uk^iZj-Sn=l}PuJp#syXH#1+N|9s#kWp
zk{;D^LqA&V^=4ImuKfPs?1wpSvj59Gn4ip;Zn*xCW=!7REq&YN{(CwW&-r3{P=dW7
zSL!6=-JF?BM>+~0^E>^S6VE7oujFRaZ-JwmpHJJkw{#cl%CqZZ=TEI#6xp(6@dpl`
zXd}+I&aV!-{Rj!{uKMJ>A^cUA+D#6r=cm><?&kb_LsHIvks3p9{`)0QADCUu{lmF+
z)5@+(ch8$#y0U5A;#<Khsw(f^xV(DZq~^&dR<BmO_mz8Vozk3J3-<OMjuqd|bn}C`
z>&io0HB%Jlzq!bmevGd}T&bsa{>FR98jr>Ol>c*YE9=|KH@npe_r9J!l|4AwWvgWA
zqYW!REcRcRyUHj0M)dkX;YnH3rnIK+<oj&ObwO~ZMYFDIz{2MFUnifwcgtYGq>oLB
zYmPDMt4wIxEwH4uJi*m-QmBg{d+O86kza0~pE75T5Xa5Fn$Mn%#@3D5LbsDna0U9A
zgr5nkZOxROIWOC4)s5puR`GIcz0L_<lsXywhTH05faY=A@VJhHD#eb|olKAF^n0%J
zvS(fJ{`c&60a+z+V$SJ(pO@Y2U8T8m@AqX7JkIT(Y%6U$TP*a-<oYkhEPGP_?M*N%
zS{ahO`|dr5C7G&y8S1*nUb>vP7jo_PUdO%zzfAAVyk^uNemfx5=xC&`5BrpUYs0s!
z)tSe8d%BK%+I!e})xur(+cM{EmtfI(Iz?|sRB3YE+s4>8mMp9<yRNu~vT7Bb_B_4n
ziD1ixD-yd-|C{_`nLg*_ZwzJ?!oAI6<!8+U%MUF1`Y-GWm#n7eH1;#k*#4zmy4{*{
zyD_G0WwD;r%Yfb1D)z-3pJw%UyqICG$?NxlH>|KMDK<)`^61G=P77K0$sS$Gp4`wI
zwI}@Tl~wC=lbbumD<s;lO+2l4;f&ZJtH0}nMN7ms{q(zR@i*Uo#nmmJE(^>!`zCHy
z*^W(K*|n=!lZ#DQG;HO)&R^{QGwW(*WnNp)3r}nN_Z2Utewy6Az4q+!!z=wm9<04?
z$WpUcdAWw+=Sl81@($)r$v5TQOe&blrzP6=u``OyJ}Q-274TgC-^*WzZa&C$*{GYw
z9Q-Zc<8X>&Tbh(oOqao1Mz%xVLC<w(m3~`sin(U`s~wwVW6lWlzdBKVq$}fq=5hUN
zo~l_X>A@|vkpjC-S+~4gEIDg^*h}8>Ma$2BY+3#?_2GHbwJKUgM@|ac{Pw!8WX`X2
z@71p0{myrUJd9QS^DZ9Jz3<|@ckPP4dvi;>Z+~=mI^!U<I(L7!(yI<PyRW%&jm{yQ
zpHn|3nN|5ZHEVPFZwz)yPngwdX|?in>Noe(T$R7ieVlqV@z0f~hbGmtFMeIPr?X_~
zj^aZ?9HLv#ywP1JCXwDyao{lPtL5@h4B_4}djn5d9n0-H_POM?$?_ZJg1yqhmpeQ|
z*Y_UEm@3%JW4lRRYsK|`#mB7QcKH6vT60Uopj5t8h_No><C0(Z1Kt$no(#KvaE_sc
z_jz$&{_lU{#ib=KT2JZAIn<CC9lG<t!X}QrpY>{+*LScy;IH~15tP)hO+fnJMCP20
zvFGz+_4s=krfyZau~WVL!>5wQbv{v*Zl^4br7C$VroNkg<gmA^$<ENJUvpIjAH_bh
zt!+Pd(tG*GJ3`G9%Im7^r7a~7{`)fTtoz5Ji?U%8yYI@WJNg*TUHstenmMM6O^^JR
zlS`ZOpZUM~!*!NfpT5UFcVwOONv})q-uZ8nW~)8?Q1;t@XFl7fmc2f5P37!II~;CT
zt^BnjIXHR24`t(BSHwi_dL`_!7A(xjzwkw6!^Z@#q*_VF*oPt&A4SR+y?G}8Wbf&-
zwyoj5>?W(Htz$R6QkZgdwVs?(>TmP6u`)S1D}t6i32x@wyl0Zb^Y-MAZ4WNI_<2F7
zm{mQR=huolmd5N8x7n7yy72tR?duaYuADQNzu{H3^_Ds3R4wKfo}F-0{qfbk_uCl{
z?$-J9s3)nfXH{u0+wy%9A6nfn9Ng7-DO36{@BPF6XIKv|`P4JbGWg1)jIa4~byLfO
zf}^D$^rjoFvF*FG+va(a_uH_ASJr4neE;CQ=v$Hf8?(X|j~!FQ`Kp{AM)2tVEKYye
zVKzr>v8$=k>6+YzojFssuc>)IY4Nh!gU4$XS(YC>I#qzbPUL`YbeQ;-1E(i*wmf(q
z_^;6Corr+?@^i<9R~}OM_qTdJ%hQX_%R<?1F-pF9B|oo<*D&tXI<CX1mTUfbW;4}g
zFzgh+{YdEOgBP4lSG!)d1$Z>;JFgFRW7Yb5(rl;W%j@e;Me#LM-N?ODXpouKFLU5c
z%}c|Mjlxn_xnIvc?R$5{trIMJXEAS|uq%8A!!kkt@YA-7*D}ZPUTxa9qr2zSl!C@X
znY&VbdG+M)x#cP{@vgZsGlW-GUu6-${{3BZukx0dJFJm8d-YZBvTIW}1?;)Q_A>m0
zaM9a>`mFBU)%O-{T_(ZY6>^AW(Y5*<j!zulj(WA4J<$=E>F;bGsBM+)|HE9zU$;We
zQF1e5g?_okMVZM}4YOXHc366GgUq3)%&oGig)OmfZ*9A2YtXdm(lnXL71@&?6=WKJ
z4zM!SHA)cj-r>`GE~9Ddffbi_RO#0q`e^p8K*&q`-?|?UispX$d#m`I?wwH03o8|m
z@}6OwuDsGlDt+C?Z=!W!2P1!5xgIDrin3BSof>5$adKw;#g7>ZGkaf_#TmZaRw(}E
z$nH25h3#{CleBlSdq1f5TB$ut=cE7kI{7M5x4^)J)xS6^XNUZfU(TAyo}$%Xa)#~M
z?^%m_&5ymm$+)F&=E}~{_{ow#XJ6L~-gJG!?RN{#Z&gkH8|-N{eeLq{CL1Xo-aa?q
z?@`mc9<_*+U0*uM;Jkp#?7$5_v_dT(8hyNZhWFXM?*(rdKPr9uoAaIhVy^p#*-n|W
z&WrXP7TUBwbi(hF)w^4#{)p5{zj6K7gAm8GB|kb?Y>FKA{t`Or)w}lJzCH8f<d0R&
z6Fb0`7y92b>kW6!x)8^30i)168#U{4z0}ou)+D8TJ6{qsQNryaqnpZ-Z5AJlkLw0{
zpR>sg3Yj8u;;hfoi%Vmbw7ZsyEPws`p3hcCTgLhQiPz+tpDlmk;@p3vW^47k3tSgx
zE!jUm_9C;kjV+&-A@`)eTXu;)P;U6>5xO^Csql3}{~ygDxkf9#>1E~>^Q1yI8l9*Y
ze&%$Aaf8?9m4#EM?9J#dh<bZDF=a#Rb_3gYM>an=v#!=O>aREJ`qXzz%=VX`a=rZT
z3rm-<^LfdPna4P8dTgDwvp7NNv_FgOv>B<J%G{0GIpqXP%{Q&&-xT!elhpa(tB2&K
zy6xe(60G>(boz?2+ow!#wdp8-ir5moZppv5$-OHA53f2BwysC*Yiqk!=#S|qZ#u}I
zk@sPZ3*2=xKCW2!boH?p38k@WT$5f(bYD2zCBO9S(O=JXcdo8$^$&J(X|iSsVLj6_
zQE`*h^uu>sd{ig3JY6Tmf9CGlly6Bt!mSP`*_H}tYwEiHNp7`VmHPIDheX>upDeYL
zNn9JA={ImSi<`W=#8UY?L~QaD#{c&cSM9TIC@8eQ7iPc2{^FaXB6IIWTCV6j$bHKs
zc|!8px1L{CzU{Ek;a6{&=lZhmaXRn)H*r}fnmoB2zj5xmwKYWRwA<~vn=uQGwR)Rt
z)a54oOEvk3Onc_&w!bEseP;)^-k}4F`A+>+EM{4%zeVTgul65%7fdQ+6#vd)|KZAV
zg`3C9FD!6<^lN9=>Bm!MZv4WXbHqPe&|qODC#ROo!e_HNl6gPBYqPxRS1IMFGkb5M
zpL_NChaFOrgC!Ub{o~rUa)EsA=75*_zg9I=G0hQO_HA|f3C<-~PTQ?tb|8GO?c`6P
zf8MK{YO@g2Hy7LyzxFuqlxojK-0x?UYIU^Qdj<F2OPF{eU3Q|#@1j*~`AjR>e%=4Q
zKy|n9?bf*#pEj@4{QtB1#uu%S4;Lz>XTSaAJL&JOj@1T%N3SSWKX@CMUMQy8`<baY
zd28Uo-amQ<>(@`MUY{xWY5I#V?<$W@KP02*`RRz1{PQZukD+4ycgiYvi@Y~nX}L{C
z$I{bSSbS!U(}b<7-~71w<${MPOT)F-n>){3oWW^2^QGpEf+=@|R~+L%mwRo^u6tV<
zk3RR1{_$$|%+*)7Myg-9S>E<G>}dgai|*tN>$oM~eSf~9By!^h2|<}7vTIMaa7B7;
zzJ54Z?u?nABA3z)#&Efaq~!g3uS*9{xyPN!Qdu^cZGz30#xK9O<>p=a9k74h%yQ;C
zvft(IxRpuI|Mug=?9=<2i#CUQF>gqpa^jlKWR(q58_N9`PcWC)GHiIbKk>h7M`oKs
z@l@O8_shMiV~!my)te%z?YH#Xqj{%h9!r;NI8Y##^x{VUC9caGRv!Pj?Umei?VQ={
zUt){u#000D726vZH|GfB(?@-K7Ao!hqHx0OuZ*06ewS{<&+VC?65`$0{k7~qG4t2G
z58^JByoWe1iOhbHqh)vb$2K$JOnI;CtU=k=9(-s@dM|ORV|C+!$H%9bzI0)6JA3=(
zy=BXkGylsUVhCNhv2^1GhAH+QPv*Z5=JVU)RBw4u?1Nm~FNKTu4u4K94&dF)KfCB#
z`a7WwQ%}Etx!Lk#`s*_3W2<NW{lm~H^)>&=%Vxb7_jdLs?bufoVSMqXVpz|<mYa3z
zPDYM_SMG={^nBF%UjLA~s^m(>=?2!<tgfGpy_mNx?Ae|t%R=kAV(Yb&mt9huW%M$8
zy``}D%XyxguQYSK@zT91tYu{SEzbUFfa#~aXF>5BZ%);ck=bi|Z~DULD{}*kAI(0X
zKjD&$cMWsU^q!Y)+~=-rUJ=?8lhP^Vc=C6n%cZzkYds9E_+6e~Y3i&aUCuW5r(mT*
zveU8`udJ87nRjhVSjK~6^PjwzD_?r<b)SgHk-af~Zng&`8yRyZ3Ex^!_-E^}&p(%+
zwL7w<a?^Pe=ebsme4D)fras=ZzijbywymBz)3STrs#ZSx#j<_9$jM9bw<aI#aC3E^
ze<*YBjjf_GzAakDS6ydh=(F@_nU=h}c2PjOJIIo+G%7Gkc+1b^!$B)DbfQJ%7VcB<
z{;a@SxAFCNf1~<em7U#lcE$Xtyw{q2I-ZF^)-=kkXa4c8e)2~Z!(3aVSgh8CUs+z)
z=3Bwp9yCp~WIng|wzW$Q-)+(Cu>bm|bK2CH2RcnTOP3zn_b^>K%}Gl*Z@S50)4C%W
z`&sxN9zHa2(UJ4t?|svZ`pIZw_oqLR!|I`?mHipl>d4zm&PUFezxdF(45RyRHq->w
zzqLqOJYCs-<^T6lW>r6z%kDA$xhLJZzT#7>e%1A<GgnVOkoo09&3exD-?s0+p}L5F
zngjRaq-9&nlNP9{wK9Z$(uw>M_%XG#fv4|((Q%~~6U9EI=W4uifu&FUo?HLP6n$+O
z9QRn}$phOYQ<1dOXHFGe(A+%r@Pq?X=Wf@y5`FW)FR>Z?&r}6&+uA#Hu&2s(?SH*=
ztx*zRD33q0rAkf5iQ>xemD}|7yDbguA7`1zJbm){uSI3i$y>=XGM(Ely?mXq(fH|e
zx0|yS?(E~g7ixQM+tvU5Qd1YYTngM17xHmo^ttS{eM(JS%SGy}mISx(ZS0@5;gf80
z^}RbTALO=Wy^9VNzJE|+?bE5RCu<zIez9>^JZnl|_%!z@6K%0ug^sHyxP1Ir{_?){
ztMUStt!wA9{q=WMDE_OIA<xJ6UaUFBeRFi2SNq<ro5U+!jC^Omx4kaEZ*P8UtIvlJ
zKA%S_>v(;XpD5N>ei5Cp^s$%1o$6gD`2&8%h?PB9z_G$SW$NNdpLpu83!Scexwib(
z-(}lU-c33($AIhdo>Q|OcFvY(Wx2e`L-y{>`Kp=!EF}YtSUvupeDIp*uFs}Dt5r|_
z^!S}*{rAYLf?_Z0UhSlL_r*>ry{P<iv^tShV5Y>)h?wGPwoF%%{Bm{;PU$G6oYNlh
z3(kFdm{Mr|!F9@Y#g<7`g_8bU%dJ`Xy|z{GWPFT!rDJ3M{brshr_8&3*M4{HiQC9^
zfHPxjnfy#H-{VH*M!|C@`xjgbRJhG{dGS(Dh1W52n7*WUwrfnjoZY~!7Q0;``%sOC
zs_?9D$A8ay8g)SC**C3BZAnMHfRuBMhAno98+MdUyuI%EpBNR5`kww7t?W`iTP`k2
z-FT?xL-17-{>RzRICB~+HmLefyCk<jCU#}5GaK9PX${q$Ppf_BdWatRms3z#J5yoO
z%tf~=)zvzuhi=+d)Xg0y99|~Q>Z3KyzHRX*ZYgskog?P|B*U0uZtZbk-!?xY?t|2M
ziDRM#W_OQ2+H>=~tLWif5AAR1wWfb5x3<ukvA^L?`tBtYY8(DM{}rlbvt-?-yVCPm
z8vjlHYx2){%OnM{-E8q&n3?lKrn5_K{b|2ov2MqTRXr{$yS}r|vT1j0X4m@4eyG~!
zc=xoY#$Kx2wbT6<n@ya2Z0;jQ&5y-Q>5ckPU;Xy1$lETo$=CYpZ6EW`t*1ktmpPqD
z-ewka{Y(q%tMDm&lj@R%p120gU#X{h$)f&L%!Zvj{h^a5L|k(XbW+&r$oB8i)16P=
zuau9exl+AZb5+;{_VV^H{~u2lvIabx7*cDybKl`-cPq_xpC?pC_&Q{NyB5Y+eN*kZ
z3aj<&+qt%74>x{sS~h7j_g?0fDl^-r*(+zyFe-W>Eyh{&c|XH!C9aZ$pskHHR_hsF
zi8^d9TQFy7@cpx5mn~!_&y=o9Jj?V&XU=Qm6#vcJGjp|P`{)1ovi{y2)1c(W+RZCv
zi&jruWqe?U(u;NfzC>hy|25O<k5%I$OVt4P#qGZzTn>0Sp;uGl-6j4DixZs|+vbXe
zd6ZUU?0qB4{dltD+m}-#YrbZA$J?&`9&*chg=Ut`%UG9F((x^k()D*wMXT=2?Rh8p
zRI_QJkBE+Orat?#)>TS>@04X2x$fJr_=LdxS?P=iLJEU!i^~7F9rSC3pSRijDa-FC
zwY=K!`Xa}l$v+LIYjOFV<?()f&>-0M@K>t{LA~!6m!5y$mumgW?(?-X+ZQ!XJZfc>
z_D;|D!_k}tp1qFwmiH{|CC@mT6er%CI*sMTv^3#Np$#j%?q4wyHg<8E@^q2W|D7Mw
zt(l}5<~?|9<ftL`c-Fe<ul;j${~j&Wm{MV}YQM1Hxx|WCkM)B7t7GzcTD3Su*y?K&
z*;ZF(|L^&id;hk%$OqZ<Gt-(++df_LMr@*zwWv<;|26m4fAtdhVt??$U&X7wO_i*H
z`VU`qtaWX&F8@8{yU%Mu>&_O-;#C`8FilJ9Z<}^hHTck(9FAxE-YyiI;xk1%!mxBr
z*8X&}i)(Y5c@y(yZL4md$$s`(zLd<f-7U8AMVo&cSNt|}e5KIJ{!1@UUYv2VztzPL
z5s7m*_8t9gI^of#--_E<cKp1YU(1mFckRZ;h+i%~HGl1^1K+lbGk@Scd}Wt)=*Po%
zoL0_>JCh!=%adof!osW%&ATNT@3Q!>s&Ea+^HN>t{FsNQ&+EWB{<UQ)E~+Jt<~qv0
z7iDId6gs3v9kpJhyp+|~$$XNAZPJ`>)wYeFnilbS^_*^c{(fDW!jF3|1z%lhpU1=H
z>sPCoc<Wo@!<gxx=C<r8bKc~0`c9PM+2Z>Lx_GuX`puVVo_0O3aWh-PQ;j{$`ukQp
zN-DO_@i{Y1ojE1od3Wdf$1XZzeoSII@|P_`44NNw=S@Ge&&i{C+U*9r*%_&i=4{+D
z<!MS*RZh%Yv2SlY)(Rd;&#z&(ZmKwA@?QQ}1;-)P(6*1svJwjmZ4PnH+2l7Rk29N<
zbJJ(3d5INk1Pm`r{m$HYtYPM4aRV0yOR2xHZx$QNPC4!MLwu?L)A2;B6@2g4WI3KH
z@{ZoxJ9kk~_f;#;Gso8%J-z+LaA`#C*@~c$<@**_NNc|riS`M;v-_&x%v<wz?c|^6
zmbiM;f4}>6kDGR^u6Fb0n9|;IH(*)O*|4qe6f~tZqJnSTzuo`su>8~y*A*7N(n~t_
zVM?cV<Wz^`eT%Jcw7&VdYWA5!%9|%H^u9i)bE03fgDnfsJ`uhn^SxD~cKUz*e``~_
zZXg2-`vTDU0l%jr9U-vpo$>0(FX1ZYhK(INcP*)_HPV$#>uI-)T&=G8Bz<3L&7wO#
z*QN&smY==S+c^L8<a5EIEt93od+aZyK2FJ9_paxw8fUk-^}pW?y0iCqS}HD#EtK^z
zkX$o8>)6iu8XFr-g%(_2rKlAB=I7ZZC5Gj{ejZPXF<tfMWA36z<)d8t*UWl7*WGxX
z_rXAkHzC~93s&cTVVfFlsyqLskL_>E`hw}3WuHxUTO4qmKjj*C-qP32Y;P{Gu1ef5
zBNwav=kJpf&wlKcp25TQ&m!RY*7#PV*n0}0bL%uuwg@>&O2{q$exq4QV|r?1_{ZIh
zh1pxUf)qt1SVJc38OlG8x#?cU&{3@N%3;<Noh>glOLNi;10T2ElC<Ftuo3zucjDRi
zBi$<sdRey|bicTTRZ9HA-1zzvj+#1AXJz$&Yh7RP;PU*XYPlUcGus#<{MT&`{@LXJ
zH~t~hqLx4{KjnpYI>gWCm@IDCDHv+f@y~Q;XNEYVYw&yd1D_qA*Mt=Y3aJ#;%wUo2
zKk@2p5~J(#`Dx#CdIY6UZ+#$fIKP~a_exlr_UU(f%eTH<wCd=J`A2X1W$$5W^8Qn}
z<?{MhKR^EQ{=4|^<TnduwQB4?A3LXOz5ABf|MT>vkDZ&g@#Xty_PNKmS=`hKYzz8Q
zFR<7*de&dbm4DV=PtRO`Q^=ot?{=0mDXJV(<u5VVWZaTW`u1XK()POhW>c8*G$i)D
zefPpN{{O3qeWjW2EE6je)=W#Pwvuh)pL6#3zY_U7Oa7>pu6KW~8}o_t@9o*jM@!%5
zURc?>W`4J6KGR>mw8Lgs*e=`ukD3(sNkyjP%FBeJ>@LqRqqxn7zU*H+uUh8D_qb1-
zJJPdL>b7LuoS(iZV9$Z0+KoRxoL{<rR+8aX&#$l5ozAwbO-a#M{P55er+A4Cp`AB=
z&bPhNF?r$kwsSr^&K(X)mx)*EY>HR7ejsp7w?+K@cghu+Hy(t<yq$h$R;S?-CmqR^
zPuHD3`;0AQR(sG+y_==l-%r-7gfVQW{_tJj|7N+1LU!Vs=Q_VrIF6k3S8_E!%jLc~
zFQULW&Gep*&+>1FrmGgc{MeycoKf}r_qCMB7fa@;OUSKRDxda#kN?By-bj`?AMB>A
zHHl}mJZ-G<b+b*bv-5cmEy0$ho!`5cZPfob-S*O}{X4t1IYzBx49u~eQ*hzQspa(x
z;?>0$es5W-%i&q@X2vd#Uw?A+*DAih+v)J<-QrKai|ZMlGPb$ITvMCX)*brhJLm09
zvlT^uNACN-QBg|7-XN=%HK*s1)O~pdb%9CkA9-9<_jz2L$Wdiw`Q`hKfY$U=YdNnh
z4pC10oFnqMe~0BUzYjC5;*|3AWt(L)WZyDvzZkLJ^Z6M)8(yUaH}CxZm}oNf({d;F
z)`0HGR!&>qEnYW8;F;>YtulYhp6lL?lzy7tlX<x1sQUFSsk*!y+HS?oWVvfw5Z2@H
z%ALt(X{(#o6p{Nq$u)P+h@4<5Yx#6$W>2MKa+lk@oeFR4LoTpviK}p`H4^Rc;<)&J
z74xR^Wo>IZ4`)4j5m|W4++Fj=J->OY`cH^oI3)fdBuV-(=f@3)&)nK0R{AkqEjQ<+
z#ge&qx8|6MDP(IGSn|D(xV6p7f6Fw#k2m&ax=CD|6z7-hQP1(lzBzD0ad7u;&0Wj=
zR>-ZF<9e9t_k5{-di)M|)j&B#r%z|jFYBH8f49@=Gc4zhvG4nB8=L>Q?BR+wz0@D&
z&)11o-jlp4I748YW9-*cJKpj$AC%}flT_Mv_o$HD;wrZ%J%1NYJ{a%9_x-lYj?bp|
zk|(I_V-J0^W5Mc^@z2ENUcGUe_4k%R<o@$3M6U<w``p{7IceptE2RdLRSKnl&-itC
z?;d|f)&`YpaiIrPm3@!uF)y`zbL#n8KT(UB2Re^5-R6*6eO~q3#G4_y>`O08C!95v
zm>}w9Q@XHjdD#5xjbGdT|Ju0uP-NP-lE3Hek91w(o&4d_<4v*?)MoDLym?^1(M^d>
zdddq8ch-3?J(zmSN1)JEta<u^q^lX%7(J&1iAio<9?=nU=*8vE>h8a<PXt=-KK@=z
zd#Zx(+FQx{{CRa^`_?h+XziaM!M~Yr#T(8AQ3v05y0&cp*c)XKE%2YadDDgRsN;N-
zAJ1U5iMi%%?&<r;<j6&r4J&W4Cu`hqHhXP$UTEO~iOHVV6VCO$s(a1ir`v1)Fgu{=
zn}}e_#+C-*7)$>2_m}qg?tWAxoWZElGrPyQPdjGWKH>A%`ZD+ThR(0mS$8pBIBM>P
z<BD^9!q@c|RsLqO-E@je^njqR_8-YPua;l?x^%wQ@4GQkOZm2&&U&q(cKGtLV(n*a
zvF?Ahn;t&3ICZrCMp<)vpMvW-htw6N>d#JmxBGPNQ(Wz9_Nk6JoVU`q#4eAY%Nq1p
zitV8%^TvDOqGpzi2jZg|uT1ni^E~$C>D1LyN9@}C)UJM3a#C+Nan(XCa*J`-uG?b2
za#p@-+_HCP&x)zBhpz5bs*Y4YJa5K3wp_-&BIoukF<}bsed}EEe2a^~|M*TVsmc4+
zaj44Oxoy>SRoZ3IL@Bu|W%UQHZx0jP9Clv$gL%ONj+RANy46ZN4Y{MY-HpqvX%SHj
z(`}Sz(<?YNMWyd%ox6Hx;;sdm+mmkz?>Kqp`JGr%mY^AXCZEd6Z}HjaA+hhHl)%r)
zM#nk=SM|*`+N^lB>CMH{(|A^>%}@TJb;!xKt1xJdn|j8|PeyZnEN`-YT6O!!`guog
z`HRg@3XrN-v+$aj-NWG4xr4pr{%5^)N(vTL%0eB-uR9khz3^RX@MOKS)UJ)YwF=#K
z7Wi3z+pgE^;>nq~=Ir#a__`1GkGV;gPxrof>`|rq;$rrfKAfBQyk<>MSfr>Wp!v#p
z=ZB~qwto|BH>X=4D>iKRG|3Tl(Yos<dZ_h59IO4p$iK&){W5;oF}J6cBbCW8ZtYyA
zL%kour1_s4Ed0~6^f9m40hcP*MV2b!t&A5qk4@_Go8_B6z5l9G2<MM2&kl)h@HYG-
z6Sj_5>X4%Dnj+_d$OGrZl;4H?P;$AuVfWPS^ECn%D8}hZ==ErS{F@d3IsCxCIo};4
zzb?>-uv3c-tDk--&}edf+0CUJCEv4Zdo60eFl$A%^$F9XPE4#!)0WNdbV&N+d*1PO
za(?t;R=s(?vnKOrxOoKBe{0jWzL?>$Fa1x>8IOm1l=t(p)Ed+kecd)E=U>I?s+v0-
zp_e2-{*F7#%APQP<;D};t^S*&e*IF=iD`TN>)85<RX)DUH*Q|K`0u(I_uo&5vsq^G
zag&P|d(p(d%^P;QI~2W5tUKFz<khYlogwlWS?)*URabwD*gJ8f$)keo@M%jorLnXe
zOndry7w^o6b8RmR^S$Wj{ha)BS%yic@Y>DR5gGY+i&OSWKD)Vp{y*hyr9RXCaPu1X
zTx+#C(*AZun39iV;|IkmG4JErX=iPGYc5N&UFom+xa!m5wNs3f_Ud+&Yi%xmkg_cA
zM6%njrTcU~tULNC-8wiaa7*F0@^`)rH~r;~_dIR;@@Uz6A2x+UP2H}N(mi=kb)@)9
z_XwNVDn~~q7r(07duHuD4WDVjPW#KV_HDQ~C-j2<iASZ0y34X6H=mVT)1;`v)#kzR
zeM!ZwUD7r8mwpS`AoV1&)V=+S`G&o+j;ZAj*DYSB>o4sn(_DDr((Q=`+wOh*aPib~
z<C&lHFK0_eH!cn-nkkie^vEyA?e&%GW^Q5syk$N259Slyi!G<$uF<Txqf!1=%)HI}
z$5q4Z{}zI2UaZ}(_UtT{=bn5(VKSrO6|=Qf^X+2qSy#Sof7yF&UrXfg>(|c~Z`L{8
zG4a1e*Z!%WpLUAa+KF4u&s=}yK*27nc!lzIzc+hMb)Q;1?Feg8;?=qn#gmLH?l?8R
zT_su7P<Y;4J>*x=_Xo9ee_mpZRQ|bY#_oR)mvmKIB|K9TyH_H~dwAMMhjq6juUcP<
z)ZF=5?}4Tb8}GK8lbAj*$7E$BsS0hm`gNzWiq^Wf2GXC4AD&NisQVWh`loB+i4|ua
zHF+2Noqx7aSk?N4K~hg)hfu_g!=;bak3RjO8TM!IkxVZ(`8j$zWkFKsW<~af{Au2$
zwzqwDzy<cz4sY$Q|2Xyj*Kx+?-S%mQvVVVn`nIvt!-DPFJ?(h`;l~>$y8l1+&?})a
zXZ^;%dF}eL^9u~0S!~M)T@pS|QUC0llKWEeLYHd%T(jC%-&5HkvZ~F?{d|X7^tIR(
zK_dL(vuu8A=Vpp;h~T?a+|57l$_-zJW2a89TevYQS9Y`1gmYD)S++m)iv%PhV@nSi
zyx(}eM|HwAu6I23^16;&&nP%<oxXLd=lxrkrkBaEEK{@bPMGo~J+|F_Md+2wXBEC%
z|484#6jPvMK8^X=_a_ge%-{I^nmzkx#moL|qxvoU-!oc2*lC*Nnf>1$#hq_{s?cLi
z>9X$Dh1*&;FUt_+O?}T4+r(-wB4j1qW9`h;;>hNbymM_q>%lGGFYIJLVRTG)@iXfQ
z^4Y(Vl%AYiR3*GGrphw$`OLEmmiNwNIXX)!e}$)XWbL%hs;}3}*Y-v0xhh}n;Fr-9
zd0pxz`8+LAFIQE30{_-OAAa(_oF^i<YW7s!lCo?j(c6<)uf9DiST3=HQL}7a-Q;kC
z`L_)i7k>_N4y|$9aXCjVG+^}w@BjZ~&K+Iq*VOmyP)M`mL%E!;HM+Yhd9Nn_Jo;+V
zRy|Ii6$yeev!>O>?4LG=SIVLKxxtT{mX{A$c;60X>s(>2{>g2Alg`D7{M8z%|Cc+r
zIUTH?d!*TG(pwuN_fG{M#6t4J<QCevpKkP7^eFUC@0kmHKD!@OzIwLdqiwtN!z=DP
zbk{e&V%U22t(D5e>FamZT6{b;Kl$nOV7-UmQhOalf}PrA#hn`^*2<rp%$8Uiy-$|k
z`1ZP2%pXrqzxFw!pyqwNirJ}S=XkHUM>_K9d964Y)z|oTeR^2|+p4W$a&M|P{}tAJ
z`17>$l-&~_uikz)hGELtJAT4JeS4)>1UjWo-)qS$ALjBX`{;t{Cr;dP51jILYTZ5s
zrqXwEKMzPQeWY?dw7KSovsQZi!b|Mwi^3B&-FbO(LK;tB-O~`2)o#0XbU1q1Tu3=C
z>O24Kzvrn1_U@M!cDUcWA@$a5UTnlI;WZ3qb_G)fABB7_WagjyF~w18W<*lZrj3si
z%}hl^?)+0en|E(d+UKVhYA<Z&OfpVb!19k{OV&=&M#h=HG8gRZzoUFl(AiQ|q~Y;%
zpY3b%IVVr-TzSP=cf+EZ>A%bBlHX+=eDZcLN8!1$`5&StM9816K9e`;@SeSbySme^
zeq_<>;+nJZsJMnlo{ehfecq|J{ugm68#6cC^}Bk#j40q`D3#5=_|7P2Ldur_$r&%U
zn>c)N-M#R5)T@x+f4=a||N6OV-tRR2wSQXb3+~qJ{`h`J{Qr*ZosR^&bCS|@3*0sB
z6%1B>?mWac^$cGJx2aT=N$7_kd`7oh&w8r3<;}ga_|cA&{t*+3^ek;}+^#!3=~08j
zgSp4spDe07dhAKrr1TdHEXsFH*FHXvMcT+SVELpZ`J)^$o0b|LzdCc-?1{P&N!q_#
zysz!|Z(4FQ*;VOKp-*nihuzZb`AMt%wkR&GxxHKA---a!11s(@R9ULn?w;iD@=?_M
z$y?FphG{ZiD~fL1eEp%-;9%wL6K}Hpg3sh{X6)kKHA_qCWo7N3%;`rbE!b<YqJPJB
zP5YuN8Poo}nXX#pH0hwtyCdnz4oeaR3u5+{>1=(#R8f+++3m)|J3B9EmFC73&y-NK
zo_bfJVU<gEe?>|u$Ga1853e}eObOdAXSyo=xI<^c!M+olk6PMwh{}H1Hr@EY(<;r6
znfDINJ#F;!p_5dMUwc)m@pRLO`l(7=Ci^A6sGf3hwdS#HT62~hGBmZ2z8R^`!|~)%
zw>{UoBXdl24jy2S{=ay|dJVxplfBJl927dXWu8ywzu0wse|!&rrMESI+}h{Kv)6LA
zw5y!T_nfR8{aU+9>UjX`!`!ab6JL7!zGGv58K77frdxBG&tUt<g3|D;(kK5W8h_g&
zu6pHej#S6KIIF10{C^y;*+g&NVfcAu-_{qYU1}4L`CZNUd*$Iq+2GF)pYbpVgnh1W
z{5W+*UT7tgizDNu)z2cW66-(i;`z;S=i@HTu*8`@3pk%ITlP%OSb^)#H1qHFiGO1(
zw=JxAQ>kUQ&iU|Zy`!g2?)}qyG)TU}B6s=JUzus2IlWrvxLaRUWs1m)5x;u=S?j$+
zQ9AR>I3t3r=07So5BOYXGe=M6u#Cc~e)p6aO1D0{o#CyY>##a>rdfo=POTef{u%bl
zWz6eZwzo?+XU2@wbj4|_gc?s~RVMzKsQ-P-^OQ@C5s9Du68bEPjz*?Dao8WtzBVQI
zV=G^_?V8DgVMo1-<z9GcI#-(--WC3EeM_TLk%V7%#TIYnZOeoOTrS)a-}&~<j^3&Z
zi`I2Dc}h2nuw9=&StKeWW%u6GD^yO!JFxEfu~V*Z%9A;_ZJBs{vi=^p5uM+$we{uo
ziEp0NY0ge~mG^}6xm@|~o5#h=ZgD6Ye7+jLUu@d!W(T)~Usq2ZS^w<Y`j_ear4#&<
zZQo9*kyGNFls)aD;JuSx*E}xeK9_#6<+b*@P@~=zJ>TP(2sl1k=VI^lf?KRBHgeKl
z@BW!H0-NV|{aG{Fexf$lx%hA~!MUGXHl)ux@%2cAxBJ87Dd*hh)PJ`M$-E%W`)>Ne
zMSre1gguLVoUk}ZIMma(vi3^N{<FSM(&8ESFO%N9S}!}pXTzM9$}Nkp2D<vr{BZH0
z_V*7*_gmgg<6x>>vTANfBWv#m`BfQ?r);IOPjnQ8)oslcU~qjPHe;T%db|1mj*sp6
z+nP>Fq}4yKKDz!f^K9QHDK(z=N~YrG@z3~LF77`Ny!J}H(Wk>M3UAI;F`Ym0Cvgie
z(+4-JCs_v$vVVy9F{#$=*kae$=GFBfwbJ@)rp{V<{DJEAuPpB_9KC3(Z@IAg3H$D=
z$v<P4?_|BOCQ|E-QHEdn@gC_cBd@Bq{c5*PhQEC1qbhweHlOeG=J1?TPZHhl?mlic
zE$$n){;@Y<A8(4NtXY*NwXHlMkkc(^^@pZCkG<As?@6$1&;LImvO#nu<LfI*w|~{!
zL~NCOcul(^I<z>ja>=vZ&r7D;2z)trQmi)Wf$XNl=^d9pUU<a6dYN5D)AldZ4Z9Y5
zFBcGBYkT0mXW$c!ihFl&JfEdiIj6a3pN)piOBQ7lvE??7%`!i-_qoRKdx)F;>q_hW
zv%pBwnK!@tM62c5tdO;hQn&hK<`(SwVtDJxrFWbDSjbg$Y?bKV%(K-+>s;*SO=p>I
zipy8q<wsr2-I4BeXB&G@OR&J<xm&ys#cR(Ix^XAKqD9lN&Hb<3=g0Q4Sq{4I|FTEN
zmGjJ8cv!?_zUap0`DOJl8nWv5Xe8-8NZ2iTbe#{wSEqf#OaGZL2K7Jec)s~$o=^Qb
z=7s511s0)UAv1M^8!UHh=N1vX6c^L8#-UlmVRpwUeI3O@=7nE&FVAdGndEb-!&_-7
zYwuk16%Mb3jE$so3sl>W@Nn)s=+{0)evMz%tj>TxZ~j@lsZX4l&42awl8?#<3Ut02
zs@8mxado&g_kD-j!hNfL)<s5!a=m&|uxq7t{D)VTFK7LY;waL&u-fFqiP<*d5Bn$0
zw)n_>x$Pa}i!zUIMjvccdiNar@ap^fcdwVuuF8G<LTS=-wI3Bnnz}i*djENvYWK~@
zH0e#!$?u7>zbu1HAD5_KoB8}+L*c56ks&uD{&U)WHI~}OEs#@SzJID>jzj&U<A<2e
z$yn;Qm9$8dvRY<TH=L|Gx38FE51aqRu1foKJAs)xlkYfRefGrXVy#>98>f#3`}Vv|
zEfBF>l;$1rGNK^5V9N8_JeHH9Ip?OHS{tWnGoxqni3L_#nNghjS5-GDpWYPblya-i
z-`eu#8TQ(ubyF{%%fIVoH0|-;j8}GAMU%cx`{29Mc3O1lgX!g;wJ-SBJUr}GzV+Wy
zeVHQ~GTyajDc}5Lr|#sL`f}NsfB>6WT7N!-9!Q=x%}KOr(#v~!4kGJ!vhP0d$@tzW
z^=%WXZyn8z_&;0V!?qP0)OPGRY%cawWck-}kGZTVUqy>%Wo6Cr**e9y+W(%$28NU+
zyfZ753!et0F??I(y6{SX<59!^6BJpKekcmG`Bh&1xAcv+#LwH;TqeE!9#;I*P4|K2
z_m{OlwI^N)WYOK9;njX`&bgmH+v7veGZYHQ#dF<ze^>K=^PRbu&BC9a&UHyYu=IU(
z;<7uRG%im)CYiTz*O9HAY>PvW%5u29c_4A;1-En0&PlgsJr=WDv?$MF)xON1d@a_U
zE233Bo|If!cUgIx`2)5`>vx=pd#w?BiuL8}{DX?~zSgsONN+OjQ<tw_A?38fENiRk
z>Ej|_ll@e6u1`D=;p%wo0{hkl4vU`0X8CSbS5IE#f9+pK*3T7Jr3)7X-Ys0FG<(CT
zIHz^f&GpMSJ(*<itLxyc#FB}jjaOn{%jfPsF68(*VCJ_wjee%~MlpLsznWj0m&IGe
z-yL=)Hqr2`$r_837xwHCUzL)V{eH({6^+U{^_PFee)#We#<5FYBt30bklBVO%9mfR
zlIE-3G4IjKFzq^lR5RCGYM%pLlM4=S<Zx@;v*+Me&sOiAoG&>mW4xmJ-<6%*B*?bk
z^6cGjC)DI~v}!{`g-_gSSY-SE`n|Q+IiHu5+&KG#_t&ZqQ*E{fB))NWd>!~9a&2%K
z+w0T+mU60IyJvT8g=gHMcaB|Ii|;laJL9q5SpV&$#oT#zA*)`B6(7le?tAd6i}&6F
zX{{^S>>Wq{?)`bPq2NNm#Oj5+pKG6FJ@K3)?n0=ZH~YdlNe`zS+iEmtbKeG~t?6mk
z{pGut-haCI`N7}Uom*}*UG$GWes9Oob(x!m&z6<-c`lG~d}<qWX6dZy(+y-}H@;<w
zP_b5eR((0?%nAv~u3nx%;mLev>qRD*F}^>#M#jM=DaxG3%SpiGuD8Or0~h1u+`Je+
zSh89?WnF$p$5bT5QYz<dTz9#1zeC*jmmi~j?c^_BJzKrt+OIQ{do&m>Y<tH&%Uqj%
z|GKGJjgcEBu8=M=o_x$`a#zgky;IvZ{CIXyq?);%twM@dXVSOu+iR_^$?v@*a@6s(
z-Ba1BE)Ju3N55ZUf21bGulXr0#ho!}{-Ol|huzaA`|fng{u&(4n&YoC<3B^#7me8o
z>dW>`oiM*Xa+l9Oi7lymP3<LHdrlpBlDfry^<}4=;K@3Sy^F42(0O!kdUdq+!T##C
zPIj@|9_(4Y<HY@jrA*(?osPTMWh#}BUmV1(b?usKvzhHC_RE%AAIEW3DH;9=3SGpu
zN%~KsQBAOp7}L6-Ckd$^Y>w!py}Tp8`E+apd&`uQ&9!lxuc-Se-pkNtEW31UAxkI!
zx!q=)k5;!%th1f)%0Ric@Z>I=u7F38Qr**ABfkE)vf5KEa%H`bea)NB%O=7RqF?_i
zE<AVs%_@g)&rW!(WD9pxzxv=(!RNmBcb9DF4l@rtvH$C$T@!jHJU@B+q)t%v3H52b
z6CC=FD1~#h3$Oe%gXwgs_yhj%lV|o%K6A8W`Q^<)JQW>`f1|SNXPkKX-M?@Ci-*EY
z({(gXd_J7*&vIz>jJVBg=|&g2|81P%roXqeN#8ryd7+IXqr{hNSx4U|TGO>%4_`dg
zy-S?$<y@=RW%E@2YxQo-X0L4b+4|mA$7bSv+1Rbp%z56?qCZQQJZ`PKqvKhmP&mK4
z=}tsev381)&bhZ@?7bD%ZbjCU|1-)R_TgG%?&9{NWu=(FO!NOy=8<Q=&S7<~m0bLl
zcl)H~PZs(?dm{F*<<6Sa7Ato^!!ND#?!jlTOPup1(?d$?pEa_lnmjmbwZwjvZChQ!
zl$cvq)yLWlmwbJ@Z2kM1B<H;9;?*CSg<=aI&J4KxnfG_O=2G?)@#LA)^EcO)ACWV4
z-@Tued)-f`i*MG}J5(@#(@bBTXcp>!geT-LTlMBejXnI&jy|gMznL0;_-k?QV;TJ?
zVF!8Ge{JJ?`$1~?gbDZbEDMe{>VL>fuR9%fdS1BNsgL5uuWw!2q^2q0px$<IQP`pS
zmq)rcOGV#UoL}s*^2>sqd7D?2JS}{xx36u%mn)eYTk_3V_O1(jdsJI>@u56%%SCH%
zsoV%xaBc6o=X|pCf0zA>iFa7HJ6`_t%`a|hSJMy0mGYsx3V#(`;{N($DHrqMg=gG&
zOdsu@%(U!!pv6uvWjjBERd2<z%|-t%JSBW`Z3=Jqql}d|B7bKndhP#md|u~cnayl0
zZ&J6;Ey#2@ePedxSv}wOWfv=CtsW=$yKb@A>TrI>vr~S)?pvZR&b)H|bjP2k&5yV6
z79Ka$du*G1n?EGx(3QUp@|T<i?!IWB`|!yh?#egv8>ZBk+6L~vmY-k6r4_yHM%TYI
zvmc!f5#>kJJf{i%OFClTa)xPwk)fgD(=R_NdHgmCMmmZg$vd&HU#QCe(p~SD1%8{f
zZ_Hn`ZNc74WjT`-c5k*iabbJFo7x%k4DYFmPQ2Ffs4Po*!JelXxhMZFvO2i0)%Q!}
zxjLzBZWF&R(_D9BndklBL-qdC9`U6<I`Qv{$BY(v_HGZeApS!gdv@Dis4i<1d4B!v
zB8Hls$8K?^_}Cqq{K0AJmr4D51s@(aJ*}an_(j(CH2>`~-g7eM>I+`(-97DB<_g}X
z@)=!!45jzHYFe%v!TM?XvuRD!-t{g0f6DdN|9wlGwq=V?4tvIwl;YNK`S<=*Yn`iQ
z71zc3-#q*s^VQn^sc(<!$NRVJ#Ld!7-Sx6xrG5%@=by~8YYWS+ePS)g3*}qa^z2u)
z-gdRJ<Fw9XhoX1uSG1-l+-}WgRrx(J`+(`~h^P76Zr|hUQ`_C$qqaaHk72*yf|=XT
z+MYBz{hOD?_?OM;2X7|GWxZGIn`wAgQ8w|R{E>cEHmOOE>}URL`D4>uvj5~OcSi-Q
zx>uinZodC-f#9Djd`|^iRDUf=W6n~K&7F4tedRjo8mW$(>pxz-dhCkZ-aE4y%cWPx
zE%?19KV-TR*VW4Sbyud%%gSiGqujt1`Cv+`QE*5x=lPD)KQ{~TM<kmZ>-P#y{BUpa
z!*eS2xzSEczA7vpk?cweo?+pNdqo|UPHHCl?p-1&@A+ox5pScY<;}%hb9A*j_`1SN
z_kGF~nW$(J%&|s8@p9M1oI>^@k5zvYR$o}>_F2Iv)8FNw^@3MSDJLffsI$h-X31Zd
z$~%XH{mxwxMaHx(^Rv7DSk`!2G+1$dKQm$JlwIdEy5>I=;%xj=zN$;=nXJp>p5xyh
z%Y9fR_x96{B|b`bcNsAzYFOTDcb$K8Raw;CrO8Vl@@)6Iv7{?~uU-p(OrRGhpVeI+
zb-}c|k`Z%d!Zx2Yo?d4;`+{bFL&}+TQ~xuaKE3XXxSREw6X9QkS#`Gk|99cSy!flC
zSG@nf?VsQpyV`APM**`!!|gt^treLjf(_xl8!KL($`jku+qm<u?e^dw-?KzB=B{U2
z9yRe+Gt;r7zk_Z^uM~b_U=x$}LT*;jJ?pRnfyFWNr_B}mRWrr0)2(q?m_hXkX5(V@
z^1TVO9e;W&)g}j<Kl`-tuW|EU?bQ>0KFAd3|9HuN?jpl}uNfaCPfv-K4(9mS9`9T(
zx5{AePQC?`GfdLN|5y6lUV7a0?))#i<o<NuS3J+iv&>>w)7iy)YQk+<j<_TpV1FcG
z_ghoSK2~2iZjD;~q@Ta@Zf@xMrN!ppw)^?-IHTgUIRy_w-ka?gyumj4=6knRHExfn
z;4%TFSn);QPBmX}YkyODWvSwc@L73(w%xt*R?S_3>)p+l7oHsb9D0p8?y;}#zlrmC
z5BDgYanoZv7`sX7a+Z&tOV->IvmOKq?9PdM<G#G~RJZ$)jY|2|PXa%`UA+0jDfOf?
zn<RJoMf?2O7xUs*Oup}{2Zy)<mwmi{yL$VF=*y1FLL1JiN`7+R%<_n#%etktmFMD<
z^7)6i&NP)c{aEP7-HpHh@1D`g+5GVT-|uQ?cz$e_eJ^w8-vRDtRmUCjHkAuD1xhbj
z@#k!3?1{%bACD*{S6XIHG%Y@+_W87|q^IyP$G@M<cZN9IZfRV}!MA)Z^Q1kq>U-v#
z_`dxwXTtr~vcI3M&JkPi;&1U+HO3cH<8L@KZh5_Z$@QRP%@;EYbuB92FZL}e=yhdq
zE$KMrzBeOABkys-ts~A^9=ooZh^HTV?0<{1qSn7FhVx(2>T>T}erwfA0yc?A*(_Q*
zCor!rD{a<)rI4drb9#hg<GM~i>i_@cpyC51c8+g9d~{Yh&a8Ewf0ys}5yMjp_@fRo
zc|5MvT6=Lu#nd;)DrYwJC@%_bepy!j`Ze#})*~;3*6nlT-1Kh2<h>aICCzgZg=YzS
zoAlSsD%!tHc6EZq;raFpOw6ybEhx(BXl9ALoT;I7Ds5lCh5h@v!HkQZ1h)vUet$Wj
z_D<5~<S%;-uDiwmKEJ<|S9#CM9cPZeT3>u5Ux-z&cx7U>T8OmEk^7k<U2oVQ>8zdj
zQ2iOlyrVsDPUy?)-L&YO8_xeA>v8f)XEt7jM;lj6y?p1(y2~F{F1R3PDY@?O+I{T(
zve(6<vcwIWUqp#8%ux#8<lcOi)%5W8sV4s$!jE|CWx4E{mC1No&(p|*JJL{AI=!V=
zJ5?Yhz3!c#R6@v;oeymeUCQ67+g*6v=HR(MRnzZ9+}1x-%O$9A@q?)BrOUq=7p@bo
zx})gyi>dVO*Z#1iY#rT-LbvL#XH<=s)SkNR8=ZYjMeW#!0Ox%?vl!LZcO5M}_}O*k
z441E=$E^>2&DC5NKQGEu;PS7SBD2HatXuL#JKBW0QhKf(Q;3^s_^-giRJZUB`~3R#
zbu&)SdFT0V`uXzb?EiI7S*Cs5yoYzWipVUV{mg%salEZK{n+=7_my%>rjx&QUO7)&
zE^XQFGUeN|&d=h34W)Y1m9MYWv~ccqe*8)%cDu-gC0n%a7Ps>zi4-4SWvLR>z2o)A
zmroYvx*XmzOXI<*`JdklF8aojHJR<}elA;<=gx;%Z{3&L^ElLXtx;=RMCgjgZhSnt
zms*>6R2{$XPzgACJ7(*C`w*uWlk&`FZ!JA<$Lg}1g`YF`-BXdYQ=DDRyH@vzpLSZQ
zE%tcDe)l^EWT&LQIug0#Wn21^i`Qy{-yJinS#@ZqvA<Nyds#=b2{{^z<{VV2P5gak
zo_C(}zZ>6NKJGfIbaTB>;kpy6rmRT*C6sx-bt#9FvW;4-K-Gu6es3lz^RPIptXsnp
zFUTpg@!RgllT_FWHRUUGZ{+S2C_2;L;e7ehu49$rQ{J~|<|)5y68c-ktHi!j+l+-f
zo#k)XO|hbVZ?>-2nDF4}G>&b%M6N9Id6DY!apvEYND;0xhx`kFTBK}!`Tx+D`lcOg
zLpc<;eY<MECc8iQ_MQ6IvwWDiWj1;qnzG7HB0Za#OZH8}vK@lcIojA{a>5?HH@#pN
zmp$q4Wp^PD8xPHEa>vViGq0YypZa&hzS-t3>z6&LtzE8K8Kk~(o-3<@e9N}@FAlnO
zkuM%^U;NDWh^|YB{~ZD8FRnFPd8AFvH~HjDQvG=?Q#x~&YOK-ITOOMno~(XwZ1S?(
zI-IKO4L(Ig%5w-uuA6Q=r7246yGiKDeLoldH+|i3J%5GX1pR4_hh@Y%*C_3N+^8!x
zbNcs~)IV%rHoTvnvE2F@4`ZuLfiRP0v*S*cgx^a)%-XxE?bd<YCmU57Pe!J!GHZGJ
zaeMtW`zuF%oC?b>rCTyID(w0EdSR8CQ<IGzpWQRJw;LiF9~S#OEs2}7Z^HdIht#8$
zugd;8m2<LdedZT)kLiK)H|X$ZW-i<x`LVEX=Z^ND_tNH<AO1U;{SDhLkAJbD2{)Gd
zpK?mlj@taWJoxgXska^1z2pC8E>oN}H8AG+srNV6U6HEuEdIary=wR5nC+(XR~vO|
zZPZh5d_M8C$c0&ZV<fMZi<cen{iFYEW?0(qzi-u7exEaSw|wWBgJ*(`zcfA4Sj1E4
z=$5#9b%nw$KJT3zCJo;<9`m@ymbWN{Nn?d^Y0A7W>e+uco4)>{v_V(lN8qas$u0(#
z`8s{OUxZX`+;FI4qn@kF`m?@kJ4Cj6&p%m_{kGNEEqz|Qy5)0C7Vf=mdnNW%^PdTK
zK6TUV<hQiuIl}r`6Sd~;mUfx(*XZODJ-PF-p1-da%Upl2c~a5&>@jVoDzT%F3!*h5
zJeK=#)UX(an7lIi<$Z6#Yt4`)9zxw;!rs3*%E>D+N9FnNl5q7LlXpKJFzv2u2wQpa
z*eu2y`{uofVBNBXP3Fjgs~4y349{NobHUvw&FoU=!asgjU$-NwanZ9M&;O_$)z$Z?
znAd&s_{4mTaN#fYDUx3bA1;2KdqQ;)@Au@eOF=uPt8?62wRE%Dk!9*eOn$z`_PPG)
z?niZNb5`?ch>5Dq*mOz#;IXZL#VVx?pO^hV7~-j}xvAajoqd_;XIsrJ22<LS(*K!$
zV~W?kwJB38By}S1vWqJ3Hg!IE7yGuA(?_ncuWzF4=gG%Pmwm7JDA$;DKji;6gYP=!
zyO<>{wJbWGg=`m}9+Yt2{bEE&h>b%~R)hQt)`r7t7aKi(9%_)gS$pk)_`GM@9W%C6
z&Hfv5S!wkpW4;TnZj0|EHp!fPc4E8XbA=icr#;_R*<>31yRc-zyu52Ss>R~g^4EK|
zUSbOpd}FfZ*Sp1Ar@K5%b=BjKo-H*|C~v2U&eVHGyX3pynq~S<>#^D?p7in}!^fSC
z|FYh1a{tZpaGKq`?~^xdxYaECYx~E&`}=3wF4+71RYr>)pYeO<{LahkS_O0(<Awj6
zY>{l%&?~S1p)%qBKc1ycat2|Zyc=(wV>q<m{es_AReL*8b{Wx>$iGaQ&sJYJ^)G6d
z!~SHJfNQG@Jl-Wf&XE&xY|wqbdFmt?4u*Mwc9y^Gi+8=8cx<g@OY!%}dhVlFrb<ob
z-E@mt-|6@o$0;|(Pkc-BJDtnE+WhR2sn1nS4u8?T8~8QbN#{zHyw6<KOZCZC%U3O*
zZMox*Oh~|rr6QbG%c?7Ho|O@LdOpg!X}6DRc5bPqiiwe`;eysLpDuW{cx~d@+0u6R
z`^W0^byoyER_-y~yX-^g<QO^gSuvS*1smcI=`6h!`8s4*D~nLSY_U|d?e%b#-<y8N
z#P6T4^qJ*@-UJ`zmG{?w;OzN7r~ikS=E}cjKc=3@>r%P#*Fwzt#gnd;{T~)Yi=2`8
zsk3?RzpYJ2r;BUOkl*?H`Ht<XitY{j-u%B%6y)>ma^*yReywl0^Mgg$WI8UjEn3Ms
z<L2!Sx%?x3svRM@8*I;3Xq6b>so7w5#LVP@)Qwqb)w3#>t-5kn`Q;M+x&6xS<}H<F
z9x<kij&6vz6?wGsI>Y4<qgh^cDjNdk_(`>euVZ~XU)9BZRcwV?f4@~;XZFeOzcZ9w
zjrTMy)%j2;xcjB&+%|<<Wou^jt!5DX8r3!D$&P(nlS9pxXUsO6d8~V>L!g7ir~MKV
zfs$!&kF)N-BgNJ`due8biLju=v;7eXT)b7sLLZzsr$4)alleEJ(s|wUzdHL9uCRaX
z<;vW1;l+zuuH+59X746Ayq>Jlm~2t{^V8qWc42d-@}{5f+iSM!QtXEAy&XB_l6&7=
ziL!byL%4Zklj`Z@>S^oNzV)`gwxsca$TgQMP2KTl57_eU-|#v6+3J*f^Q0A-+m~=B
zsWR1CG#;tXE?JVxJW=W7vE@?#51*E-Yy9`o>Ab4t3H`mh?YQF%>vze{;pOae@nlNB
zH?hU??$nki{_mUut^_#kxtV&dGW4`=T6zA&8jh*4``J1jpRWw=Z(1dBJ?xPEdsEdH
z%0c-G3jYszvQ4r$Z01p$A*4U!kx|$O``zFA#AlX$-JSCG>UH+hZ?>KJJV~s#F5%<f
zI^V`g8;`kcsnf3Cd?Y*HVUf*0v#eXmH=mubjjb}xHQdsu5>>HH#eT(ukPU?&MRFD_
zk9jP5#OnIlmxj~jwXeSp72aaI=g7=kdi~QKHkGlTez<>$e8lRr2dr-H>|hW$qRO%2
zsPhlgoNvj#b5m{j@9tPJBcU@^RP$@dq@Cu6W*p1fzDM-VraF1QYxmA-_S-Crcpt7B
zeqc>+Uf-wBMqAELJuJIp&(&A)VJ-oG*n=ILZm(`K`nYCGnfwC_yQ@zM9-iipx>UyT
zZ<9kp^jGCeGv;LYuI1`Ew{4-;BOS4c7o9(Ec8X|K{vV<F^%n19+vmBSvC)^JU-{=O
zDQp+3<kMnVcJ9fR8$9Ry`oh_c?YKSbVRoMY?<ti_Cl-HF-?#XO81u2SpC&!^bY45@
zp3W<Yl4EfqFJ-^~`=;OZXVRKky*oEgO<9mR;lNkb!fjEi?+@6#o2I6n>~=<TjgQsF
zOAXH(drvUPh;0_!Z#hFNzLW7`0h4p~)2Dr{`vbSORfts<-rw-+(eh`@&t`n&JNA0Y
z(eRKm4fUfTykRVUHE|CATQ#>AuVHyrwdsJ-s@msKuNTI2#(#)ivF_LTvO9t|iYqrw
zJQ?qH?QG$Rl`p?=IqmCWeEl^kKy>?Bp5lzMIU3oqe0*CN%bOqYZpc{u_|~#@8@*Iq
zA9?HyX?rsDmz3?o67SV(9+sSazlERU^NY`(j#@!{>}k<j+c$HIiG3)n{V=svKJsu3
zZ@KTLHH93)o1Ztj8O}e{&uD+?z1<0M?UF`~z1(d~TnW3h4SV==x6AVXKQ;B;M7a<5
zpZi>^I8zgGcg;GEy+uba@dVW=9B117$t%2K@5HrpEKG#6Dz>|%&Q1Ow{qWQWzqg*O
zI$0aIQj|<g>)cb7PEIoZ!?@A0y<@_<!!J`L9hc1e+#Z$p_^`%(w!3oW*QD>?=iYmX
zWA`lOOGh;sxsAX3znQ79Lw}pk3jbMo#gF!cZ7<lEr|GdHS~-86BhS7|=XbcvIb|>&
zd%R@Du3H60CcQeQp4CyJxx)YE%vITY?BLX&?_Ksc@=r^DUb;Z`#1yV4vu}Iq@2SY=
zdwtmW+xr_b?bl01-M!}2ysKvj@MdNaVPIh3U@)xh^8I(;!-I{Hfnfn71A{OF14DXZ
zZfbmfT6|7sT55c8NokT^S*ZW%tiuKZdwy#_yU~<=-1f`FIbQl_bz6kEmd@zivduN+
zq(_nT|5{b`fbU9%n~gVbPVEf0_VL>q>+to@mxA*BtK>c|++y@xCR&+mPeyO8P{o^@
zn}4T8rbI?Q+8Zo)hd<FN|LF=zd#w_QHQW<)Of0!hNttN%vGmTf{gdK!+)k=_tJU6s
zWr^&E0w-HM%s8L1=5Sf~5?SlBPb8Ut9_Tn%fAExx^}_>xnYRz!zR0<6O*f~?(>F5=
zD<^nP@$$PAUN|v!_Vz2qxwE5Ix8=9kJ^k=e;^Ni9bC&m#@+Uqn_$eiRb^C0djRy_b
zT>i>O{1D1KKH-LCLgVu#tpC=m`uZxH?_NsIlcizbxKV>`ir=;nF-8W4_lyh-VhmV=
zEh8nTwBIrNkb^+$`=6r4Yc8+^cjZo=eB%Naqqo+j+naXZJaVwq{EgqLpWkDP1-8D;
zo$>zc?C*~x#I{MxY>RIC^0ZRS-siIV52xN|75v`H!ZWgYuX;XM7!<cNKU969+ru5w
z36UbMa$n<mgFo%8OMUt(cV|MTRkhEv)S2!Rjap0`PJi#Z*_N3jvY?;AZjJJeW&=i#
zz0Euq4%}3d|NgH*WqVG3_E+`#@68h%Z_LZP_^kfQ4uNN48+|uMtY0-j!*}5}c4x6=
z2Au4ZH@en_Dz4cvIa)<^WjUA4SEiiLtJTztG^)6|4jL@ndB-rZ|KZLJVcL10xKB!M
z?z_H*yL5BHn+sc}ugYHhq4>|-gQ0R?9M<t0wq{+N^XU0Se$<fU`fIfP5Ca2)1tSB4
zAX-T3W#%OprS_i9I_$vH^1hbquD4j`-m+UU*-k|(o$JoI?O3I~DeiFEWT*N2%sPuV
zvUwWRD9diWeBqj^`nv12Yql@!yyWTe=K8+>dp%ViuW9XeNnKw*XAA#o(c{nD^O>eF
zH%UvqF?e=LZuY!B-GuGyPhCD<7+uRGskFf(ukk&%)XGYeX05E%jeido7q^{Bm?*Bd
z{fpXq4~Nt08(#jsF6-1B%q!r;H~q-kGk-iEXLkpjGLNsl#cQteZoOir`UaCJy^Koc
zsd4`pQ9Zooyv7eJMh1q@%nS?y=pHUgNnASlVAf#+p0@YZT(*rHQ=>hrUu*2;b&Frn
z5*V>SN10dm%}e!@p1I<;4!6Cz_38WRiMge>eeWik_q6{l+icBy{dA#Ab4P()Uv2rT
z`dusK_g#CP_vgnOefI)Eo!RV9;$Mmt74JIa{2-3m`uhZxI~;pVMPAN3J&of<YSye3
z&AuJmr)DzfA85=daZPe{e>;a+zf$&yQboQ-Zbs}!!_%GyE|)LaK3OtL{mfF|$6Cw7
zztubbQ&H<UzaZv-vxn#rsZ*+{FIb~4pX1y*VZP3l6)PVlHJR)W;N2X0F4_BSzF1eK
zmcOCpmdEUB$MroqbSEs)@#tH_!78{ot#gX<^A!g)yn2_c?Oq`v**cYJ`hV^>OSt!2
zdfTpQ_AZ=eRGOC>eQLv$m77moiA>oCrW4n;UjO^-?O!Xt`<GAaqlUAn$G;N+3=9kr
z3=9l1XyF{68IVy~>|ao#SCm?ilUVtf>+vRz(>mThC&M+2jSNh#8wYx<3R&Tyb@q&B
z;ED6W1=^=V3Os$o3`{}|j5ZjVZZt9r_`u5-$gom$(g)U645y_SL?dQOL`lwWNYN3I
zKr3VOr2UzgnHU&yq_D(zc}m*Z>397O8}PKgubgG#_r^4T^H=vrZJr7r|Hv$F5O~DY
zW1fC@nOSM^zGY=azjoQ~w~3p7>&pS1spdITr(Y{iSDaV5cDKk$n~!bD&t!jV`v(=|
zJzuLg=|Yg;*Voxn=`7A00`oK<R>zkfay(`hoEf6bF@swpOZgA`OYfk+6F!E2UGqv<
z^^kg#qd-*CyL!7%^55kb?(>++l&Mlbqv~3^{0jdS)hhE&u?vdGGIm~mU+nMkP5#%3
ze?F}$Y5!t33f4_6x+@r_vPvqv=UAuY$^}0qOr+lPsGs%hI@$HN*pFwXc*}(i8`ini
zrglj%A5=~#y67hD`E&BF)#{sHRPQ$8ZeFi%EVRSYc=f*Z=9>e4>@@D)wcLL5i)t&A
z-TS7yZx;Ts)5N-Yy8mY33QJS##^vFg1MZw!J2T?W-&^$>e`IF29=g6+lH<tzt&tl0
zewxi$w|DUx*{@%x-16@geeuHavUKg{ZTc^YgYS2o__9#p%BHXboZp>m4l3q!&DzR2
zg`4Y(&-1E-rPb={FESqVbVyb&U1zQ;e74E8_1F{V&n*Y6B(6?(k?PAi^l;JgEoa=5
zUdxJ{sgrzq%UiTsN+-E6YoDXYdy@~FN_TWw6#3sTX?rAe@yy{Gu9X{4G)&yJWZ!fi
zSGB609eVyt*(cmtELNp0`?uNo+yNz><E!^=uc_GlcGq?zMVsZ5UhoEZGct)VBdX=!
z>dKzS85tOKSs57E86bd>fq`L3BZ!@nT%cExn}e=t>g=M!%p431wrY?{38WOF=?x=V
z6_uJ;lvAl!k&=vVhQ_S@mR?K@47Gxgw2Cl8nG4knY`S^$S{p+d85pK9qq|@>5326?
zr2NF96l`WNs|y=@-e6#`cVlJ{0$C5mOBz-9P|eUQ&PXgsMfXAe>xPrk{frDE=1k!7
z6{?+KN#opSX!=uA($ICEI4?P8w<ZSz&n6#mb%fCUtdt3*b);93l8T{yVd#}#c}2_&
z4Mofh{4l-Xc)Kv43r%|-y4~n)F_3;p{ACOAp=gG-%+L)$Z<QbnSR{<qfQ%H3M1)=+
zBg}Xsf@%i1c1CvsdhLeLepeJtdr?Xvx^DC`8>AbAmo&PHqv}R4!O=}ZFLObrK*I7o
znn`-)pj5!h22#Ptz{gO}%)r1P#mAt>;A$LM;G30`VHE6d=$K;S5>SyIo{{YxQ0^b#
X>g*K~98g+jl4+QjYguM#Qf><X6Cs9n

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_utilization_placed.pb b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_utilization_placed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..1c78c9cbf33477e2bf281efd64734cc29914a6d2
GIT binary patch
literal 224
zcmd;LGcqtV*E5;KtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp-
z<zZmxcA3k|aN)fBQ(nhot@dw)7z!L*_X;yy@ON(&`C+`%p;wZDfx+RfB*TYxx1~}k
zK{hsP<rx?X9RJEQFnBm0RbXWJ;BZ!zfq{Wxt{MXakMmPC5WCk1MBX)GV2E*EY7Anp
zwE&s(7eqQ8wPav8;V{XH!zt9w-8m%4=d2wALxcTXdj^IEho|-o3@04lx_~t8bp?sE
Hx`EgL34=jU

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_utilization_placed.rpt b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_utilization_placed.rpt
new file mode 100644
index 0000000..a619613
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_utilization_placed.rpt
@@ -0,0 +1,218 @@
+Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+| Date         : Mon Dec 10 15:41:36 2018
+| Host         : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+| Command      : report_utilization -file game_of_life_utilization_placed.rpt -pb game_of_life_utilization_placed.pb
+| Design       : game_of_life
+| Device       : 7z020clg484-1
+| Design State : Fully Placed
+---------------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Slice Logic Distribution
+3. Memory
+4. DSP
+5. IO and GT Specific
+6. Clocking
+7. Specific Feature
+8. Primitives
+9. Black Boxes
+10. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++----------------------------+------+-------+-----------+-------+
+|          Site Type         | Used | Fixed | Available | Util% |
++----------------------------+------+-------+-----------+-------+
+| Slice LUTs                 | 3079 |     0 |     53200 |  5.79 |
+|   LUT as Logic             | 3077 |     0 |     53200 |  5.78 |
+|   LUT as Memory            |    2 |     0 |     17400 |  0.01 |
+|     LUT as Distributed RAM |    0 |     0 |           |       |
+|     LUT as Shift Register  |    2 |     0 |           |       |
+| Slice Registers            | 1112 |     0 |    106400 |  1.05 |
+|   Register as Flip Flop    | 1112 |     0 |    106400 |  1.05 |
+|   Register as Latch        |    0 |     0 |    106400 |  0.00 |
+| F7 Muxes                   |  305 |     0 |     26600 |  1.15 |
+| F8 Muxes                   |  132 |     0 |     13300 |  0.99 |
++----------------------------+------+-------+-----------+-------+
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 2     |          Yes |           - |          Set |
+| 66    |          Yes |           - |        Reset |
+| 0     |          Yes |         Set |            - |
+| 1044  |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Slice Logic Distribution
+---------------------------
+
++-------------------------------------------+------+-------+-----------+-------+
+|                 Site Type                 | Used | Fixed | Available | Util% |
++-------------------------------------------+------+-------+-----------+-------+
+| Slice                                     |  905 |     0 |     13300 |  6.80 |
+|   SLICEL                                  |  557 |     0 |           |       |
+|   SLICEM                                  |  348 |     0 |           |       |
+| LUT as Logic                              | 3077 |     0 |     53200 |  5.78 |
+|   using O5 output only                    |    0 |       |           |       |
+|   using O6 output only                    | 3053 |       |           |       |
+|   using O5 and O6                         |   24 |       |           |       |
+| LUT as Memory                             |    2 |     0 |     17400 |  0.01 |
+|   LUT as Distributed RAM                  |    0 |     0 |           |       |
+|   LUT as Shift Register                   |    2 |     0 |           |       |
+|     using O5 output only                  |    0 |       |           |       |
+|     using O6 output only                  |    2 |       |           |       |
+|     using O5 and O6                       |    0 |       |           |       |
+| LUT Flip Flop Pairs                       | 1061 |     0 |     53200 |  1.99 |
+|   fully used LUT-FF pairs                 |    4 |       |           |       |
+|   LUT-FF pairs with one unused LUT output | 1055 |       |           |       |
+|   LUT-FF pairs with one unused Flip Flop  | 1056 |       |           |       |
+| Unique Control Sets                       |    5 |       |           |       |
++-------------------------------------------+------+-------+-----------+-------+
+* Note: Review the Control Sets Report for more information regarding control sets.
+
+
+3. Memory
+---------
+
++----------------+------+-------+-----------+-------+
+|    Site Type   | Used | Fixed | Available | Util% |
++----------------+------+-------+-----------+-------+
+| Block RAM Tile |    0 |     0 |       140 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |       140 |  0.00 |
+|   RAMB18       |    0 |     0 |       280 |  0.00 |
++----------------+------+-------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+4. DSP
+------
+
++-----------+------+-------+-----------+-------+
+| Site Type | Used | Fixed | Available | Util% |
++-----------+------+-------+-----------+-------+
+| DSPs      |    0 |     0 |       220 |  0.00 |
++-----------+------+-------+-----------+-------+
+
+
+5. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+-----------+-------+
+|          Site Type          | Used | Fixed | Available | Util% |
++-----------------------------+------+-------+-----------+-------+
+| Bonded IOB                  |   15 |    15 |       200 |  7.50 |
+|   IOB Master Pads           |    8 |       |           |       |
+|   IOB Slave Pads            |    7 |       |           |       |
+| Bonded IPADs                |    0 |     0 |         2 |  0.00 |
+| Bonded IOPADs               |    0 |     0 |       130 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |         4 |  0.00 |
+| PHASER_REF                  |    0 |     0 |         4 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |        16 |  0.00 |
+| IN_FIFO                     |    0 |     0 |        16 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |         4 |  0.00 |
+| IBUFDS                      |    0 |     0 |       192 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |        16 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |        16 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |       200 |  0.00 |
+| ILOGIC                      |    0 |     0 |       200 |  0.00 |
+| OLOGIC                      |    0 |     0 |       200 |  0.00 |
++-----------------------------+------+-------+-----------+-------+
+
+
+6. Clocking
+-----------
+
++------------+------+-------+-----------+-------+
+|  Site Type | Used | Fixed | Available | Util% |
++------------+------+-------+-----------+-------+
+| BUFGCTRL   |    2 |     0 |        32 |  6.25 |
+| BUFIO      |    0 |     0 |        16 |  0.00 |
+| MMCME2_ADV |    1 |     0 |         4 | 25.00 |
+| PLLE2_ADV  |    0 |     0 |         4 |  0.00 |
+| BUFMRCE    |    0 |     0 |         8 |  0.00 |
+| BUFHCE     |    0 |     0 |        72 |  0.00 |
+| BUFR       |    0 |     0 |        16 |  0.00 |
++------------+------+-------+-----------+-------+
+
+
+7. Specific Feature
+-------------------
+
++-------------+------+-------+-----------+-------+
+|  Site Type  | Used | Fixed | Available | Util% |
++-------------+------+-------+-----------+-------+
+| BSCANE2     |    0 |     0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |         2 |  0.00 |
+| STARTUPE2   |    0 |     0 |         1 |  0.00 |
+| XADC        |    0 |     0 |         1 |  0.00 |
++-------------+------+-------+-----------+-------+
+
+
+8. Primitives
+-------------
+
++------------+------+---------------------+
+|  Ref Name  | Used | Functional Category |
++------------+------+---------------------+
+| LUT6       | 2475 |                 LUT |
+| FDRE       | 1044 |        Flop & Latch |
+| LUT3       |  530 |                 LUT |
+| MUXF7      |  305 |               MuxFx |
+| MUXF8      |  132 |               MuxFx |
+| FDCE       |   66 |        Flop & Latch |
+| LUT5       |   62 |                 LUT |
+| LUT4       |   17 |                 LUT |
+| OBUF       |   14 |                  IO |
+| LUT2       |   14 |                 LUT |
+| CARRY4     |    5 |          CarryLogic |
+| LUT1       |    3 |                 LUT |
+| SRLC32E    |    2 |  Distributed Memory |
+| FDPE       |    2 |        Flop & Latch |
+| BUFG       |    2 |               Clock |
+| MMCME2_ADV |    1 |               Clock |
+| IBUF       |    1 |                  IO |
++------------+------+---------------------+
+
+
+9. Black Boxes
+--------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
+10. Instantiated Netlists
+-------------------------
+
++-----------+------+
+|  Ref Name | Used |
++-----------+------+
+| clk_wiz_0 |    1 |
++-----------+------+
+
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/gen_run.xml b/game_of_life_v2/game_of_life_v2.runs/impl_1/gen_run.xml
new file mode 100644
index 0000000..3c9a018
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/gen_run.xml
@@ -0,0 +1,107 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="impl_1" LaunchPart="xc7z020clg484-1" LaunchTime="1544452647">
+  <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
+  <File Type="BG-DRC" Name="game_of_life.drc"/>
+  <File Type="BG-BGN" Name="game_of_life.bgn"/>
+  <File Type="BITSTR-SYSDEF" Name="game_of_life.sysdef"/>
+  <File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
+  <File Type="BITSTR-LTX" Name="game_of_life.ltx"/>
+  <File Type="BITSTR-MMI" Name="game_of_life.mmi"/>
+  <File Type="BITSTR-BMM" Name="game_of_life_bd.bmm"/>
+  <File Type="BITSTR-NKY" Name="game_of_life.nky"/>
+  <File Type="BITSTR-RBT" Name="game_of_life.rbt"/>
+  <File Type="BITSTR-MSK" Name="game_of_life.msk"/>
+  <File Type="BG-BIN" Name="game_of_life.bin"/>
+  <File Type="BG-BIT" Name="game_of_life.bit"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="game_of_life_timing_summary_postroute_physopted.rpx"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="game_of_life_timing_summary_postroute_physopted.pb"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING" Name="game_of_life_timing_summary_postroute_physopted.rpt"/>
+  <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="game_of_life_postroute_physopt_bb.dcp"/>
+  <File Type="POSTROUTE-PHYSOPT-DCP" Name="game_of_life_postroute_physopt.dcp"/>
+  <File Type="ROUTE-CLK" Name="game_of_life_clock_utilization_routed.rpt"/>
+  <File Type="ROUTE-SIMILARITY" Name="game_of_life_incremental_reuse_routed.rpt"/>
+  <File Type="ROUTE-TIMING-RPX" Name="game_of_life_timing_summary_routed.rpx"/>
+  <File Type="ROUTE-TIMING-PB" Name="game_of_life_timing_summary_routed.pb"/>
+  <File Type="ROUTE-TIMINGSUMMARY" Name="game_of_life_timing_summary_routed.rpt"/>
+  <File Type="ROUTE-STATUS-PB" Name="game_of_life_route_status.pb"/>
+  <File Type="ROUTE-STATUS" Name="game_of_life_route_status.rpt"/>
+  <File Type="ROUTE-PWR-RPX" Name="game_of_life_power_routed.rpx"/>
+  <File Type="PLACE-IO" Name="game_of_life_io_placed.rpt"/>
+  <File Type="RDI-RDI" Name="game_of_life.vdi"/>
+  <File Type="PWROPT-TIMING" Name="game_of_life_timing_summary_pwropted.rpt"/>
+  <File Type="PLACE-UTIL-PB" Name="game_of_life_utilization_placed.pb"/>
+  <File Type="PHYSOPT-TIMING" Name="game_of_life_timing_summary_physopted.rpt"/>
+  <File Type="PWROPT-DRC" Name="game_of_life_drc_pwropted.rpt"/>
+  <File Type="PLACE-UTIL" Name="game_of_life_utilization_placed.rpt"/>
+  <File Type="POSTPLACE-PWROPT-TIMING" Name="game_of_life_timing_summary_postplace_pwropted.rpt"/>
+  <File Type="OPT-TIMING" Name="game_of_life_timing_summary_opted.rpt"/>
+  <File Type="OPT-HWDEF" Name="game_of_life.hwdef"/>
+  <File Type="PWROPT-DCP" Name="game_of_life_pwropt.dcp"/>
+  <File Type="REPORTS-TCL" Name="game_of_life_reports.tcl"/>
+  <File Type="OPT-DCP" Name="game_of_life_opt.dcp"/>
+  <File Type="PLACE-DCP" Name="game_of_life_placed.dcp"/>
+  <File Type="PA-TCL" Name="game_of_life.tcl"/>
+  <File Type="OPT-METHODOLOGY-DRC" Name="game_of_life_methodology_drc_opted.rpt"/>
+  <File Type="PLACE-PRE-SIMILARITY" Name="game_of_life_incremental_reuse_pre_placed.rpt"/>
+  <File Type="PLACE-CLK" Name="game_of_life_clock_utilization_placed.rpt"/>
+  <File Type="PLACE-TIMING" Name="game_of_life_timing_summary_placed.rpt"/>
+  <File Type="INIT-TIMING" Name="game_of_life_timing_summary_init.rpt"/>
+  <File Type="OPT-DRC" Name="game_of_life_drc_opted.rpt"/>
+  <File Type="PLACE-CTRL" Name="game_of_life_control_sets_placed.rpt"/>
+  <File Type="PLACE-SIMILARITY" Name="game_of_life_incremental_reuse_placed.rpt"/>
+  <File Type="POSTPLACE-PWROPT-DCP" Name="game_of_life_postplace_pwropt.dcp"/>
+  <File Type="PHYSOPT-DCP" Name="game_of_life_physopt.dcp"/>
+  <File Type="PHYSOPT-DRC" Name="game_of_life_drc_physopted.rpt"/>
+  <File Type="ROUTE-ERROR-DCP" Name="game_of_life_routed_error.dcp"/>
+  <File Type="ROUTE-DCP" Name="game_of_life_routed.dcp"/>
+  <File Type="ROUTE-BLACKBOX-DCP" Name="game_of_life_routed_bb.dcp"/>
+  <File Type="ROUTE-DRC" Name="game_of_life_drc_routed.rpt"/>
+  <File Type="ROUTE-METHODOLOGY-DRC" Name="game_of_life_methodology_drc_routed.rpt"/>
+  <File Type="ROUTE-DRC-PB" Name="game_of_life_drc_routed.pb"/>
+  <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="game_of_life_methodology_drc_routed.pb"/>
+  <File Type="ROUTE-DRC-RPX" Name="game_of_life_drc_routed.rpx"/>
+  <File Type="ROUTE-PWR" Name="game_of_life_power_routed.rpt"/>
+  <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="game_of_life_methodology_drc_routed.rpx"/>
+  <File Type="ROUTE-PWR-SUM" Name="game_of_life_power_summary_routed.pb"/>
+  <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
+    <Filter Type="Srcs"/>
+    <File Path="$PSRCDIR/sources_1/new/game_of_life.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="DesignMode" Val="RTL"/>
+      <Option Name="TopModule" Val="game_of_life"/>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
+    <Filter Type="Constrs"/>
+    <File Path="$PSRCDIR/constrs_1/new/constraints.xdc">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="ConstrsType" Val="XDC"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2017">
+      <Desc>Default settings for Implementation.</Desc>
+    </StratHandle>
+    <Step Id="init_design"/>
+    <Step Id="opt_design"/>
+    <Step Id="power_opt_design"/>
+    <Step Id="place_design"/>
+    <Step Id="post_place_power_opt_design"/>
+    <Step Id="phys_opt_design"/>
+    <Step Id="route_design"/>
+    <Step Id="post_route_phys_opt_design"/>
+    <Step Id="write_bitstream"/>
+  </Strategy>
+  <BlockFileSet Type="BlockSrcs" Name="clk_wiz_0"/>
+</GenRun>
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/htr.txt b/game_of_life_v2/game_of_life_v2.runs/impl_1/htr.txt
new file mode 100644
index 0000000..4f78ef8
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/htr.txt
@@ -0,0 +1,9 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+#
+
+vivado -log game_of_life.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source game_of_life.tcl -notrace
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/init_design.pb b/game_of_life_v2/game_of_life_v2.runs/impl_1/init_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..67dcea8918272b02c304bf98cb9bd0a147a70e0e
GIT binary patch
literal 5073
zcmd<8;No%4&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZa_2J6$;``+Pf0D#OwUu$
zEy*uXNKed7jn7Yu&&f<nRnRR+EGki`NH(uBFfvHaNjI@D(KR$;Pf1NnEX^sgf-$04
zO>_+njWZYuSSna1Ffs@*aiw!9yFg6MELMQ3&&*3#D9KkSE=n#=ErB_S*~Hjfi?bjn
zG0!n0H8I6VmW#7EzqBYhwKyJ|O>Blnx+ccv$W~=>so}CJIX|zsqzKhE6ExePYVg=*
zf^6G6MlRi;)Wj5!XQ1JhoRONGU67xdSE8V<TCA>+mS3choSKsZ=5YzIN--Omn`*Ha
z6y;~7CYKmhai!^J<maa9=jLP<r0S=nmg%Pzq$kEh<@J$cAim59ov#N9KYe%@>1P(`
zC+B3xmuFVR8z5MEDai$x5oM&v#S2oLo|+eLWa{ftg{dTp)lk>O6y#;r3RYNL>N@5n
z=2TXJ{H$865So`+oSCbTnv<HFnpaW`_HR*YK~7>aSODZ>CPQ;A_Po@RoXp}9BXKTf
z6B83`RvB698iL{yZdDeS5!CdMqQt!7wEUvn#FEVXJcZ=^+=86clGGH1%sd6vVg=`b
zP=(^u<ovvpVz7Ni76|)9xfl&_*k)vr!B7CVZ5b2SK1M%?E5VVakXfvdmtUfgnUb2A
z1NK*1PJVt7D0Sv#=B4W>6ldlorz(_Wq=F;3EHkwnqAxi=uOu-uuUH{bAt$jYJyjvE
zG&d==NFhH>p`a);H?t(OEVWoqK_MU~HL*BV0hH!4Q&Ni*Qu7j%azMeFk(pYQSd^Sm
z3G!cYWnM`}YH?<<LS~vmWqzqbd177(DC?x;E1)<?k4u0}irLuE0O5HBE*|6rgc%M-
z1XV<_f#Su$FoU6ht%41b1}eDZU;$O0Sgep-l$r>NjPlHq3{Z5a7K4+yr6D4jOL4J<
zflC@JPLSeaGcqtV*Tb4940TP+Es>)sjEmnVAClw1<q^a(LsN4twv^Pe%;Z!fWiEcg
zg%q2Sp{|JmsFZ>y0B<hMfTGlb#3E1>K$9yt+H>;LGm{na3raF`GpoQU5R}T8jZG}!
z9t33+Q*#4khyG#YQVB>bDh8Pr;o_{|mYI{P5UpAq3pNv}NMMm-wlJ~K;)WC;r6rj;
z#YRnxTsgEa7vhuh6N^&xDpHaK7_mf*2A2>@`JkVfS6m{%iK)_pF^bK|K-a<ql%e3I
zek&7~u3KhaW^qPpiURQ=VLmKE0#uN}L*gqXNuh==H3W^)z(YnZRhRs{RE6Tyk`h=>
z0Og~+ba1&>Qk0mPS5mA8%1}(krdn(eIirE~v;-HEsks0XwuJ7$7{zLAp=)f4oYk)|
za!I+Q7G;)!e3+h^ms$ia50i89le57!5~I<O@EMD-g{~2@#~w3r6{!}7Wag$SXcTK&
zDI^z^D%gUGcx#2!oWz3S)D#F;Pr)}eH@~P-LBrQc(@LQrH8C3^VXcs!n3)G-rxm59
zDimZ?7H1|W=Aa0cWfql`LdCcQc%+z3EDW^RlJj$O^Yg%URBA~)Bsi@UFr&pthKs|%
zz{<eD$`DI45g}`2iCwRefuW(EF}7BTku(>(sey@}seuI+t&&`<h9(A<W;mqGjLfm<
ziefV~*EKf+g)>hD52Ql<$4HH$a$qwJ>9qw)8M%#-OAKoz0qUwS8=709Rtu$E@s!t(
zpq5ujQE|MXeqL(1K7<D;k8l)+(2C9w+$4b)@BK_%;(qxG1^GoK#R|EJCCQ))57hXF
zw8%^?P<k0QTpH2osU`6c<+}N4@%c%hu0XK@SU593DKRg*Si#sNHWo{|8W?{YNpLY4
z;VuzD{V-DtP&WbIMEuFfr34BBh180I)Z~(4g~SpCP;<9L0aP16e5}VMz%IpPYKolE
zi$Nh%lwXiqR8l!qyw7TAu4@Xa5I8D0CNMGzFmdf?<dVnV^ns>ov}WJH#4bElqcq=2
zxikYx!EHCyVuj4SvecrI)FO>yO;FcEwOAo1KQTq2ATv*+SQAovTUux_=NFV1VefF^
z5RPIqHq<pV23ZVmhu3i_V(<0^m*(as7FAktLEHR>NF5AIE>*vLjLv9zYEh~}39R#&
znxe;rnWjKZcSA!^{|4@YV~kwxs>KSPd1?8@I-u$<Jh2GW<%4jXi!w{V6&OrZAu%rn
zq{_9ZD8Hy!AvG^KzcjBTwFqP%Xq?5!0(qQ8jEl(-OOF&3Kt`CZ0|{fR)3Aqf6pM+j
z3CJt(vMH8JO0^iN!(3dNoSa%*oK~8XQwd6gOh%T-j+5u&Mj8adbPm`8P}K#u;K`t9
zA}Dh4BDouX8^H)$ctTqchE|5yYI7Mb4*YEd3ljr9OG7NRy%D&LU}%6XYC%$FMiy9{
XgRPCg$HnEEm!c4qnw(#hBESd$EkGsw

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/opt_design.pb b/game_of_life_v2/game_of_life_v2.runs/impl_1/opt_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..4c6c06e992af2a126d167ebbe8591f28c6141259
GIT binary patch
literal 7633
zcmd<`=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZlH=maFDQvmNiEJy&og3A
zNli;E%_*^hF``&abPWxSGZ+e3Dp)2kG6*nnEoS6$a4acF%`GU&%u81&$yZ2EEm25R
z$jMAj%_~k-NXsu$NJ~vDDJ@D>P**KhS4hlD(a$eZNJ%ZrOoj-7+`(*YV4=m9oS&PU
zpJ$}R#pjt@kdvC5npcuol9`XiB}UR*>=nu8RR%@|Se(gbXs&B)Vvg+2$&6eE?)fF8
zxr<eb+1Sv4+U~N<U?^a%V1<NV9+yr~X<i;E>|BDJ6%vaT5)}%HQj_!ZQZhka2Zbmo
zSQ7J6U{PjdL`sygnCKcAA%{c|mk?KQNn#N=zEq19LK2I!!BJpnV64TRoS#=*Qk0mP
zS5j;w&c*B!<cu|ZEp!bHP|`sFmkhE$$}>wc6jX~9N-~O46H|)01X!h*j7+qcQ;L#}
zM7bDEuo%i}WUOlhiZgiFgmQ_3!Xzy-FS9r!HAMkxD9A8oBXc7y_JX4PtkmQZBN;9Z
z0|nQjqWq#_Yz7<ZnwS|P2YL#ZQ$S8?VsWZMQEFOh5h#pHGEx;lmKCKI<QJ7FXh7)r
zl%ix!a2n3dFG^L&%uCBJ$^|D$J*X>8;I4>b1=#}%YPbguGIFtSfioIvz%F3q>Shed
z%uQ9$DAu%6NG>Q<uvIWHumT}N1#5-WoWz3S)D(oEk%FFrZ)$FSQKf>0ual;gLP2U`
zwt}sKk%5V^p0TN=g0(_=VrHI#t%9+Uo`Ip6g0(_gQEI9}K}Kb9W^!VVf~|s~iGigN
zOuQ_!sH7AkY-VI`h#6?e3C5L6)g?bKRiQYw1e|6fT$~k3GIK#m7AduW1BA)gRErHF
z7sYC9p=)f49PEiir#v+-A)oy8%wz@s0+4A{;2_6zWfYqsMncTw;^7L&0L86pF*xX@
zm<<gKQNv%1i^)&{(>PE%3Q8?WEJ{x;!IXts21-cq(yx?DGoTclHdTuiGV{t(i%L?9
zG>SDrDN40iAtygEMWG-wPor295<Hd`TFm(cC7>*TrQE_H9K~jAsB36!fgF_HT;ed7
zrh*Cvh2+$n9Jn<mmiVlRVl_6@H9;wbY`D}RVP>cRGghHS!8s!}IlH(t*Gj?2#4yF&
zEGgNHOMnGd93m%?V>lA}Qbw)`xDvX7o{=%Ov~HkhWPmfdo0_5~cLO~G0|Qv9MoaA`
zFmcq>ZiE@N$X=Pr$fXAf3)NzU<f7EX5<~zOgK95@qSV~{G9*C(Rw-s9Gjm9g%W!eP
zLJ-p)91#o>#*zoY@osE^5>chZrEVi^sau0f#2Hk&C+3wX6cptbB&OrfR)Zs@dvF;;
zqQgi5o9j`MsbQ*txk*Y|VhUB0sWGV7#3+&t^o%S9Fqtl;ESVCW7C>o}#G(o<$)*vP
zWR0;USxGL|;PTYe0?e$0tu(geQh_8DV+F7-l(b=FU}j=zVUlP`-LwI!YO$mZV^gZ6
z4Nw6IuE<e~Fk{T{KrX_jQ<gSx2f7ic;>1!?;YcLd3a}#LQiBP$)S${G=oISau8>bm
zqX4D!a^*6Bqzn@U3=`;(N=!jj6qZzCLY)!>M=Bvu(Ji7Zl@J#hI8p`<VQdMehPVV{
ziY>wDb4dqhWTurU1f`~D7MG+J5!aqXNjm;qR*<A)s({BVdL$xHdj?A)GR56FAf>fL
zULsmUSt24ef^ei89KzU=6G?p#=ls09)Z~)Pvdof7NNX6g;DuI1D4k*Qn!^TIyM6|G
z1_K$vUR<WGc`2a29%hFOJaj;hly8B(pI~Z6%Sx6&@kvrb3dk=fw(vnoNX1;{;h8x(
z3dPx(1q#VI`N`P|phkOMI(SS1EKm#?7AZ(A%FItuumKIa<Q0Q^o6LrWCR%I-<wc+#
zrvw*^k)8pTmRuB@v5BssG0M0FBGNG(jv48OiAjm(Nr}eBRBc%q;AnLlm<(W~M{$V-
zrGiF|Kp6r$#0eQnWtC#GFot!Fi5bC+Vl_0^wE)F7e7NWsBbU2sv4Uq_T7Iz(C?dlX
zi$EiT5RP+EW(jyK2PO*cjH(tx2fh?i^OEyR^GZ^SQd9Im<9SR*7Rcj-Vq8o{rkKSS
zsOZF!(m}!`H1t?ZbWKod%@{5zQ1>|>G>i-CKo^%LC#Mz{r<La9R6-nQiR?H+<IW)S
zK`9hI@Z!QH9S#bQymW<voW$hRB88O1lEkFM;#567u<uz6%|OGMkdf@tlFXdqD0U+Q
zT|)~?P>{pTvE>qjnG@mS>ZVYXUkV!I0vo|>WN4_xjcfv&k%6v}A&N)6xRkLOppXL^
zzDmqd$V)8&<wh1MW+MYjET$QNy7zGB;4-baAT>D?)igr`ET$P6Aol}4FmV;B7Nd_d
zsurV^rmDp_@)KAcbW{<{26se|##j)7h~5ck#EnOa*~9`dYOcv83JRfA1#kd6Lz9FR
zw)UDl7dL1K6f_X3XMkml*a$@dmR=BO1kcC-((J*K)+M=E!2?Fvr9dO3*wVZt7po!m
zQPL>TM1whac%7$$2Qr|4jgd<sBqJ4+eZlh`8HvRTNvWxM3hAkNsYRgCBuL?GW@HF2
zF66kll0jo_1^JnISVkU=3b|tSGxBp&^>cGF3sUt{Qp@zy3epqfq2u5B>4~|i@%d@-
zIhkpx@nuHne7&O5ykh;#+=85VLsYr^f)c%yWNh7Qc0+T}m?J2y!^@}Tj9g5r#R9BS
zj3!!aMWuP58KW*nt}@q()MRkBQLs`#jGHUyre)@&DxkP6r6@T*zn~;FMX#u!L_xP8
z372$1l7engK?N@9qJj!8%t%BYAYI2uXs)Z4E0b=H#dIr{sWdw-NzVWU=fsl4ocwf!
z%wmPo0?;^eN@7W>o&c*9i=mORkrsP$eo<<AYF-q(p{1^&g)yl3fJYE5CSg`EaVdk+
zb5Uw>X--M8LVg-}o+J@G9ijk^VpUKH$125aXl4O%A1GnmW8_MvO`=6fL;{Rb^sojq
r0xcM$SdDZI%}|ErNw4-0Nf6VDD2!^4kBiGSFGV3JH95a1MSu|iqI8mJ

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/place_design.pb b/game_of_life_v2/game_of_life_v2.runs/impl_1/place_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..4a1576f5a63b4af57edf091e526cb35e1cbb2986
GIT binary patch
literal 14890
zcmd;@$;9=U(Jx;iB{iuuT_HKYD79E2Ex$A`MIkd!p(G<!A-S}uC^fG{AtkjqGd)j_
z%PBQ2zbI9qs5CDxGcO&iBr~@lCp9-UuOuF9N<7FEh2;F)+{C;T9fj1)l8n?Mh0@|w
zu;Sp<5{1wL1sAY=<(XB9MJWmz?xCIvx%nxnnq2t^mB~e^i6yDXW+vvPC?x0S<)tR0
zSQV0-1GBDJp(I}+Gp{(c2;^dfmooE8^1;@_ydl6U#cXJ5qQ#k<ky%ijoL`U{#b#)x
zYh+@W!BD_j!8(DFL4b)Xkc-C|;twkY)nYCI7AYoEV=d0I%(BFk{F3AxBLyy=f}F%;
zkSjsKW5k}4nwD6aQ(^^UM6sIa8X6jBFch#<us{r5%*f^7SW=RjTTlWH!F+}E)Dne6
zg`CXf)V$(Ug|z%4g|yVflG3771$EV8b%n&d6#e`ng_P8?%w&iV$R*6i1{PXupkU6=
zGg9K>^Mu7hVo7Fx9;Qo-q`BBDlFh3Oj0`X(qu311b&XBTk=;3&k;}k6zl1b*u}U!;
z8yZmCU6#m^62K)B1WkP|LCy;0nI#zts>KQ=8AYjyDaBj@tWr!yCR)rXMaf2@T#P1I
zJj-fitZM}FD?FmZxI{r_rDf)27H6cUzzhW&W^Rcb<1$<v1`4i4MfpX=*i1IjHMF!q
zc5Nz`Q$S8?VsWZMQEFOh5h#6vvK7d-qSS)?q7nrS2pykNl&lGk{9I55%gjs5FUkeS
zr5@NB76wSp04FO;lwc{~(m@WE#A1a+g@U5g<ovvpOi+pd*$hf8piBf!%SJ{>MiP;h
zSxj_|jF6MaXjCNUV2O%QE+MYqlEfl#{#Gqk2uUo?24`7A17j`j<ovwilA^@Sypm!g
zDK54EQ29}WB`vWTTId=YpcEaoTu#2FIVG8pv<@mgQu7j%a#B;k=?1wZQYbA3Nhd1g
zCRSwTmgXwtr-3qwb3kY@D1#UpX>o#;r{(0A<H{y%#s<1(hA0JM85a*%Kn5r^s}@5N
z5woFzA*!FmxR?wTF#Ths$t4Q$tAb}<W=UpZPG%K2d1ES#f_NQdGrZL7U?jq72`&~x
zJ*<{na;ajqT){83Bqy`DL?JjI99_gY<`WZFk!o>BW^Ss2MzN-qLUKW=f-NX~trb#p
z5(|n`Qy^SD1>e-%{Gv()4PPfsD}{p8#B7L!wL*GgW*&^4R+O5mP>@ksoSB@MgCba#
zSyWOA72^`%kzzKnKoqWeTv9OC7eibRss;;kQcF_xutfl<=rXV}03l3o8p(5UgQctt
z4D<{Pu_%z?;xIBWHPtgVwZtMT$;E1*XJCM(ZZVSNVl^}|Fvp?G(98&%f#4d+99-P+
zRPaD*&S)+-Na6!UGEvc~P@~|Sk(!)cT$*d8U}$b`oSJNIZpkIU0;{2rOS5B)Tr6DR
zRE?UTmojoqU__5>L|7RqAjdjF+(ZF;^uq#C0iFoJ0jFTCfSwM(p#~F2Bn2EHhU^tr
zE>)NOyi|qa)DmzZ6yf5mP?DJoDiV;2A4tVwY^ucuk&9wAw$L>;MXtU|xikYx!BwJa
zu|j5ES!z*9YLP~<Ca5-1Emp|MPfSrL$js9y)`S>lX`#iOUr+)nQm`cjQ7%R-!clC-
zhPsBvDD~$1VVK46XF%HJFEgxRZfLHHmcOPFUB((=D_`8Wj6D4n!1)r?8_-v9&dE>C
zMiy`?&CE%G2ox!}fZMZ}MG3ajpK9qjoht}dgcvE1?pl=cBrVN2*(A}>3`cQt7)Nok
zh>@!oS8-y9y)3abr%G7@G96sTpq3@3m~ntymedkk@fu@G6nb1zD6tN!v3&DWQgbjZ
z#uoVjT-LBSGgiQD7)sn2nkAc?8Yd>D;fS0AI3j00BUcx$$iZ6B8{v)_3q50VP>@5b
zdSg(v3M<W#YI{Qy12d4aG*Bx5C1y;_FaroVW~zvd853+Vqs=Am3~P!)vTCuuZ(?#$
zKDLexmKK>Wmjx_ZOcZdKH1gualgk7eDzI`6wY59)LZpz0R4T^Bgtbah;SzAq$xlkm
zfdnR~TEWZ`*vbqSE`3Ne7%89|fEokF#s<b|=E(*)%87$G%83PxT-~_J2{UYkIPTV<
zg^`}Ig)yQvXk@NuU<BfW3k1}H!qfm(fS?o<#srFRBBKCn0j|O&;F4OBm`QRJ5Ls^+
zWACu(aY=!O-ZD!Rz&&0C=lq<~+&l$R3MhEJ0kQ(OVIwd-)Dw{<CAe6O^{{4211=d@
zXyzA}K%+!KO~EHLB*@X1$l4=_%NCMBL6+b%4mEm`&63QFO%jc%m|e}WWmi+2)jBEJ
z)x;8ecEvI%iCnK&5*s~OGprVum}60DqC#+aVu6Bx0jO(7%0P<`mpLqAj1{mOgc>Cl
zrj{uwhGw{$!Q_;ngD*-NiR>B~>tStc8F9%4WEQ06WagzR1f`~D7MG+Jkr6i`T=uZI
zF;T#8AZi>Xni`~<n<NeIY(sRZW{RyjY``TGoST@FqY#{tSdgkfUY&<js+lU_GY&O+
zOjAsZjS>xUbymp9LKfIcH8bjzYNpsrH7tXM$fa5-v89?Bw&+pg5(-MyO)LgYvw><F
z(5MrURgDLiF)Y`ZDPS6c8Wm<1#wN*WiH20HU<O}Q5MP>^V~Y#wmuBW9m1ZL%j^I5Q
zV~nzB1g6+dV(MQpE+(wCuML+*0H~!3ADTp|dO`E+3eGvHiFvxA1;q6(tBEVhOt5!t
zbhsqI&VjaiNpGT2Z8Wrjm{67CVl&YL&A4EOjgdZ=G}tTHLl#p5wyt^@mm?lC&?XB}
zlfGfHaiV3ixhamqnwT0#hKmF2JM2-V#Kq?n>gKNC30_iybzKEEFEuc7xq`c`I3{W{
zDvRUu3qXrlz||Svxmspp3j-|<&@ez{UP%UMVg*a@A&Sk|NY~s5G-C>1)3A<-YdRy?
z^^gTInfZANnJKAxC7EfNsVSh@%H+hnl+2XGl2nDf)RJNykWg`Ha&l@hl%1VfP=GwQ
z3>tpXFUl_kO({WCBdGxOGxE|gg!7>DtH~LOdFh}<5Q)Y4d3vBZXk!DkIcO}i6d>=?
zN;rzu#7x&1CCK0{1z3^*2Om80NlJWVG};V7qdSNRWav~P?y-MTx}wIQQ7Q0<63WPt
zkr8GlMQ*e$W#r00%bg*RdBot-+}y;XN`-L0U|ZE<Jq5S?BJc{UTu@1yn4DZ%1WH(t
zRH1;hnnj@ix*Vo7PXW5}1)>O)D45L*4YXLnD-%F#Ba997%uKNif=7XtCYYO;A<t9>
zbJ;;!dEmHHKyx&5A&VA`<|YOyNoI*S%8=m^jecB~@W6ot4VKDh1cnQdBP}M_2g0<t
z#L$u%xEWDOWGezb(gHFbyFo)bN<gDHSV|mY{3T8?v281?gI_9K0^qhtkwOr7bzNp&
zI;M5ls%$qdLs$+mhD<gf88HH*fylW<6YS$%+FaspnR&?5HjoxJu~`E?w+J#Hhe@cB
zVxDN8WR{YaG(?JV^72Lumpe3(LJDzkQU|rlaCc}%U?|yfX}ad6fYv&J!>0(m{t`7D
zj8oE5(#%b$I37yNwwnp|)M8AaB#q({3rYnwwm?AvU0n`Y$IdFnWMK?j0!Pf^@hDb9
zb6pE#<o4DvMlN^NVg=8<w0uZ+Aw01Nv?Tz-aW2X%0k39+iGq9Cs>RTy(+a71$@!&u
zC8<TJDSDu#u}nr5$ZL^7J$ZA?f*aHwz|vp^31b<21PNp5JVddW=z^E|!`GI^aY?Bb
z!`DuxC_p<wX{9+il@Q0FECDCH=pSSQ%0lTUgJLzC5*Hu1X&n#Q1!0A4Y!ALh%@A8a
z!(|ODu*42{1skMOf<;z}iw!chjYST!MAQUZl!K(qjIgD3Y)eF4xTM2DNiQ#50qoTx
zg_OjS#H7UHR6RX#LSr#B11-Xagg|LYW=?SwyODvep@k(Vb;FZ{EteR~oCp_JHw9>~
z7i<Kxk)fd$H?j$AMh3b@;FZ#F1H8DDu^FI{lb;UG7oZ+D)HDN2ET$QN7WWf0t+*gH
zIWsW_+<%9fW@v!LG(!XAPThw=ks39*L_r~xssIjP=X}uqm6BAfi4u8jvMH9~50u5r
zMpza@7|DPZFXK#_1Q#!xV9N~9l!?VX*iz<AMlOYrj8xDd3wT#gMq;r-Qfg|RLV9Xm
zDyXjvDhOGnn9Ym~;U%*i7gsW9`Eo&iW?l)FY*WIOpr4VSo2s9ilUb0epORXppH`5b
z7++A7pOu<iqMx3an;M^=7N3)umKtAXgwEG1D$Og_2W{VqH$;^K=baS2lw@pO8FoW+
z&}v<jk&|>TCRNbR0!9-pwxZHJ(B3F-E_2t4)MW5zk%AShub-K(pqrMNld6E?w#@u^
zsFg(pC0v+3MXq=@F>=jegpM3!=36P?T$ybMntjI@PBG9kGA4Q&4A07JW6*LyEZrMi
zD~T2|Vg^wQBUb^IFai}z(9IFp0t-nRDby5n3zAR+6^pupBm#lEn~`fNEO3$Z;|pc1
z(_035MuyY~WfKz|bB$cs*YLMs3FQo~5G;Y5oS#=xl%Eq{oLW+>pj(z&l$2kbiY>U2
z6(WT<fq>k@$h8a>kjN(B3rVcQe+GJn#IGI0lU_~WOUh6N0F80Ybn<a=x#p!P1f?eD
J7o`X=0svK5o5KJA

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/project.wdf b/game_of_life_v2/game_of_life_v2.runs/impl_1/project.wdf
new file mode 100644
index 0000000..9382c33
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/project.wdf
@@ -0,0 +1,32 @@
+version:1
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00
+70726f6a656374:69705f636f72655f636f6e7461696e65725c636c6b5f77697a5f76355f345f335c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00
+5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3365323635656537656334333435613361386236663062376632653131373135:506172656e742050412070726f6a656374204944:00
+eof:1412559954
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/route_design.pb b/game_of_life_v2/game_of_life_v2.runs/impl_1/route_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..73199a5e22bc3908d52fcc709c8b269a3147ccb1
GIT binary patch
literal 1135
zcmd-I<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZQsCk#$}cTRjZaA}&P>lU
zVoym;ODxSPv4Sz8SWR>d4UIDx3Ro&wCNMGxFmWwr<Z^H<DM`&OD9OxAS18F>NKY+M
zNL0wlOis-!PE|<DFH%TLO)M!bN>xx-Eml`Z%uCVFFH%TJEz3-X2!UL}Y;0hm#g?3(
zo133!q{PMNnOl&Pnwy$el30?NkHsZM(p>Bn$>voCMg~}%$!2J-YiweU?9R!ITn6s>
zC8W8FRf^fz(16<Rvdmy8V69+<gkJ%dPEcuH9w_Wwf}9l+ixm<T3W`#b^Yc<NL0$)i
zC@5GG^HN}uW@JQKq_LRj8W|ynMF5u!vYq9bB^e5;#R?@EMX8A?#asfcQcOlBTFfa$
z$ws1Fj3!tDnAONw*9a88aDRkxiGtjpmYJ7XoRONM05ueBn7JjggJrll3=~|8it>w!
zv6*b5YiMbK973sFP60WoiN&c3MX712MW6sF$w*ZI*;bTVkY7}ypaG%dQ;L!`!3i!m
zzbI89GcPT_C>NZ5^uW%rFhFt!I4N7AL`4{v5La+XVi7nYs}?JSBo=3b)25+;u@-l7
zeqM1&QDSCZNiit#1?885)M4=fo1ulSp#e%JspWF=EzK#(goH3Cu2b_8lX6m1z^+Bk
za|)%!An8Pf+{B8^+|pcy{4`M1I0u9lgQCUIT#FN|JS```99QJ98Jp@FnVKMnVF4Ep
yS3m|R3{{IES)bX^z!23_Vq8py3Yh*eQs&}!D$UGEQ3wiAaKTkDKs;@Tl*$0u*-GvJ

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/rundef.js b/game_of_life_v2/game_of_life_v2.runs/impl_1/rundef.js
new file mode 100644
index 0000000..1235ac9
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/rundef.js
@@ -0,0 +1,44 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.4/bin;";
+} else {
+  PathVal = "/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.4/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+// pre-commands:
+ISETouchFile( "init_design", "begin" );
+ISEStep( "vivado",
+         "-log game_of_life.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source game_of_life.tcl -notrace" );
+
+
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.bat b/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.bat
new file mode 100644
index 0000000..220ba68
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.bat
@@ -0,0 +1,11 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.log b/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.log
new file mode 100644
index 0000000..71cd8f6
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.log
@@ -0,0 +1,279 @@
+
+*** Running vivado
+    with args -log game_of_life.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source game_of_life.tcl -notrace
+
+
+****** Vivado v2017.4 (64-bit)
+  **** SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+  **** IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+    ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+
+source game_of_life.tcl -notrace
+Command: link_design -top game_of_life -part xc7z020clg484-1
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+INFO: [Project 1-454] Reading design checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_gen_25MHz'
+INFO: [Netlist 29-17] Analyzing 444 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+WARNING: [Netlist 29-101] Netlist 'game_of_life' is not ideal for floorplanning, since the cellview 'game_of_life' contains a large number of primitives.  Please consider enabling hierarchy in synthesis if you want to do floorplanning.
+INFO: [Project 1-479] Netlist was created with Vivado 2017.4
+INFO: [Device 21-403] Loading part xc7z020clg484-1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_gen_25MHz/inst'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_gen_25MHz/inst'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_gen_25MHz/inst'
+INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc:57]
+INFO: [Timing 38-2] Deriving generated clocks [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc:57]
+get_clocks: Time (s): cpu = 00:00:11 ; elapsed = 00:00:29 . Memory (MB): peak = 2011.344 ; gain = 504.508 ; free physical = 14096 ; free virtual = 16278
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_gen_25MHz/inst'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 34]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:27]
+CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:27]
+Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+10 Infos, 2 Warnings, 1 Critical Warnings and 0 Errors encountered.
+link_design completed successfully
+link_design: Time (s): cpu = 00:00:21 ; elapsed = 00:01:16 . Memory (MB): peak = 2011.344 ; gain = 840.918 ; free physical = 14102 ; free virtual = 16281
+Command: opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
+Running DRC as a precondition to command opt_design
+
+Starting DRC Task
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Project 1-461] DRC finished with 0 Errors
+INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 2043.359 ; gain = 32.016 ; free physical = 14092 ; free virtual = 16271
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Starting Logic Optimization Task
+
+Phase 1 Retarget
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Opt 31-49] Retargeted 0 cell(s).
+Phase 1 Retarget | Checksum: 241d76bc6
+
+Time (s): cpu = 00:00:00.23 ; elapsed = 00:00:00.20 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14094 ; free virtual = 16272
+INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 1 cells
+
+Phase 2 Constant propagation
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Phase 2 Constant propagation | Checksum: 1e074dfad
+
+Time (s): cpu = 00:00:00.32 ; elapsed = 00:00:00.28 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14094 ; free virtual = 16272
+INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
+
+Phase 3 Sweep
+Phase 3 Sweep | Checksum: 2064984a9
+
+Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16273
+INFO: [Opt 31-389] Phase Sweep created 11 cells and removed 0 cells
+
+Phase 4 BUFG optimization
+Phase 4 BUFG optimization | Checksum: 2064984a9
+
+Time (s): cpu = 00:00:00.50 ; elapsed = 00:00:00.48 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells
+
+Phase 5 Shift Register Optimization
+Phase 5 Shift Register Optimization | Checksum: 2064984a9
+
+Time (s): cpu = 00:00:00.54 ; elapsed = 00:00:00.52 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
+
+Starting Connectivity Check Task
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+Ending Logic Optimization Task | Checksum: 2064984a9
+
+Time (s): cpu = 00:00:00.58 ; elapsed = 00:00:00.56 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+
+Starting Power Optimization Task
+INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
+Ending Power Optimization Task | Checksum: 1aba7ba33
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14093 ; free virtual = 16272
+INFO: [Common 17-83] Releasing license: Implementation
+25 Infos, 2 Warnings, 1 Critical Warnings and 0 Errors encountered.
+opt_design completed successfully
+Writing placer database...
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14091 ; free virtual = 16271
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_opt.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_drc -file game_of_life_drc_opted.rpt -pb game_of_life_drc_opted.pb -rpx game_of_life_drc_opted.rpx
+Command: report_drc -file game_of_life_drc_opted.rpt -pb game_of_life_drc_opted.pb -rpx game_of_life_drc_opted.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Coretcl 2-168] The results of DRC are in file /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_drc_opted.rpt.
+report_drc completed successfully
+INFO: [Chipscope 16-241] No debug cores found in the current design.
+Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode)
+or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design.
+Command: place_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+Running DRC as a precondition to command place_design
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+Starting Placer Task
+INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs
+
+Phase 1 Placer Initialization
+
+Phase 1.1 Placer Initialization Netlist Sorting
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14079 ; free virtual = 16259
+Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1773ec779
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14079 ; free virtual = 16259
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14079 ; free virtual = 16260
+
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: ff3c4a16
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.97 . Memory (MB): peak = 2055.359 ; gain = 0.000 ; free physical = 14071 ; free virtual = 16255
+
+Phase 1.3 Build Placer Netlist Model
+Phase 1.3 Build Placer Netlist Model | Checksum: 16c753abf
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2058.371 ; gain = 3.012 ; free physical = 14061 ; free virtual = 16246
+
+Phase 1.4 Constrain Clocks/Macros
+Phase 1.4 Constrain Clocks/Macros | Checksum: 16c753abf
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2058.371 ; gain = 3.012 ; free physical = 14061 ; free virtual = 16246
+Phase 1 Placer Initialization | Checksum: 16c753abf
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2058.371 ; gain = 3.012 ; free physical = 14061 ; free virtual = 16246
+
+Phase 2 Global Placement
+Phase 2 Global Placement | Checksum: 13303f7c0
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14050 ; free virtual = 16235
+
+Phase 3 Detail Placement
+
+Phase 3.1 Commit Multi Column Macros
+Phase 3.1 Commit Multi Column Macros | Checksum: 13303f7c0
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14050 ; free virtual = 16235
+
+Phase 3.2 Commit Most Macros & LUTRAMs
+Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1c6b634a3
+
+Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14049 ; free virtual = 16234
+
+Phase 3.3 Area Swap Optimization
+Phase 3.3 Area Swap Optimization | Checksum: 1859dd166
+
+Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14049 ; free virtual = 16234
+
+Phase 3.4 Pipeline Register Optimization
+Phase 3.4 Pipeline Register Optimization | Checksum: 1a50e74b3
+
+Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14049 ; free virtual = 16234
+
+Phase 3.5 Small Shape Detail Placement
+Phase 3.5 Small Shape Detail Placement | Checksum: 15d432a1f
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14045 ; free virtual = 16231
+
+Phase 3.6 Re-assign LUT pins
+Phase 3.6 Re-assign LUT pins | Checksum: 16834cfa1
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14045 ; free virtual = 16231
+
+Phase 3.7 Pipeline Register Optimization
+Phase 3.7 Pipeline Register Optimization | Checksum: 16834cfa1
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14045 ; free virtual = 16231
+Phase 3 Detail Placement | Checksum: 16834cfa1
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14045 ; free virtual = 16231
+
+Phase 4 Post Placement Optimization and Clean-Up
+
+Phase 4.1 Post Commit Optimization
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Phase 4.1.1 Post Placement Optimization
+Post Placement Optimization Initialization | Checksum: 11c3a9c75
+
+Phase 4.1.1.1 BUFG Insertion
+INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs
+INFO: [Place 46-31] BUFG insertion identified 0 candidate nets, 0 success, 0 skipped for placement/routing, 0 skipped for timing, 0 skipped for netlist change reason.
+Phase 4.1.1.1 BUFG Insertion | Checksum: 11c3a9c75
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14036 ; free virtual = 16222
+INFO: [Place 30-746] Post Placement Timing Summary WNS=30.656. For the most accurate timing information please run report_timing.
+Phase 4.1.1 Post Placement Optimization | Checksum: 11740db6a
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14036 ; free virtual = 16222
+Phase 4.1 Post Commit Optimization | Checksum: 11740db6a
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14036 ; free virtual = 16222
+
+Phase 4.2 Post Placement Cleanup
+Phase 4.2 Post Placement Cleanup | Checksum: 11740db6a
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14037 ; free virtual = 16223
+
+Phase 4.3 Placer Reporting
+Phase 4.3 Placer Reporting | Checksum: 11740db6a
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14037 ; free virtual = 16223
+
+Phase 4.4 Final Placement Cleanup
+Phase 4.4 Final Placement Cleanup | Checksum: 17a7b6dfb
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14037 ; free virtual = 16223
+Phase 4 Post Placement Optimization and Clean-Up | Checksum: 17a7b6dfb
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14037 ; free virtual = 16223
+Ending Placer Task | Checksum: 13dfdf743
+
+Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14047 ; free virtual = 16233
+INFO: [Common 17-83] Releasing license: Implementation
+47 Infos, 2 Warnings, 1 Critical Warnings and 0 Errors encountered.
+place_design completed successfully
+place_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 2082.383 ; gain = 27.023 ; free physical = 14047 ; free virtual = 16233
+Writing placer database...
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.54 ; elapsed = 00:00:00.25 . Memory (MB): peak = 2082.383 ; gain = 0.000 ; free physical = 14042 ; free virtual = 16234
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life_placed.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_io -file game_of_life_io_placed.rpt
+report_io: Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.23 . Memory (MB): peak = 2082.383 ; gain = 0.000 ; free physical = 14032 ; free virtual = 16220
+INFO: [runtcl-4] Executing : report_utilization -file game_of_life_utilization_placed.rpt -pb game_of_life_utilization_placed.pb
+report_utilization: Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.21 . Memory (MB): peak = 2082.383 ; gain = 0.000 ; free physical = 14044 ; free virtual = 16231
+INFO: [runtcl-4] Executing : report_control_sets -verbose -file game_of_life_control_sets_placed.rpt
+report_control_sets: Time (s): cpu = 00:00:00.10 ; elapsed = 00:00:00.14 . Memory (MB): peak = 2082.383 ; gain = 0.000 ; free physical = 14043 ; free virtual = 16231
+Command: route_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
+Running DRC as a precondition to command route_design
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+
+Starting Routing Task
+INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs
+Checksum: PlaceDB: 491c0134 ConstDB: 0 ShapeSum: f4e1f60f RouteDB: 0
+
+Phase 1 Build RT Design
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.sh b/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.sh
new file mode 100755
index 0000000..32e8767
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/runme.sh
@@ -0,0 +1,43 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.4/bin
+else
+  PATH=/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.4/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64
+else
+  LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+# pre-commands:
+/bin/touch .init_design.begin.rst
+EAStep vivado -log game_of_life.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source game_of_life.tcl -notrace
+
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.jou b/game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.jou
new file mode 100644
index 0000000..4733a66
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.jou
@@ -0,0 +1,12 @@
+#-----------------------------------------------------------
+# Vivado v2017.4 (64-bit)
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+# Start of session at: Mon Dec 10 15:39:54 2018
+# Process ID: 6105
+# Current directory: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1
+# Command line: vivado -log game_of_life.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source game_of_life.tcl -notrace
+# Log file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/game_of_life.vdi
+# Journal file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.jou
+#-----------------------------------------------------------
+source game_of_life.tcl -notrace
diff --git a/game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.pb b/game_of_life_v2/game_of_life_v2.runs/impl_1/vivado.pb
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/.Vivado_Synthesis.queue.rst b/game_of_life_v2/game_of_life_v2.runs/synth_1/.Vivado_Synthesis.queue.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/game_of_life_propImpl.xdc b/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/game_of_life_propImpl.xdc
new file mode 100644
index 0000000..4a171de
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/game_of_life_propImpl.xdc
@@ -0,0 +1,41 @@
+set_property SRC_FILE_INFO {cfile:/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc rfile:../../../game_of_life_v2.srcs/constrs_1/new/constraints.xdc id:1} [current_design]
+set_property src_info {type:XDC file:1 line:1 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN Y9 [get_ports {clk}];  # "GCLK"
+set_property src_info {type:XDC file:1 line:3 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN Y21  [get_ports {frame[0]}];  # "VGA-B1"
+set_property src_info {type:XDC file:1 line:4 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN Y20  [get_ports {frame[1]}];  # "VGA-B2"
+set_property src_info {type:XDC file:1 line:5 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN AB20 [get_ports {frame[2]}];  # "VGA-B3"
+set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN AB19 [get_ports {frame[3]}];  # "VGA-B4"
+set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN AB22 [get_ports {frame[4]}];  # "VGA-G1"
+set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN AA22 [get_ports {frame[5]}];  # "VGA-G2"
+set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN AB21 [get_ports {frame[6]}];  # "VGA-G3"
+set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN AA21 [get_ports {frame[7]}];  # "VGA-G4"
+set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN AA19 [get_ports {Hsync}];  # "VGA-HS"
+set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN V20  [get_ports {frame[8]}];  # "VGA-R1"
+set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN U20  [get_ports {frame[9]}];  # "VGA-R2"
+set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN V19  [get_ports {frame[10]}];  # "VGA-R3"
+set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN V18  [get_ports {frame[11]}];  # "VGA-R4"
+set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN Y19  [get_ports {Vsync}];  # "VGA-VS"
+set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN F22 [get_ports {switch_mode}];  # "SW0"
+set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design]
+set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 33]];
+set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design]
+set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 34]];
+set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design]
+set_property IOSTANDARD LVCMOS18 [get_ports -of_objects [get_iobanks 35]];
+set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design]
+set_property IOSTANDARD LVCMOS33 [get_ports -of_objects [get_iobanks 13]];
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/.vivado.begin.rst b/game_of_life_v2/game_of_life_v2.runs/synth_1/.vivado.begin.rst
new file mode 100644
index 0000000..678b75a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="mlipe" Host="" Pid="5882">
+    </Process>
+</ProcessHandle>
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/.vivado.end.rst b/game_of_life_v2/game_of_life_v2.runs/synth_1/.vivado.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/ISEWrap.js b/game_of_life_v2/game_of_life_v2.runs/synth_1/ISEWrap.js
new file mode 100755
index 0000000..8284d2d
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/ISEWrap.js
@@ -0,0 +1,244 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/ISEWrap.sh b/game_of_life_v2/game_of_life_v2.runs/synth_1/ISEWrap.sh
new file mode 100755
index 0000000..e1a8f5d
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/ISEWrap.sh
@@ -0,0 +1,63 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. 
+#
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+if [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+ISE_USER=$USER
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.dcp b/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..707a86ab54638149088e7e912a4860d054b5ca76
GIT binary patch
literal 416429
zcmWIWW@Zs#U|`^2_*K*8%M{1wv5Jv_L6e1nft`VYAtkv$uOc_6cgn@Q*#;tQ>!&Z@
zu;Z4VSL38*uAZv|JepmYlqTebpE$T|Bb%8~n`-T^iN~&*@#fx%*!2JW{Qh$jZECHi
zvSt{r=Ui;;8gBYD({7etzs2u3Lnqc7^(p;aHv~@o@VPkU=Zni%{A})fZa;afOnF1A
z>O9T1Zw2`hyNaq$hIhVS{(gc_x&DDXkH&^042u(vauyV@eJL!@>h*o?$2>dsPVSeJ
zp~7yBlXa3`-k2<=#CFSj-K+<n_f{4wJnD8)6rW_BI@$c}g-wsH))?fcxSBP~{AGI>
z)T(>b>1;&i-o%v9*kzHss>+`xhk1V58XY`sIqS)fro|q-{H$>%O_hyzpHyB~<IE0M
z%5a&WFDd%t%f|&ii`wjxyDUW{PP&BcC_BW|HRt1}^U~Tu2?Fz1dy8N1z7SgVD(JQH
zngVl`wxmz*WM(h3G*jz87B6)DW7uB4o==Hwd!;MuKFoRd=*T<crCT%jvaAEPmHqiY
zvu}lj+V5R&H?L5)db4YLtlM5DCu2JwLCfwqX7_>x2YCM;-EQaZb?EU<KCOjCF`^%A
z&U}CL#sBwl`(?I!|9<5><Gy%4YIOd2I!$(B2?N98PDTbH1_p-o#N5>Q{IvL-%(PU!
z;*7+C)G2d0=Y(9H`oHq79&3}`Z5{W`d(X^@oV0Lv=B}Bl!q;E8s6L)lYSiQD^T;R6
zbmqx5NB21^Dy_btpwN`0+0n7!sFDKL6b+?EmvS~~nk`c3`c%LFer(+S$E(-v|2$LW
z`@eTPpZ|HcHhlf6mEZThH@DqYQu%q~uJv`#m(9Qb<@EoKb^AWvUz}ZEc6fb$kKWFQ
z*QDzz4(po#OD*}hzV7eu+4;X-p3m!*s=D^QOYhIm^U7uUoq78{PLt06cemI6->K(*
zuP-e<Er0y~%TG^l{n-DieuLawz1UxG?35E8AKKkE``FWik~<%_hs)T%-n=vT-T$Ut
z#d<qG?Bf0%TXa_R{;n^t>cnGzeU;m(R~oy1=Z95w_P=iOn*TLA!&-WGefsyd=(>`_
z{rPVuu3q`N>g3k@CWoIt$$EWm*P{2Xf7iXJKDjhJ?#JED#mldjCeOcHT(tc5!)*P%
z&n9`Vw^c89yBk^SYdx>%V&zWb*XKUge%}B5X;JC(8)b`1eILE~KX3YLvEws0>8;Z%
z54(GFS&33<zp8jy>izZcg&%kBlq<E35t;hAC|!ML&c9uEEKAduFa2DU$i6#mjb3@4
z%(FF-EA^($xZyf?w)OgT?NfV-9N!6-&foRpP`3WQ50kw0H{O2QZg(^BMC9}TbEf|e
z$@wx{{Ft%cu78`n*ZuufI=y~*^}EZZmRa%p_1<~J>ixT4SDUPOW^VlTyL~bL`ma0h
zSg>w)uJNNgchVoN-SF;lPxzO&6MyH#hVMyvGk5my7@IuZ0M6q1{l&{#^skh@mnbaL
zTlM<c^!kgIJM|w)Y*_uG`r^%<_8slkCI2tT8O{lu{#$uZjQH2z_ny|S-_sH}>G$JZ
z{xdFW>+N21_(0`Od(XZpJHCWokEwZSxzpa%ea_qKPxn3P=g^)z{kQS%_W1qps;8TM
z+52M`_j()s@6Su0tHi$Z?Y|SBpf2#^Z1!yXiN8<pGWb~O>3qL?-|w^j&-cZY$G!3}
z`n9|$e&uR!*7&kWo%!>BuioYVs-UmN>iXt)ds0&W&iQvTruOd6%(*Y6AAMQL^7ql&
zm8VUQW$(3$Q|s^RUcdX<DgUQcSFgqj^)G!|T;zLa>FQrIHx?J|y}AF|x5F{Tf0TBA
z+;=@*{8^O7jE0C8vt9?6SLwvm-&|f?A6qUbb^Yt^SBJ9K@BSh+`*HBj{VP`+|JoWJ
z_hYU1+M2v~`Hz)P$?dT%Oqe(O_imS!=BL76R~`9(oBy(8dEUGCm%aX0?p*&+boZY7
zN2kYEe7!n7?xud-+;gX6XY8?GdvVtt*MDbUh!-1*Uw*$xd)@ADr?mC<nVg9Yx_-B`
zAfQgEJTvwMtM%JAcTzt#Rv*23e^KY_b7yO9_fGiXTUS}7xUq;er+)imr+<oTk8uBF
z6Z>?xkK59|JoT=+b(Wpgnb^?le?NIo+J61!&egAX#s0pVz5Z3TY1+KGucNQO{gkc0
zM@25>`|KBSMGIg5Tm1Ubj(78RtJxJlFzCHpem*waYDKBvyInsTHn={XI%o3keec%n
zcv>@O>hG|-GsC~U{XRE8PHsQ%{<;4Ic7A!~z3bV&;PPKnug90)OpPtRpZfaM>O*(F
zzuL9=>ib)-*X?}t$l~Sq*XKYfdfh{-^*eTDznlNc@4w&uY`uSZ*YEJZ^4tIF*ZY`j
zmrTE(Uv~A5>Gktle$AQrd-|?h+3R<HT62fDG(E27=~s&vhwkvtl$jqQ9((iqq1Wfm
z{9Uom?BASM^L`h`>V>_ou3!G|*1Mh3wd!$y{bQCZ%KcpV`b(F!eev9BJBo#^dwG36
ztluZB{=@vbW7GWId_sQ?l}4?5JMDMsUCB2wS8cy*uRgwW_w%~5e(&Ra{z%{N6u$q)
ziudD}Xp6n&>sOyUbvLSfS?0DcC;m>8zx<U~_v072o%dDu{y6jX{Tox0#EZ5?|0jNV
zwDJDt>mm8qKF=>)x-Tv6`<?oYhYu7N{f{l5X8CXZt`Cp0f0dp8%g@Ju*;4=e{*ThV
z$DQ_juj@ZQy>N5%yZ^7w8L%hC>dC9TZ#n&O+CGKr_8sSIzwOwoR%ac1>;10NkN^Mu
z^>mW7z>#!;a=HDR-XFbxKt1Vxx7}1}i7RunSCve#pD!(PWv+aCyrB5M7i-q-Jm`2g
z^ZJoPJ9jF6oIbt#(a~?4-95M8xv=2fm+nXY*H)iD&CC7j+`bRD-pAE`G@V{|w`TUs
zS<-U;f1e!<kEtrlb2*UP72h;1dw*?RUP{3C&VBdyth+B7eq3~YxAxKFQMLO|KPo@F
zV!^vJuh;)OcC~hYZD}vd)}6W^x34MOJWbwywOV;vt)6{t_Wlol3IYVq@qf7gp8erl
z#~<+zA1Umy=CBvn<3F^%&Gyho#~msh|HF38nJ8`c&hX6IlTUZG#4ho^a@3@0#p%qu
zS-VpHh5N4ac3!uo==ovOhvJ717tZ_OAn;FPk2;4w=YO`Pbu#Pt4u$>u-^XBjolVy3
z>aL*1>?23BeLh~^eKcN+`JeeM$Anv9o!sBgOierLy~nCXg~OfwVdca3hrcrZ`~OiP
zFHZPfVz#e9ozWWJ>8aDUYJd5fof8>skoo(6U-|OtKcZ$FkN+RJxYBR>`X^q+NzV6q
zXCJO~{L%Sgr@|hSvQNJYLImc?+DWzC=dW&6Zz{+Z@Ozi>{ES!G4%uaiy4QEin02Jc
z_j+FXr|7cjdWG8e)N7vmWy)t>ebUr-uK8HZzLx6+MFMv6E&41E-DEUBE)?9q<YQ3Z
z!+@VfKOzM73AX%h{*e8U-`X<H?|s1@7J17!KX0z%##Uuk5w9*4rawMfuR49c<olc&
zh4Y+`#6N%e!2a;H$!0B%{R01Vcn)oE{@{Q3e#`CV57M%0O8@`AfAat@Yo3OO*<4<!
zGF~?Jiu@UFGR0-v-<4JWtS=N_&l<<~=lSBrb4$)%v{jD#bWvfBM2qpqWwXRu;yJ6^
z{>$+39;$VWc(VFS=ZE!&cRE%?w!6;%`+xrnzp%9CoqzMzhHaES?7BGc@v`d<oV(}V
zE!2$Nb)@Mw>p%XMewPrzN4%Z>h3QYWo$LSKVUoV<&u{1FSLaNey+<RiWP8lduQRg?
z9&zk?`f2vXp4@9SnjPwMZ=S8;>{$BQX^zOlZ{CjQ7d+jv{%VX|e)PYT<1R;Q?E({%
zuevyDU2K;Xo+>xvq0rX6S#i37xmp)j_I2L+R^h&sH&piO5}~K<r5;oCtK8q74=XK+
z(hB_F*LiE%Z<pNF;^E#URazGtrY!cf3oK-sHCN3_d1^+&ahIckC)3uidiCN=$Rfv;
zOL_gLZA+=x>asIr(Lq_^sqH^qem<0cw`S6cY^{qE`Z}ZTAMrlw@-#Ozerw)J7iVj=
zEPeIPxOJ~ytO;3^xYC9Hx69JMp~9v9s#5cAy;1dCbU0M_tB0!8M6Z(DHPcrZ$er~Q
zo;%me#HiGP&$Dmw-R~jZC4O2LS4>$f)aUd#BlRk?k&mj>T&unc3u$-n@b~K`y|@#y
z$Z+NM6OS$CoK4eTU6pcusZg))-ap2FR$r^?w^evNcQ^mpS$vj1w+Gw&dMR-Katq@{
z_25eGmAbPZe14|>bI()j)lX6#zN`$nSGclO-nZ}f!EY+U?+@NK%m2f)wjt_tL#V~U
zdB>hvTPXRPGv4@Nd?81=>Df%48!Zho-ya&Lyy!~%C~+k(W1D<d@72u~xfaVx(+~Kq
zu)Wh%Rr2~ryGA@y&4=Uq2P;2L%KAGg&wDS+=Vd!pMa34KRNX3i@lDEV34yuuZ>{>c
zviNMbLH?(ATk}2kY~kOvHmg=xYx^n#rtD{7TlF0nwy!!7kr(<fRA)-`)qkt*uR3I9
zvNN=`wc}~n)cKpELkojHuo~Q(;(z2>_MV@&gWunMd2-pAPqTw&1g8c|2*ggfHHCAf
zZ{(}b+tz=my!|`eQcqIAQ|rB~>}RpIUynD{Zn3}T-Kte7DNt)QwLD2HU-4$U^`85G
zmT3Jx(D1@|<(|nwmA`-QS$lZWp2Mr3x;lKh8FKIB%I2RRLf(JpnDs2dt}RU+q*-rj
zx!0?jO_!gaegC=gH2W%0u>K6Ww{m4|#l7db_uqYf7Qb@RbjFL~L6ysweoLHt{`Rhu
z&vmDQf?s6mr+YI`{XQTveftg;-^b;av*v<y9}T(pQugz-w4Zz4ey+K9sR`u9zm8h(
zdqVDgw9C&dnp7UObq`0!KZB{;O-t)`{GMXHQ<Qzt$vta}FTPRHXI^CQ^>pscPrF~A
zjm?ukl^lL^&s%wiw_pjsr*o%%+Ff-=>v=i%tY@XSyTD%f>#6lVcID&ph399-Kl!|E
z{R)tC=P&zo&QCV|vGD%Owf8>7hJG?q_!Xq}eokLy_wfxYpZ&RT|9P%-kSfSJ@27Kb
z8|ME^oxi<wd;MLncBm`-pYFBZx?g+po?`dkwKqaQO80v_ojd*3{k_RC_bv&VoVAm2
z-aL5^Pscx_so&>a`KQ<Dxp}{K?Y%?0Kt8UuoLXL|^}b}jx&7_;&Y6mku$dZik9B43
zi}#<W9as5nz0NC+&82?osp|aWdvdGYcJ6uWe!=AT2Q#qRE5=j5@4NE5B6rgEIZtZ$
zMa8kWd|kPRJNWp`hZF1S`xj=Ox6UY=G@UWfS?m2@+0WbTCO@e?`uyzsH6XEMt$f3;
zb-U9&Ps)Ovv~4RW0A5W!_1i(~{ol!dpI@lvpMK}#2Qi3EQ%?O(cvTv`<zt8a{Idr2
zl}FFI25&Re=hD}|sekzAN{idG^$$;8IBUQ3vW1mxpDrZXoH>}?cw<HRsl$t|7+UUr
zV>6{~QRY48#}9ktx(;7l(!R|zLyBK|o=uYNmVEck#{3<<vx4QsmVZlJ^WP?KZpCe{
z=ZQyFnY~u5FPZpz@q({`EEk;X&OeKN*WVQQxV-FD<HkVmQ-_^b)<!&ufBY%P<m|?K
z_v_R<ym=fizd2mQa=|&%BxEzc^Tjtun3EUVY4UqpRcZM8+4y{vJGD0Bl5-;8tY`g;
zCGR;WGS2!EFt0mJT~0#!Z|SMShgV)t>i8ZY@z7S}coqNBrtcT5E>)lK_prGWca%AK
z@qW+Bh*_Tsk|uq=@XK!2mkU-Ezq871o=mR&!NB-zhRhS&Eqp5;HEz6kf0>QVq$*v$
zO8%qfd)f~Pnw<SuAmaPk{O|00&Mz%y9Xo8DQ3h7G)JDg9Zuz^pFKo8F_5Sv-;cLLl
zJ%<}!tX{dNzwAtO&B66+|13HmU2}B(+O22Tul+D7KDw?yJ~}ge>Q+OuU%#icKb5uJ
zlK(-j%fFg`>89@&wjHh3$p0kgb-tQ^?fKA;2X4H`*5ua?`n}=K`~07VCTE{t$a=qU
z%dzSV?MnWqU++2JzNIB6Assv8(}##Gc`>#1g%_(eblon$IV@yyHvNE-$=Qwjczi$C
zMR$P%D0a%H4;8N>=0CF)SzgWG8hOvTaNGBQysvUzpZBz1+Zk$OV7ANRsbc(#iZ%To
z<!*7`bN*TOeql-b_kcZTt25?4vfbjl3gl~5P5!^uRT^Ku%bjB0)4omdo^#!f?-%S&
zRA)#(wVmP?0&@4IDea6aYb##!?dQ*5oY{9$IAd0A1;1~xkDT*mnaT2tGQT}knKic}
zA*Uqr)Zqhta-WW?Rq(g(Z9gRVdf}zYcE*d>gDcrf&qUvuxh6M!)3&v@?^N#1ogW{a
zxIRAmx8nY7pBlBYlXFTcPaS@6CHv2s?*VsS*-qJZd+X7%vI_pSxA(LkT7A#?^=Yu5
zl%6JfWYu4<;$K>N&-r!mJ?G5D-!FVy@;#vLShYspI*^y_m)P*UeX;QGj{08i_X|Dw
z-^wq@{I>9k?UeiNS$$uxp0ry7_PKeGT-(yP?!Wh(KQ8zlU@@m6!~OD}`+TZ$eTy<}
z_Ozy@%Lz!w&irIx^R=$p@Min;J?+=b-Y?v8x>{r3Bik+ep4mS64i24FQ`!Yrem{Eo
zo^$17aI9z4o~zcFy0`ri_dVyAKf#eY_mhFqR{s9G?`*bA-P3+a>ixppwcjVizXd0l
zQ?FN7B;;H%f0`Kb>(7Jw_B{KV+rrnJ3oYkd4Y7M~pzteA>-~$q%G=xSJpHuIu6dc|
zdz;>6mA%)`lx1t@H)ZX6KV`wJ>lLQ6=C0}8c+q~DP0!003%}lrtgC;%IP+e9@r5Pr
zhss3E)_=5F@yzrd*HpP%^W8TK^Y`?w^Q@GZUA3S0tDIN!p7w2fzE7}k6+bZNH=Byw
zJLk)D%DXbko-uB`xK)$C^-A`iuKM2S_-)sv9{lN6v)y9<-ge7dk2-DXX%#oPwt`Lb
zu9TR5tG)Dx?uk8O>KB%@f3nV)b^TGU$=h_DS<fQudzMw|_AR*C$$rf3`f{5)({8oT
z{8#?q(B^y2g`2-$__pQyg}85WpZu%%*ZPAqOSC5c<KW{@cAtFj{Ac5(IltdE8dqtY
z|GGa~?D=o`$6lK+n5;jVV`2?TpSLynw=cgp`|Zj6<y(?%cs|H={XBBm;r+r2p^eY>
zR&t&7voSFH<#+0E%av?Hmj7KzHhbRxpS$htiodZcb**I!TRs*rF+MYXp!<Gd!HO>S
zV{Z3-D|akj+<wVuKmSqpKXy~*Z`yzL=&Q;1oGV3VrOB67@E_F$rNv)yrw$*v@;j;J
z`-QUE-!ItRtkyW|1uoY3tN7n?uc}DM`SN#4yXVT}PkR5Q6Mn}1mri)y{LTEpjw41U
zXR|kQ_<oM+`6B1l3|8r{$v-*x_lL&+bJrN0sMhHJBKJvnPx~K-_X}-UeXBBNL$du}
zg{O&LuWC2ms@AZZ`zP%CBzv`P>kB5&SFFvL_4pyL$=mNjkT97aEVr!e%Y}J={HD!+
zSFf%u_IH2hR{byk)xsX}mpt2Aai}_@e#fc(orY$wrhhWf{kqTMP5tjv+ZSivn|=IE
zethA97s2oDv)$hIt6o{x@56t+@TiUka5zq%(r#^CrP2RozqP2^@B8gupWnQ9wotoh
z^1Fb2*0IA;CTFWZdzx5(Zn*KHZA$ygmBm;1{@?cb+3=rtX~m&`ZB6TqEevNS7s-bd
z`{Xre+3Cl*fD>cgj86v2r9OQAb;l)N)r)7wC)A5~dfRlV*@~zw_T+En-QdjsbZG!M
zzTGwX*RFh=SpVtvLC*jAf0j;vlP|mRr1%As`Lm@jn#`ZAe$nK8cQd3^?+=zsTXs)e
z|6%;Uv(KOL{CSe_J#phtTLyjeITxK@FIN6zC)1R5j^FwMxOS2bmP=b|v*z&rU9+zz
zy_fqQU7R?xK3-&g%$D1gGd{iWd%duB=Kr?~_SCsfz0W5g{a5Ab!*zXfpH_c7|0zx0
z?ScG*vpavq{pm^QYcI^Wl`Ql>B0rw{|82*K|3BRaC476|${BO5<oF))vliRzdH6p~
zqxZw}6`yvuPJQ=T>HYb@rKUe55AM-_=L89oX`c+#zgB+CdX$*{sr<B@$yvKSZObg}
z-#A^~W6r-IbD#YiNJh@{s+=*~%6<yhIp?3cCcW*uh57Z><nFmOeEa~ahZfIbKjQX(
z)~AB<EO|4v-y1A%w(H(={=K=gebfE+Yq_26Yr&~``;_)dO@8gY?Vq&XEAGEmt+DpA
zT-5vza<|MY_>ZcCil{H2r?giGy+3>Op7U*?_X{_isn)QcRT1&Z_KEy=IWKK+GVY$z
z{!`X=%e~1JGv-%Bys&-Jeb4!4-1~()G(LWC5asXbt(*C211J$cO3Zqc*!DeO&diD(
zm#Z^ozqQ?R9$YT350ZQ5TPg9_R)p)Gb7svZeqka0$CGMjOsUwh7?eBr`&I7H|N1x4
z;Zb71qr{9&{D=3p|Cx{?@1`mTsjkd5`MHCize@i1=R)0``SMZs-6zZ4@>`U7ZnFBH
zp9^*0+dlDke0zpDY4Pk}xp)41^}ki|AFaLTeB1H;!auvdU#L1zePa9K%yX05L4Gdr
zKILrqwQ{5F#|?+8HTKM^$ndt=GpFLlW7{cZp`b*5ZAv?P(C-a~AHm_;+5TLJ|GbJ^
zoX59^KeOZnr2m>deRyz6`_y~Rw_l~mUsIL4rY<+n!^URro5O8jdA+BJfv;*mUW<6X
z@Qc~3FBj@$d_V8AXk1nqFXKE}j!S-lC;!L!ujf`L<a~Lr$=@CPzPq-w{cmTx^F8O=
z()XM{COmrB@%_TDG*kYL-hawZA1<8IE_=`UxA#5g?C3{{>5m>xJ#zS7ihNl($g$rw
z`MrbW%+!Ae_<ehL^T^?AM-Gd<U%18gW_vC;4V<6S-X47X@QxJuYZd%Qw_bDB%0FKJ
z-__wu{K`Gv!S8>z*WP=iY?pt#X#cir$N${AHT~z-t?R#JYfoDRs(b2}+t^I{wBbPY
ziTlsN5&x3${lc&RjvRh;<nSV}XZ=qd-ZZ6M@}%7vpKpm}oA`?-*UorqJLUaHIj+y(
z<g;Iszkk^|>&L>NSf9M7{nL~bd9^D3pD#PxGr=z0Kc)StCV#UKzq1hkVPSq{VgAEH
z{K>-ni?u5SUNdeCw5$Douj|UefA2EV7k!bN^^EsB<1CXvo7QEPKj*q!o+0tVcgnAD
z<Hj2+>TexM))Zb}E!=vzvs3w=%k7ItTy~oWTJ$ZeyuQCVO<hewn%84?g-z-r-J6}U
zot=A6`n~b?dGk^27N43LUx?ws8yN|=Tvjgbd{mRa=xb{Jq7SP~KTa&}pHa-uyvXt8
z5$)joU7P&p+<zo2zWvwLt$CkUXV+g_zwXbX_}JUrp<%~wWE9*wvZ_ywD_cl7TUgk?
zO8Bkxp3X~F`HM<}lODa)0@?35JL2v|m)Al0i{1+6FWPWu_6hrUzEk9V%aTHq7KI#T
zNnX4#Q#d>5{a@C5E{O}x1FIAaH)<Fb?l%vNdE~oAe_c}3#)})abgBng7p5mAxg{lq
zB_)+5EiyGMEKf@EGZpUWZCmcU#<%{Kn%XgSwRa!Xx+d@G{KJyJC^su9sYq0~r`K(X
z?;7v7M;;tG5|F>B)+H$^WRvh=A>rh`o!1Pn`Y4!5%{1F+_w}B=;iZ`w_58lGGX4tq
zCM&)7-7>c&%ZJCOY*9VP7PqCoHa9Q2)NM7NX#dQ2N?)|_R6hrv4!7mP`5v+#f7d?R
z)@)b!a6^3TPhXR>%kRFfEB(1RV;27`<&3gTR>$0uFR9J*nptsjw#L3kzFXe<_`LD+
z*`liUtx~vkFW3>Y%|4c#a<RPGse97TX8w#D(`HoY8E#a*+4&YEt+m8A$7g25<QWmO
zXWV$;`(*w%wNtP6c0PJ`<j65lF!y@TzA?q>Mqh7%n%D7*Ci%O+Us%$)ZF0sXmyay7
zj=7wio$*&7D_u=M`tCHdjh3m87MNeGJ25+>*4XgkycrdTXKU=~Rr8&4e-$XSe{AV2
zI(0<*q~D%dZ;t5YFWO*kxbgCAjko?jd%{5Rb^Ef~JkOaoCcQbb`J`WtciE%XBS)^K
zsK<Hv?1=!$b7l%hTg}RtZFOg+M*b%?uhi^Ck2pCPFUr0=a(-FgkEwN~N3Yry-j3S8
z>r>VKUDwqAy!zz-`>Lo}NTh7?;?7Lr^GoeA<UXy|w&__GdDqC~?Cm_uS<iB}i})5F
zvF}@Ed3?RYW?|u;UbUsZIli?|?oFN%F=0l;3ty4$doKU%@)zX>COv8dg^91<><YWB
z!sS)MOC|5Q{9be9$eSZa&Kx;%_nyn^J6UQ1(!QRvZ%n(@Y1rB6l$2DHl;o83Xxot^
zOO6~l0+#iiU7`4OoAits5>sYKyz<?``(3Tec~9q|7e|hq0GsbU`^LSCE+20gq%Zm!
zYm&L>kKHVjz+Vi$pPxPk1$uq{q6#iZpwBe>nDlCIy@BD!s-#C}j~o%qUsP~oc1FFl
z@2nH@Ye9kjWlN{*O6KAx0_jTsEoMEl*?3~M#{Ow<T<i=BPt4YMyFQI+<HepWoztym
zY2<#l4!rZQe9_yfM_gu`U;MSneB%Abz9MCzh6it~FwK4RbV_G$XXn{_E_L1J7yqp>
z53D;jJLB#%Uy<!$pd6oe>xlNt%VkMPrJIDaC(n(T|K^DBNk5ypZ;rHqe0A1qc7<u}
zt#4{v{MEv5Pw(k`RFtpu-y><!6W=X%VP{#A7Vo~Kb}LAI_0D@PnQ=);j!BR99XV2y
zzi91@89VlOaUOHqd|9n*nU9ZWSyEI|Qk(fjv&*wJ{JyJk6$=TMgWQ<mck9TyE2j<B
z3<E!?c|G0>&aF0jH#<E$J3B*t49sTDHrr^uRhU^wxLHWpd2i>Vf_x==6+^>Qvoqua
z!7jM0_N~Muu;Re{HC^44CSMgY$y`*bIm_hYJ_+CA1U>i5bJk2-c(ar9nA_(|YTuTf
z6E2=){Os;Mm%_TFNB52#vB_Ulv)}w;Sv;t8c;`90LN_(3Xp?a8<he8E&B*Ze@$vS#
z^VC-)E!4R2Mn>JOBm1tLHoP@kqu;X(ls?{eb~c$$oImBwkrq&R>3Yn*F~6$3#^T1z
z6VHW(nT3U$g@yl4o+~kJhC~o3J5*m*<6Gi)+kH>xw#6B<%8wVCoQ?j%GV7V{FFsJI
z-np!@d`~;LtiC(ltk57eX}x*io#(z=WWT7LT3;=^mR(5r?LC*51)xGmY?-f&*UTI9
z-W=h}U-Tg>X_2nsM^N-no^c}-WY_P@YH|LuGuprZuD<j<q97us@<Z*rUrQc^<u9_3
zW=vC$`=A!J9TW#EW|)0+J9Wfrzwl4>$#ZYKC|BBlbGAnA2kVR5RBv{Ii%mI?*%o&$
zy4=3?`|i~xOHDJRgthl}e$vWUivOhcDO*@Ld)17DoG%Htj?9+z6?vZg_-y5)r>*B)
zewUe?jV_U%m8Kr&G+8ZbzVqchuG<%ZBcuD0+O1&y;|lqUcCh%)%BZ~{FP!UYa@KGo
zhwrS6_=aUSJ6ki#K$+pumQLlBb1R<4r7y~5pJg&p{<ZHD_e$Znw*?dU&OE&5^1A~R
z^0rgVK8C&8{Fo6Ooq>1Sm)-2N&A0@rMK0cITUJ^A#tmFhOk3hB^EN}t-sWbf;ystj
z2J^tUSu-S__=<>C3%9nf2Kl1k){)yQ%a8l;OXSRdcwV?QGeb%^c~9r3x&Leer5^fD
zaR=v|%v(pSR~kRNuu=OjyTq(-DW*kBt1a(IJk?vKqbvQ^fhTwM!dcUkg=ftL8R>EB
z$i^$D4eP&0mCw7eqkOvQ#ce4M{jwK@NbEj7vx1-Tn9IwJcdxm8d~$><yvAC%qj%a;
zUm5?I7C)w0=ZdTG7g+~dlw68^6kWmT`&rDkYgwh`z3Bay_sYCp2o9pfnZobOOakw{
zwYQF%Z~1DS@i~3R$!fRK7i8KU2wRwWZpQKlcju_PIln!^lDzn7rm%WY{>AO(mcmEN
zOnN&XIp#lldwovlr`H??YHbP)YM+8HzB$8^v{*D#`2AA8GZ#BMXMUdBdC18mQ)z$M
zcH@cr`Bzyc?`zL|ma<id5$wH)TStCt3Qy)f=W<(RgXfvd-+uj?nRA<pjvet?@vNtE
zwt1jbKR8j_&NC}C`g$|a<DBr~zm<z>U5e)I5Z61~XnAVBder--tb4NMi@~X5>6T9Z
zAogd?542{@)mY>yta?c-JLFN{|B#-Bj8v<S?JKY9T0dQ{5pQ`!#q6fv`?ljsM-Kf|
z^n7&aLPn_7&6QUs?mqO{gZupLXm>4@<cuv=bJzSo(s%CEhRd#56@A()g_~{}7dh^^
zbJ^#dfBES;7KfOplNA}iT~dt_u32fe?!wV9i3=N@3OBD0ure#%w6=lwuZ8G5k8p__
z8=Zbj#VhVl=!kZHdwk6Xv)IId<6LX~e{$uX)SLUwP<xGcxWt`}PMOJ@+Lj!8DZly9
zuaZ_pS?wwFJ+4jI!<SxjhRc7MHqYA##r<ZH&Or~)^hYK-?>e;M%EeuJmQm)vV^7td
z;qqUq&Ex;}(yu2OzH#mA<ToF>{E|yKXnje$smksvZ<E7r%vfh&RJuhi`-J>=(R06M
zh?*_c?s*ll@a@SI-jmkreji`6!7?_n<ki%B6ZY_Zu5Fc*-|S==o9Odu&BTxl-?Eo)
z`Xe9C<P&|=Xk4`UnhtwLeZh5Oo~Zq5&nNtrQA>``RCzAV>r$KL@<O}Urh0K>v43wu
z^;*US`6dltS592A-X!U5FWVhk(@$@wx@GOX=&;#b>cdwr<tg_v8m`XX+UU35)Zlq9
zo7mou>`~h<bxbjr3i#~C937Xba!i_6rSdWBi;AU!9Qh^<kIu$ScsSMV-tLPB8qEtC
z_G|ZAlrLud?AIGpzI5WXJd=PszA_u$dMWqZ&1l#XtUNU~Gi9wbFHhNKGl%O_-KOli
z*brg<^FT<rl;=w~X2-ivn2lmHSr$t3Hk54U6Sz3lEpNxg1H$H}3<BX&o{zkggJRCJ
zM#p3~^wg)U`~Jo>>G;=}567pv3GBS&p%N~2@yRYWiKkV12~T&iDLiyzwz*TmrW=!a
zq)of`Pl2ttLgCVh8tY6J9sL?(aO`W$fn%jID;`H3{vNw@qVu}Xjpg|!1s~2@G3*PM
zV*Ku<eED8R!rj>x2i{I~>)3ng1iQJ^j)%v%9Ugiq3&domOq1r-DK-}WP_&jYXr1YS
zr@d@1_S{|FpS9$qb}vua;>NRi&zO#_Gj+JWl`U`Q#RJCXQVh?$lvl(YZ}iPGF*toz
zjv;8B$)OWd-Tv*kctF+sCxcSBRO90)=HxpW3H`IB8hW*R0}7W;RL(Q`aI{yP;pkMi
zf*pO#+IKP%I%a=ml3HhS=-^a0xg8e|a4vT<*l~%2AzW(aeK%(AJ5QLS9Wq;LvU&F~
zEpGgtYr?Q^E8B$amrlGhlbUhw*yjWNSuLg7y)}7@8*k^@Fke|~%CK{)8{77a2cDUg
zGCmHIT6o(_IsJCVgZ$YR4BMx=ZP<40z$G&&iQCKc7ToeuwuwI8I6c>-U`wxf!{(`O
z4%;rBIASIhaq|kt@h~aH8(zxaZ)H44oV}AFLA!TB_R@*Dxh4kdeS4MVuX1b-llpnp
zjrsbms*i=8YzbR0omgQeb>r$4j@4mO3$J)7x8KTm5IlP;qsLm)hUHV;u5Gz^V1e0B
zhPh!<4=;Hs``^lV;4^zCgSU1sL+08>$y}2SOMLAPES~D-vE|Z<Rx_!Ji)nfc7o(Ut
zqB2wLrFnfavRWLpdpD#no%lY-q+oupeZ#z|ZVNVFI#FOIb>rL>j^b-lE6#Z--;2zA
zVx!$FkiK-{pEX;|Hq7Z|JGS{Iv%}o2Y`ZpJ>ZlBpsyy$dd?qqm!9ly%Cw+0_SD)U3
z^raI&tuc8t?`urK{8E_(=e(3TqcT&hrFqY!XSLX9_ijmFD)@Vi$*1{K-F9p~$F8)c
zkNNS<j0AgW{RZ1?-Ye-#1^=%xEm*LX?ZoDD>_%HIov1RClDLqjx8S^&^54kJBM#cV
zHW^C=zpXJ5T2v}C<GdR)_pK+)e<HINIB54;WGtP?z1GBOeyQDrMN{3rZN7M*#jKiv
zW6LFv=4*uqoV0suGL{PdTVo=$_-l;8f?hT=6VpQrrn<e@eCb4`nbeQ-YVr-|y_A1O
zX1X|N_v&OU75uWs<kG^aZud4{JkV%X%2*dB#d*O?nJX$Y#p(4<20QKEDH*ZichVO(
zvgdwcWLRr5X~9%Cl`WS}v|anjP<O3xfva}!pY+9z+_{e!n!=<yFIe$qWGtO1xYmSe
z!Bn@bE$bNhwp={WZdT2zu;r3R+qFUm7wz6P8A}CO)|y;e{59sog5GKRJ4zXN)|v<{
zn(7v^<<g0sFsa51UdpafnNOUgdG}-li#KE}ohY)_L}~F<x3VqsxDB>k@|b+B^kBQ0
z)Q*d4@(vfhlntXYUEH;Mc`}y@3aowXF!h@0flf229~X~tH(c~mmWawsag^rm$uJfV
z$XGg&Z>>qvqN#3iTh6gdY`Nqy;ac&*7Bi`ci^t?2T<}s>jmkXYs@;1hW2qq5+Q$x)
zuKm1L#d{_rSbRdp(urbgA2;-dN&UR&r7RPbsp6&G+mo@lQ8xD)W5kwA9=%~wA1`_-
zyGLcVxM=sLWX6iG$ynT|m}|o<1@i4wx1KGRPD~DyO1$W$oEVjv;wH_jlNl`jA!F%8
zrL`uHmP~b9w`Cr8#+FMSv#u2%>@$;^ap{=6!X+<d->A$ZUfR7inM(!b);@NabFK8?
z1T(1{mzL=zT=G&5jXKV#zt*H^*;KcVEtfpzg-JzTa$`=ub%r%0Dzn91yLV5<;zs4%
zZ(I*DmQGYyYqDs`RJUbY=5Z%%x#lqQTIs=FGpUM8$K)9<c`18FWx9B2_vU0S6_i=~
zxzTLx=SKNllY*tbb`49Wx-HsrsbgB0l;mYEZ6<}arUA>hvh{7bcwoBOPlhRBQYSBY
zDJMl`rub_2Dr7F5Xt&nn(~@4cb6ZZbZ`g9lV@8-%;N>d48JE431ER7Q1ZelhWG-$r
z&&^^mSZgwA*;KbDTP~fL7ZxR#khyegliONThPl0L@3x$r{%6`#Q=#Qk-M(zObYel6
z6ys$pzL2b?6OD6CJ}md`Ju?3~$isqHqL{m*vKf4}drPup+3#(+cwnJf9K*aYDb6ci
z%3V>JDM8x32QqENr(`XiXq{{FVTG?9!-}bHLR<ToCq*4^^j-UyVcs>VjH_PC^|vw}
z1kJW(=!nWx3DWM($XYrvaIGoBic-6P)l=R0wq882+^m>!Nto2hD_+WrqB2uLU+-iH
z)b9O~wREC;u1UeFUN(cRmpoR4Nd;bwV%{8eo^?W0=8}kP-k7Y#jp4c1*c`TA@>q4P
z&>>8__f3{8J4i98c)a4qy#3Y@#*nopLaV2`m2ACqVndh|<JBs?71yftEUvmS@4r>S
zxFIT2C0x6gBU_ez+SW@PtF9G0ZV!{Hyz0h$e3sO~t6s_nqcUA0Ut1Wgo$8jb^_s)Z
zYf?L|x-p->^~3r@V6RH{Qo+o%rW@9l+BK}6>UL}Er4#GTq;_0Oi)YvrCe?Y(OZisR
zdDh2K*$ffdy;riAPE5|-61LxrVeM46O<ON@><E*Jyly4$a4m}YZ`66#^S3e{#7Ofd
zWUp;3SZlIq-Bh<{TQ6~J36s*iv5RfTH8<w>x6ZIWh{{%o)$To!y>w#jT9c-=Q{AMt
zT{^MdOlrsVG(CswUdkV%vK`{JdwFu!G8U{g3EDW-?cCOj2lks4GwunKI(gkonJGFm
zCGqu6hFI<1lANUz%X3W%HuQ=&te@(}wCz&Ip)jeLH@uWTL}e~Xc`fxIPMX&y$5@;p
zXX(V2wI)g%r@EPKJIDTE>m`rVVNyS@yD^L3e#7l>!%JB(I@2XtySFE2sbIrelc3F0
z-T1a$JaF9XHG@EOrb@DQZ%5A3iBs2_G;NsbR<*5<ng4digB0oW49VKPEjddCr>-?I
z+C0@wVcR8-i`R+|9yODyxOt45;ii|eW^|@Yns)D-oTY+2YfUa~nd)Y@?c#y6W^oLs
z!lXKHdMR5)XQpJlu3<>g?hVOZI&pHY$%oCpu?(B1x)p7^)Nvt9D)N?>vPN{~lI+(z
zAEZk2%H%#~eUP(s;)1m%i?&R4Tej^I$C)rG&D&LaJ8rr$``<poxL~cxq%Bk3mTbFp
z;@Y)BhIH-TExEDce{vQ#uFn0$xMZz~(AKGLTee*~aU)EM@s^izX>{h33~An)+{dgA
zxl1RmS!<%SZK~U~ZI?JMg-N}<?WOF0JL5r)v_3<&c5h4WQo*fjO^mirbz89QlE=d^
zshzjnnDcL6VNHn6RLRxuJ(0U~;@-6;O<SjiG4)12XO6#}@gQ%uMZ>nKZjZKI>bMss
zC3(k7IUzc8Nzv<_4|1e=|KvVqJ&?O};-0l8N;{^yiEY2caVt#f<sC2O{M#813TE$O
z$k*;=$y+LTbghZe&Z%w>wq5dg878&!wi|Q*?Kj*Ecf6E4qcdHKw0m>%=JG$tT{`jF
zT9Z%Pd)f51ujCfkeu?8wn3UvgH|FZwM;N!QH3`~2)$Px=OD7&)lTx_7i|xc6FXimp
z83_f!$|cd+3-YylCGwU|JigZC(6*^=PTSA1KihWkz$3H5hJ5W_k-VjX$Jd$^?VRfN
zV%sH;SJ#RU-ZPV$apxGf!W}Q=-ssFDMWDviQo(&|O@wyC8d7l#kHe%^-tkhN7oGW}
zM7viYZ|TH4Yd<p{%{5WjwQ}OgwI+vlPIdFzeyQX2HK~X@yVw}+c_~l4ouN=Fecqv1
zySFEAso=G>CPBMP?G$!Sb?e)HiQ}1>RKwk4#vFIOlvhP(rj%*-e#l!o@yuG2M|(=`
zKJ4rb`&7tKs@?05w^Z<8?v|<dxFha(DNl*cTvDvvJ0oxD#Di;1ns!fh6WV^!;e}aT
z!;>(nn|HjFmqceSDb?=P$Xhz`{@TY3Pt2qo?yB*n<SiAvxYlITuBmPr+pjr1xn|n%
zF-&Ub9XICnx391+h|W|g)$To!w{+s&wI)qFr@GDBeyQWRnbd~6$G9!-dMR&+&QvMa
z?&Zi|I`RBk6Q{jjV*+;dvQ=&W$P}~v(uucWQjGV!lut%y9x2i8&B<RXcrDk&VNb7k
z!0xGTHQO(p_!uVDc+X3DZFHtf&Fh+kGU?pC>$xkoU-I}ECRKRPOZj?qc0<`~DTP|?
z-WPd`8DHj_80?wq7PkG8$8$5O6?d2E8Qk?!ULT#wQm)<0lD|~&{8|&Ey;I#hwqKn0
zshSnkn|T{1_4BTm@`>ooB_-Ou8Tm^mUIiKA8|$!ps$13eOC2A>q$=-uDX)pnRH*^^
zbTQ-8+&v9<*P1x(f%x`onAFU>Udk_`pEK{gouN=Q+d^T_RJUo{FL8VglX`hCig{Ob
z=960OUWWX|jBj#ZH9c8tqO^Ca+qUhOIDUpnao+P%{uZ72q(XY_oA0a+`Aa9hS!<%S
zZ>rn1?dRAhY`^63ALLUv=4ZFBuwIYOWU0~aU6Q|4@aI~SPkW}iaqKw9zH0l$Z9gm?
z?49b?v;7jsJ2R<<d&jsr?s+Mniq1@_((WzD2PHU@NBh3UeAwN~wr+bT^NHw8l`4pD
zKdv=l+B4Pd)%JPZJ=-rfd^d|b@HtHC;5{$phtZiXwc5Qh`AY@=tu?u{r<d*2_Lbag
zwqH8&Jxt2+zL)aH=*%OP+PyplO9fx$njF~ID}G_`RJSwRFP-=uCUx+>m-5x<Oqa&j
zI}@s<dC%lOW}T3~bmF(QCQ19Jy8YXJiQ{{iROf>#J%Rgf%)f3QY5cm@#A*Ljw_n>Y
zbuflYN#6HTmWVme`to*$LW8uvL!EZ-oBX9y85qN*G9P#;zlzR$()@ZCL!C6QLV+;*
zr|p+I7{a9@A6W4P6fB+iW35Tjp{;E1wqHEJZ2p^_VaFv8rf{i=54@D6V=`IlwR^V|
zEEW8pYm#uVSG?fBR5yhkmps_Qqa-^DmQMV?Hk(0e-RDN8Jd+CtePs$Bcqxm=WU{nq
z_bw?|D#*OfWYgiVF$WIxvU%+2WEPFdJkqS)JEvf&pu{@U3kRmUwd}Zbg2g;)_GJ$C
za4F4)UdnngnNQlZdqK`pS!c57VDGle@3|!&dMWF~WG-pb?)_0Pmp`Xqsi4L>Q-MRh
z+fJWn)r!eXY18igP_T5WqhPp{;6pFv@R;+g8h0{w?-ds~JT;8TDkd|fL%Y|YaOp&m
zbtaFFmd1WK)VuBYbq0%=OqCApUX8+~6E)YFFdd%ic5279243@9arWYW3YH40tTPch
zI@N8<j!P$a!=(}*dnw!A$yon$*MSc0UYo+Df;#I=gpN&htJ!hsgv@m*g-33;pFeL>
zTW6AVbgJ9F9hW$y!lg7Hc_~-LJZJX4lkxj+jKk5XZo76|>W~bVs(kFF>=TozGU4@3
zhc4;24gJi~F_|qr+Pzx}mkL_1Gch^}>Y8735Hr8!XfNJTxOAfFIuobkQ{8^;ILE$a
z$0e@^6SaFA3Kz?MDs_|!mzwz4OSw8Gvt@#IZ%g4)LGN|h4aRv}uGh*mJoZwqjLCGF
zkj<-8w3g9ioynz>Q{C?DxOnfwYliZeOqL0+Ehe0p>ZY>u(h2G7g$+|)TLc{MExU1?
z(SDst(TS;U3Og@(s9l%Z@K}E3$H3kXg^TyT%x-X6XY%O8R5!hympC-87cS`6?mbbo
zbfRP4ma_N!21QFJdaW~gbn<IV!KtZk96K+0=v^;vR5HIM7|-lev{cY<ooT?Ssctbl
zFP%`jUdS**yVs>?@!qE<i%w2;bK7}|Lpxk*<x?-^Nio?EW@z^&6fKthYU-d7E*1DR
zig|NPX3O+!-jt%XjOpuMH~Hsn+5Yz*W5_xaq0>{{N_Jj5U=S|F_|%Foq&QZ5M$zKE
zkH2&6DOxHRv(7~5%v852JNuZI$7Ht5e!XkKROz=f&a>z2ymUhUdLhHiY+jdQTk#!5
zOD7htGjTfITXrXN$@FYqiQ=`5>FZ3I&Q5j9+IgwN>blg8r*75P-^(jJ^HM$=lX+y;
z>zxl~zuws}UAy;4@mj{jye-0Yxd}71djpD>PRv_pl63BCjKP_$Y#(->W1qD1;=Rvt
z4JP4IH=lVaKZ(g+Fju=*qj+s&{W?>Iv%TN;UG^{wmlAv)#r!%Zvt^!kuS@Y#!RB=)
zMQ3}<jBc*x;ayU^RIqZL$)@vD-8Ss(W9E&0&V27qhWw9O#^*7aPiAWOMiecsePPR-
zy3R!CcyHOM^Q=o_vLEzG^V$?`=3|&19Catqa7m{$@07w|VTq?x!X{rfOlgtkeN&Lt
z(z<-hteCZ3ia&2>C^Q8tGubS?`ou5T@JXdK@0|Q#{h8@oXWe<J)ixz>apS$*SE{c!
zNBO?v5q18y?c#waW>H4xvrKmyhw^mZa%0ZCy+$(Q?Ub;|r&mf8ZR%yK+ZI{8$!_)4
zC%azFSR%LL#<I9am$OWF=2@w&WWTmGQhvi(&9!Rf)4CK_-pWvjm>qNSb>7xlG3BDp
z|F&E_u+;1x<08LI)17i!Z6TS98_jZGsoJd#n`~`;xBbe~o;%t8>n-HgKX`NN&V-7`
zyRJO=u>PTAr4;{E;~z}VcC5X-<Hox!1!Z#$!>9i~dDi^Tqhs&4|550=ZL@FgmB~WW
z-z|9-VRc?+YH4h5NW6UZ^BLtycVAAw|LJ%9KaR^kPVLIvYtfs}WPdj8c;n+kQoZbZ
zw)tfl1j;T|yY|?6$Gb22JF9FS#d7Feohg3XbFc5BzM%OLuk!r<7DZio>Rs6W+||<d
z-IWq^br(zJcUQ{H)59z;w|q?YDq42<yzI-YLq8H#@1Eg!FEn{`4*T|rp5>Pn7yDNo
znR|KH?Ahm@<XAIpnl~qTli*(Ug`AJ~UMgBQmsw!m70Jaa4^CEI(+H^g#2GE}z5JxQ
zuSEWvN&Yvl{P;4}|Mu4hi#?CDd^GkvXP)?{U^4d_^O{?y)6a11H#?DjmScbTiRU?i
zH7`y*_cg1#bxQn<OkIw5%Ha>SPWv)~AA3*w)A;g(e#Otj9okQ?bN*DG+<Ra7Nq??c
zjpsyr!{Q&k{{OC&eJJ(VmvX)Nz2m3!G`{@-C+FWbsChhTzkzJs+DZFO693ex#c#X!
zVX5D~B)1=L1OA<oI(psxWv11iK8>$tJn?2r52_v5o}XzVTQ_%F+%f6>?%tDU9@c+y
z>2}M<**i>T3)U^2E@ph_{hv$6mmTBH{dB#Ia{<?>`#1RV!YA+F`kK)=;NQ8DisIQd
zSFH}nc76I@#xld>XVj5ib@?DY6XiWS1NAnobX-2AJ69qvc8d3!Km)C(LB>uNOJmZ4
zAM5%5+~s*7TH$HY<AymtI}e>>R0#feu&h9QMa|(eifX~@wv--tI%TzwME;K{tIu-0
zoO9`VkHBfo`zFeJ!bARDOnH2ENm1|12?AQzZ!OxP8?tWm%>$fMU+0=JI6aNN#`sQS
zYW{3h0e`Lg8xwc*hwaM_e(V<gbKc7d5nA`n6J4gBvY(l=r#`GM!HQ++Df?(^g(Y70
zmlYp{{ySPyk-zRv!gc11Revt1F)R(OOL_fZTJ+D2k`MRpE?PX(xnT95BfHo(hSp`h
zeQ+)IXJm?B^N#$;JfmWc{;Bm_?-j6b`ZIgx;pmx9pI<vCSW=gGh-1>!_^A9HyCdtK
z%JlSF@85Q_pnvn9V?6>f+UBz@RX9%Tmf7w&8kuK$uOff*pKDeO0pWG&hdeG#tv8od
zDtT(ZhS{L{>G!mj0~|m7XEQwE{Hbs3)U*E2_E@GLdq3Hm@t99N{a<SF686*o71y-y
zw2k@1_^4`z^x38MRgV14BGVXO9G%$0dD=nx<n8mR6M9w7M6liVNa{)Lc>8f#iDZ^?
zr}4BzGj7IHMt9skZ7Y#%jPvC$cHY&qW#SR7(;DH74ylrFH!&EiCFig@sim%2-M;>P
zki+{ga?iLo^*F!pk$aZC;!axipCZl2x&85yPs?sU7Pp$2Q2l3?TKNv0H@;hJ>qIAe
z>T&PWIGJ+$@pZMCk2XE<-tJQ>`XMxG*-g3s3=^zl#r{YtUXEq8k#R~}P@cyBIfE&)
z)b>xJlJUjUUk&<=CPbF?Y*5+By|?0gNA;weFOKeZxiI<6M%zWRQ`YsWeDHi%HqpWM
zq|I&RJ)ZA2PCR0LvgXT&UyOOG+%YN}!iv_v6%0}RezWpO^hqacw+&P8yjN;mHEB_=
z${8=Y4Wb>NCb8$LD8_9%@vY<Oq@!hWiE*DMoaXGAuCvj$Y3Gwfan6$II$K3K^d`H<
z_$i!DnfX@GNv;1@rOWh_Mbm{Z7)3q|W8s-;lcvn6HTifhYtoM;Epqp?8@8SF+OG8A
zR?5`3f(O)A=ZYoWPU&6Eo-o_y;VZ_2YT2>24!2U~u4Z?cyJq{umdht6Z*#vhCuhTF
zj+K*_$EbWL`LyM&poO}0uFAxcO((+?Cit7}_E_*^$(uQh>(tNRsC4;sQfxchfgevI
z#X0xPvq@Lxd@y<KHl~lwpZ4^sR0M3hDHquEscEkJjRiT|laH{U>MrYPaJ-pVEL5wZ
zzfgQXUyIzS(|NTA%uZ>qlWXYxwCb(kL5*CqNe|p^W*+aznG&lfB;a=QZ4uLDjq03I
zC#}@=pE+%o?s@i#(L(e0ovwzJQ~s9qG<aD)c*SU`$sVh+U`o-6Foj9MapjhbkEfXD
z^jG*=zL~>#N;7}goCAekyQ7&IW)~fC6FR7wf0K)4!l}UN!Y=|VZ?EMr2&_DQPPr+h
zZ>Prr_oqAF3aV?pzvaQ?{<I~UxnS2PxvGlw4Ewa+Tc;mbcgpj&vPY<0L1aVxRQ_0%
z1FMTpSu%Ttelk^?v?26mzg(a3g0xhIst%TvFt%HA8#fxAIH#Nw#&+8<DJ`|5o5dom
z?Uu)))YKVk9X71v**?+b)hUi}{s}RXna>5+YA=rQb0|&S@>X!Nc5;l0!!Dz1YZ)aH
zHFwNm;5cn@TUjU~Z}Vpkp=lrW)CCR|?T!{)aKPxGn~=0l`3)`>snafB9)-7RB+q=Z
zhvAsc<h#Eb5~pdN<34c8=&GC0ah=OCDh_9gmVaj2vdO31k}-ao<Tk5|moL3iVw^i|
zW?t<9kJCEOr50rFJnhOPtGoHF#KTKQ$Dgu9ML*l6(~x!gZl0XMO{4SYlw+dbZA?Cr
zb-HG|UBjl-#?^dNw%y6r7EsaqzSBeDNb17X>>4}n<Ubcw)pIpx>)2uQ;29&g9{(+m
zro*Wd*E;Cz({a<U3*`u$-hRjC!R6G>w}Ksd{JTXNb(Oqx`vXcVkGKgf*E^ll-%wI{
z#Z4$y>GWHP##fsTRVn(#$rVT5sJ?TSna#`Vn)<?kO_yAmW~!{t5wqiQ3A^oK$L4bF
zh7ODSN#S)?a?VQGu_^}wHl17BvG;2p55Lkx^}7-qf;JrtWv}p>x5?H{%yr(j&p#YQ
zZoY_N)>NH6N8Coj)$F$1#OR=HksM1VrN8O=F+=R;qavmws^@ok*sOD%S8Vrjf!NJg
zMNGR@&)=4l7g4@FN8CoqEv~?l@$ICyX6hT`J}r9t@u1<R!%vSa&U&J1-G4x@^5$BO
z{xuWB-$=-ZDX+g}_-Nmfvuio{Pfwg{HmU#Q#Mo`_3iIBS+1lv2f7@ZoFiEX?t+CxY
z_qgIX2Aj#XdO|NuZssfh6p*)kp!D&FoMnbGXUJq{J#{&GmG!$l8m>O!HE%o4aWc`o
zjh*A<Y(4G^S(oyzyRVofvoX15&cr>b&p$f46<zt(v0(D{SXP@09``nW{=uPYnWoIS
zZ1Q70q5hyr<!>eA7pbVfv3d0BiLJD!#@s#ckA3h^x6FJln4~U$!^7^Dr(H>M#fr&u
zHhu0`Gr4B%%a03#F3H=j;qb7RY>@jVb--G(LoP1UCd-TMriWdM7u#)}BmYhcSoibq
zoV3tvQvdcziQC*I7J$<EzmpuZTlx1+nz-%w@tl(y;rs_2B;U??SQu?|>?w;tz_DAE
zHE*Vg#P~6=q|S+DmXB25zVN!}`=l?&7Ps%8)(|-5b}nm^SZdQ}PM<}05{reFXb9hx
z2<SFCX?d8LGj-G3j}xnnj(+0^oU$^nwnAm0&*rN?57_Oz?8<ad<MP^UCzVvTs*2u*
z)+u4LTlxP_p82Bmb>fDZMyD$oLxSFIiv01zYG&^1kBX&6?6z-Ms*CU1G?+cj+iv&m
znPqxI+9_Kz^}?A(_p1~ug2i^|I251Sn<vLGr)YlfljP4S3uPZS|9?`qo6YW9K-V=%
z`Dq&b5!Y)>=Gb)Hi?lt%ES@@X?s0dcQ%TnS{JB%w-$=-R)$o2Jv2gXL4Qu^WR_bik
zR_Y0nE3|7gotm2G$}s=b;yl*_vriRCpKSL##TDJ(ziP_y99z3T{&L%sYgFb>xg(LV
zWz(f+XPB>QtzI)d%podu>sp69tJf4>Wo((Mp3{FLJThCEbHdcpvY!48lfS+weV-^d
zLEZnBocwcj>70Ik#wp8V_&K60Z&fMo2{YTpb>P7%wQ$A-F*kFnk396cxsxp-d|u(i
ziYE(mHj4h3;9q&I@8iV<pXR*%*tlrZ)o&dir#{d1V`@yDEvsx45m#`Pk!{*rvq>F^
zl^0VPTO!JK$W6HXNvO)={UgOCpEgM!UhMr;^tlwj&D8L@#tSAcJ$seeCbI07oZ!h#
zr^D29BFlDvWjwA^9piVPD^<VhM(l$HPffQgIm}O;f7YpH%bRjhh8feC&#8YnU34K$
zu_BW1X62FC)34XbEx7inO;%Yhns2u$!vbAU>F({lyO?dm7MYEoJ625Fo};qxM$r{F
zp?2N;+a66@pUOU$>i;+;`NhMW=5D4ZJ65;P|MxW3x?f!I1gG_}(>zaXp9}K~P5pkc
z`@VZk%ZfQJ{ON2lCsgEbc*Lz!k>53E#|KZpojN;AygvOg<b7ZM<=0~N>pNJ2*%q{)
z&x~22%ABjBe|(ao*(C9@lL^+xy!WScy#07cL$WJwkNzGNueO_&dv<uW?Vhvaj*r_8
z!<Zdv%CTZGx6~HLsObHfbkR&*|K}vbJUKnN$s5fkO~34U@aK`%N~3dqA1~SNJo~L8
zadyvk%SzALciugF_{d`BgJ%yvS?)YsdGKh5(Zz2SovVDD^zRwR?NFbb>le34JvhfN
z?vHx#TbnpJjmt5tF*%y$yG$Phxy;Pl{6WcO=EFG;i`+6xvk$QL8(mI4nA&S}+U*mQ
z=g!lvkAl2s<`#ca@}8NjT)A`EnbNC;j?3@lR`1CPes<F%jzR1D4#SvPTAy?K#n+!=
z+TX_h_}<<Zb6fLOU)+6OvuV44t<3Hn2CnbQEpKYys@gNbRnCEbe(#Peu5vE?&vk4#
z!o_YH+A)QT-IkNL(c#}&`9`<U?&E~yN(tMVphrTHky)=l9*U|w;`V7$ROGWc50xS-
zueg0Oii+%$>+^_l(b3LP(U+fg{EkhGfKLBzt{puQUV1{}0;j#iJH4Ayr}jQ^J-q2w
z*n`ybo7ik?ZXats^Qoox$<lM1uDN}3%8yJh{v?zinP0spBYIv*T*1oiIUADqoQR%R
z6jyL_n@z5AW#aan648Rl-8q{k?pY9Pwwr5*OYEsU-W?la=M{<;c<#<A6fKyz%jWg9
zhSN8mT81-<e>@$woh@dc{`uWHI~3x#-K^Zx6CbxBc~3+9w%c<0C#Q?Yvc_!Dx6Wa`
zw@E>NXXTDa$GEc31-~2jJbLzU8EfU$wfx()J!TiTNeiSb?|q`nwdr)|0p8|K(zZ4E
zN8d_qI=+_CJnKYUIREyz6WQzJ@@`D1T_=}!b;93ua(VkEFq=)<o_@kHy5D^H2}S9X
zw}YOPhBKPaIw4&qm+wFE@H(bEpNZzV{o7}G?2Z=9bDOBVjw$cx#O*mM`G+PhUdNQT
zdgAuGQU%6+dmd{&Fq5%-cCA4=`^k>g4bk~eVxt?)<xX;LXS-9R@_r}Rj!utz<=F?;
zx))svJ>cv9sqyVcGo_yw+&;M}Tc&D%nC5%(@h`zS&IQ8DzPR(p6>v}Eap1RZoV`9}
z!P}2zT9PTsmBQ0_wk7ZR>(zEcE`J56PS_rE(jadArNeg$)DFk8-pNu^&gnPrKj{(9
zxP8t^jc{4>*(Wn@KYp8RbS(4$Z<^7yum^8Tg9=0olIQjm+ZC>!b0_WjM>cE8{Nokb
zbML&<dXQ#0GeNo1dhVTvS`X5kW@ac?N-y*&vMWqp;8P}AV7<_%@M@v*LZ4F6g6su8
z#n}hWHWw{ldmuU^)vC(k`a?IbnGeHSqBWLYy?WSpqS586hu2OqI(_x9@06nHtJ`lY
zrEcqe(mUDc__fEmi;b?IJ<PkfX#4E;Xou8!pLdGwt$rhsFFEz+ImtYxsjTam@`R^W
z=d#{Y(f+=ZYlm%^*e;zN4@2LTe=eB4M&zwT{)DOQcWmx_(&E46aZg0MJBIa+kWP23
zN*>#^_B&Dq)$4T%vk&+l{Ip?p-|fcKmC?+3AEvs`5x>Kvb2^9h-VvStMeX$y59FTQ
zbm!@j-dmdv?L3xy=F^P1$ECMDEevPep5T4*+~eNcn=bWzoR%AzTD^xeI_$Q`y=A)D
zIezz+==tyX`tVyxWOntQhcVZ7a_#Vqy|$Zchhyx#Ew=ZH^rUlC^1Y@n&tbhcN#B2W
z<qpYsvt5-t_Qsi6rti><H{1Pchiv?*bCP)*rl-fS-f7a0&S7QZcoG@T_=2(W+&2#4
z32WCe39x+HvzqTmLr$@1gYX3L9F<1qO?Sf-{G8)l_}?oZ5Kn1e&Hkoo&y!b-OBD2X
zR~^tlp}kJ-0QaX=tJ$YCZP{7XpnJk^yV3%+l<B=HDNbd(xemCUkhAXJz<Kkv7K5?k
z`kQhG1vi}!Wr%Y6cAJYO`vhY+qX(~LMs-Wd3B_>64BpDSq3j{f_X<QCPEI&%Hc5fE
z@^YAho6D`a#tbe`I^GI$D&<?IGjyH^4435)th{Z>EaGx+<HRFvCk&$-9fU3W<nFmU
z%sjDMPsl*b@?j0rVWsz$`V6a2{5G3pA!eC*oFi!>{~YlJp--HpJ%4n~*>tsY-b5R{
znLWy!b0_}W=HAo8^Wf<eTb>#>wp)ft=_wQ53aThK=c)u68?o4iFl4y5-SSW>OPR5n
zA)}9H`{#~Z69vn9Cdf*@)MA*eyf{bYpq0_BP=+v%V>?V4G*psfSrwX=Jh;ZNVM0%_
zXoJY4+d2Ic6eRPNI|V1*j%9V&l)_Z?Lp)4j>Jz@{tOlwxU(_)5t4yBb?=UrGldQ6z
z=Q4}=3@Rr>!udH=XQsUtR8TG7#pU3BvgEn2fyT^-S`3P+Yu8yl2sFAJ%E0H9cGH7t
z@e{pp#vM8{bCo$yO|o3abRpd6`Z;9-?{{UQ4W}kmZgc-J_0D50hJMx0v8)FUrZh$~
zZ%8OwznbB~^gGY5G1$z|DG_C0oBaE(RKu@Hi&is8%&<w&76?}3U({aC$B??|gqzSt
zweDQ8Lsy?DK4;xw5}BmT89BNAt;ENyO;^q`8~Dm?oanOZq*3%-2TM@9Use6|T`7k4
z$)aU)6N^60Sj{kFj!j<mk;9%RLm3?W!ftULd45tWobiE8WNx*~!;@0h{S3C1he8>w
z{IBgYWoT1>9mD$IQ_9|G=7h#iOI9=ZEXXOyX87ShuSm3kd&=_I(gvo~#j6><%+J|0
zv4#0mT6E(JZ_UNW@2g#?K6aH^DRA44ss_1Jo7c$&bbgw%n%!ejPU+VM+bPduZ5sqr
zXGaTenDD8oS4ALb+iorgn^WCoat9}DIvmO%6cktVnW1vZ^jsCg37@*wI&du8^XeI6
zy=Lw<_XSJel$>igI3@O+DZ`9Sw?i2&1%12W;iR9seyzik<$IoKF?`ef9V7O@I(7eQ
zh8N3aHY6XZJ>?kA$l!1J<Ql_~<$Ln91?;r)7qstpZIqpQSWoCdNaf|V94A6D%i|av
zrz)FGdJ$52x=(PHmit`sgn*~H(w+gU_9U+s7S=wVqr$kc=uRlZr_g()q6}SAh4Wk;
zj-0Aq$FyMmr+vLDVqt!}xEdavV$b6}@bFaoIwpqgMW;d;#KJ%A@m|o*_{gjNw(_Ge
zwguwuLQUGt7Z2a$<w%fx@{IAHc5`mOMZ9Fvb3rB@=eH6c_Zyx0#_?t9!*xs(_8T1v
zQ@9Z228#a=$Bj;1W&RQ2w!6wf^0Y)aKSPS->sO4mI;`RR2Fa4oUon>JY`<C6VtcwG
zoN-3_&b!|@@}_aFV=6db)V`YU!e*Zm(T2Qfo@SE@(r3QWV#w7E&QV!-&FE?><Fcq_
zmgx=Ar{|XSL}br=H-|Aq*EmNd@Y<zUS`1Hgjbl{=?irn4%NUTi^YmIqjr^H!S1~`*
zExu!uurqbtYKDUCcXG7_H1$4TIK2NolbYUli|Yp#p00T=rBD+2pol3~@B6Mf42w?J
zmi1_qM84R=kf-<kw#TFMOEXpr|I_2&A<Fn$kAD{z!_L!6*8M-qBj0E-{L$mTDHr%|
z(-AkJZ+iT@xg74E4%*J<@b0N#IOC0~$Y<9WZtS&rrNvOJ4@%zari-p)y7282XeeO6
z%{wiIm-?!=m6yba?c#FyeOfDAHlRN8?KK9U{c8$E89q%{&tbI-bH4@(QMoLI*K_=B
z&N*DOo?qe6X!CN@gN<yP4u&3J=6$01T)1Cj!tz`d`6LDDTwA+Cj%JqgD=M0E%0xd{
zuxZ||tm$aBd93x|Bk!i8-+nl-RbC4{pe+5wGP;p}4X7BG3spS7o2%kSOHOh20cGtc
ztl^COt0%n8<E{A9vgd`?14hwJhu1RpKc6r?hSg?<b6lC|hZVe)N7pj)znBmn%W5;r
z`PLn&4;y%IzSMe9D6{Ew*n{TY6!WSd+uGbkPs)jRvQIq`H@mf8W8(EW;x;8N-)?%?
zt#bKx+t6-_3*QYnd21#1x&AgYT=;grs?h1)^RDJmqt2(c+3oIYP9$3Q^QTQTk1e&C
z<a%qau}z9=-wv({p6)%V+8-26imrqnc%1$u_x5Av)F-mxjQtBICa<%Sb5K4W%W4zk
zc5my%nioBD3PeAgkgYuL_9@Z&)4tUW$Dg0@wC?A>JCXm6)Q26iKQDwHU@mzwC7M}o
zqjKdPiS}|nITO{t7Z2|jcu?qU)L?%0s{y~%q{eN>-Punnd<o#^mzi|1tfyaUlH#`G
z?d&H5q)#5_K4}o$&mTVN;W{R{)hfz4{rp~&Caz<WTd%S>rqm|F(``3bMaZO{jT38T
zOzPPx`a?rgb4O)G$CRF8(GL+CJI|gye0WL9p3|MjJx;#ecAVY$B#ZP(clVRB>zL%`
zs0!z*$j?-r93y7K;;nYOvZiF3&&K4MjA=ewZSB~+m)-QR)9`+`MfArC{h57o|9YkP
z-%pY>o7De(QrL4=e)GvQ&vDCTt8JbmZnMJYT~XYJ3gel%$A2Uk&rGha37L7ORP=*^
z@y^>}4;J4^nYX&3eaA`LJl6`Bt!K8|aZaDWTDE3K#;I*TKA*3Y+_*~j-=EF4_qOSC
z&lSJ3LI3-1t{uAZUDvqf6V&;4%vpS)`oda{0M5viYL~DRNz+;R4^M87d9P9B9b;>g
z;xD)Db4SO7r7sfgKYp;QJaSh0U*etUHo3axG41yjzj$bV)c1w%_Py7{FGfE+7U%Zf
z@OE~+=-%TO4~yzoU(~)iXX)P;YfJVXezA7b-fQL;qjUF8x$l_YE2}N%{GN06W{VFY
zccQ!H&TXl1SiWZS=cwn4w#(Vdd@f)7eM$F`#}=v0vdTOT^PKd>-QV5Zqy6uXv;IAC
zJDvcuTOLZzDU;VaaCGF9i7wkG`Tdlgde!+G9(HOW+jbZ-usn(U;&=RF*S+F%l5zoB
z(Qj?+W`)cvkNgl2bTh5`t^9)TO=lzL*d>?$`I&zFM~7?W#k0&aT*_{UZsm=X{T}DU
zAMU~H{ysH&Z>eV0`Wuz4qA&JlDR(+fGz*vIZ<sQ7-FC*kvD=(}mwhRVPOm9h^k!r7
z(TCEH@5NcKH<8<Wea?B$7jKI{i!I!K-<41I_+I91$B&zxax~93t7^X^A@^Bh{pRq?
z#um!k({6M<PM<q*_Wsh7*0vSVzZM^V9G3ge;ayYS^N)G2>$czd5`0Jd-uq>BXV2Om
zzWk(d-Te38GVQOte<ppmpf<R+VwX)s=8lakHEo>5O3!-T*==YOESAn^YTjnKb9vIG
zd$xzy8R=K2Ip6SocTOrR>y_+0RsT8DEU(CvO)r)B^|aUg@0WD@KUeef_dWfs8xwzi
z*@pHf*+%JGThdSex@>p-ZE&QX^skoZCl1W|y!&cxN>Z`JsRe5$#`!+n`RPff=(X7U
zH?-GGK4>c1CN2Hy@aGhdX;<&n&N}3O;)0j)>*{ZO_L|aKS94$76{+)@ck0&8XV2mu
zE>Epn8op}ZN=1>#fXp3+_c}j6y|*TO_0IC*ipD$Pg=z2GJ{TJr7pD~$|A?G9)%J=|
zo!`4vQ?~3|Y4~T)nRO<2Zd_6S({$#fUyQt)k$LqB%|DCIoRG<SCHb$>=k2UQg(Ihb
zJI@R|=~)}R{zLzhb6$sg_r5-CKkemA)!EhU^-61JIu~BDpL$zcb?y7BGIgG6AyRog
z?f-q=o$!(2|GU%Yj9eDye<!t2zgt58minBVcT@e(CZClyoBe-?O3t=4woCpyRb*4U
z)S-Ds>yj@0__}KP?M>-sZM;Ty#Ts_erl$^gdA@$UWUoqm<_<%@J1T#Tg^wRk&X)|R
zOk2Mpk}dUI5B~%)$vL)H1inpFjCA(>IPuT*Pf6D@7nFO?|B#;&wDhyLbIkkv)as?5
zy_0Lbf0P?-y!7VRyuF9kpW>dHW`0Ef*8S7B-b^lE{~^0btK<BIlLE_&EbQF$rtmlS
zd^I^LA1!`z)|)wJA0GYW7;w?IwxV;*xp_CO_k{R`6$#6;Pe1v4X5ORgPhM}@bcx+}
zzTLy8o3wT(&Hunv$!}?Ibw%i3^PCfU;qrCPUK-P1ZnoPKbnon$$awiU)#KXvzUx0^
zRoYz6s6D@7z0B$zro0c$pU(AJ|FQake5&g;!<!=e->;i^+^_rmx?M5zpM8k3jJlbg
zTrpSY{G1!sd*be`N-_TT<=-@!l~cCuOF#eN_NR=rTXql2m)I89esq6d{&eD-uV4B0
ztDiRdytU>2baU-nZ)E>XmRXmwS@GYjFU*m%erkPP!~Z|>-iex*kB@$xeBHQRO6pMk
z#JM+DzZSixz#ndP>CyRK`BMwe%(0a|EPwLgsq74{dL91M_fg3ei*-)M#X0{FwhSn|
z9d&5_sefLo8_n$}ADyZCIRAqA$wg--eGK1LKXvcaNoGgx8~pc`JK=L{xBrjgN(1Z6
z^B=@FEx%;-=zYxoDR*z`yL<o8)=Ybz!&9%jdsDh;`~Hc)wfA;>6v~^n?Tomg-P`+0
zv$b^P^pCGCihp{!uJ}iE<c!>Hnt$f*nH9NtVfc^tpS+IleJT8}ea{@*jh27*?rG_a
z%zIVc{$G>(L)?|ymsf}Xh%d_DcJ|)G`qO=0agQ!v|9_%;ruDtmihtr~&Y!t?pWz?-
zO2r>et-jrNpD@oXzm>je)3x@$%sbhW<$Z4p@NuL>|A|Vi>ODV$nYq&LgwJhb8Icor
zZ)VOubg(h!=$`(*uUzdcpJLL^9kY9Q!Qt7wu#<l43nCQGr!CLrYiHlYs(td-!XNxg
z1sl)Ut$oC1)~3unbMoF<_a3frn749=r|-iT4yPiyU){YS(8u}Y@T{JV&whBHx#1vo
z^-t{fWzltIm;LixkIl~8`SsZB-*<nljyJA7FaPDV+{^Ea>pm7ncWnRIuUF8XcE5Yw
zejekzUr%+nw>?gBp6|=QP@^r6J7u#})&}Rt%r}>`Nxi-BwlOEf*|#jiNx^^R!h2;e
z`W(zwEQ~A5NK)`$y)ez%M00}rRRJ?8=gG{G>)U?aSg6^!=J%TW>6=rw%LX$?u0DRJ
z&WHO+=lQx_w=G+46rOoe&bEooDzQrT%ge?!|K5o2p8J1u{N0+%_5WT?-+u4I=Q{ge
zSM%#7_gme0RQL5^k3(AA2lcyl>*IFE-}&-b{{J7heKq!bA6}C_e9a*(rXX_r`Y;~n
zebIUUDxJO6-5uW-q$yn1|8Y2P{z`$vL7SOomN~YTyUlsD&Oz*Yn#`iT($R-*epH-g
zdrjsX=aYlCyxy;{<9&F?LGHx7+tz!mCnSA~2)59l@LT)&TK@N(DTzxz{`zJ2@Q=g0
z(`RJoCy28Y<$sH)t;kp4)_R)z;<EN0{RuyB7FV}%KMu}$7E$B3+uqJJ{M>B`vB#e?
zmKN5$vKN>yY+QUi{-<2e`KX)8z7H4u=n2=%cm6ZCyilP$_552=JCPG>-fTQp;91iU
ze(tu2os38J(!v_kC@J}$Gj~4TKUJ=i|M{7XKi2O`ZM+i+()skq#UBRe=iL_4<4?)@
z7E!!IX@cg|&t~oYtVTO8S?pu8mpic}sy@uC==l8br4DLo(|VV$<$2QgX5+7dPz7PF
zsW}-hMc45?`Sxa~?P1f#p7S!@_oe(<ilU$H>3w+1;aI5D@#DXCIdIL~`S|jQUk=C8
z`b}H?*^HJ2-D{h6?_ryRTRO<mq9<0o*?8>3O9!{GbGJqEcv4)J7S?RY=Srzadv|Q!
z!)Fd{sePtjI8Qh7tevub;ZoHawF!zhi=z+eHu9X4%jTQUn-cJJ&)J8?jXY~i`rbQ4
zGfPgGxqD;yj~a!)n~zH!&TV|64GLf58pR30k@u6T%&)cIX8yTg*`+(v>>hSE&RJv9
zXD?R9@~O`E+U@0rHJTH?XKB?In6~S)7b#s1{j>b0cH^GemSX=~zh)^M*S5@hb5m#=
zYmrWMp7##p3FVuP&pI62xF^;!zj%lBgvC>jeVl$`p2NLUXYSt7z9W5NBFJl79d2nq
z&0X_e@*Ve+vu}3tKK$pvm)?K1ot>$2anikcwTGG;_rzuHv9r6x&swBmUGDv(N`Zaz
z@mYt>nJfb|^OOIWpML1@E&cpk*?p2H_PyD-Y=iBD>srs(^7pfB+J32`_RqR{?FqIs
zcScJcTHN^NoZM>u`+O<kOZW7Cly`a0vdOqQ@BD`dh1{9RXKN2VZrl@PnV<Y4PhowC
z)cXGO1?o(dmY?Tdx31BjFx}|%G5M_b?3<LU*BIJJp6H(HW%lijWSzi?Zy;B<H}08W
z8zw)m>%R{uCj93c@Ye*i7XHz8uRqXcRJ^@~xk2@mOg0}oQ$mPhe)9RY0)YbenZ?!Z
z&5UlRWU{&185V{Z=GR(?99YWr_{a6W!~@2uPv6}Y;A20bS-i$hhVe;o>z>|^^Oq<y
zcAT1X+gOHmO31Z{;Q0k63xY*Io3$l3tXO5xSARp2dqHT}yL)>NGhGOune6+}!eNuv
zliW8qt@rSHtUa@H@1c(k`Kf)TZQGeIhZ^PwTQCN!=X(4@e1UZX!|AGQ(^lbwT&uq<
zye8zw6rpoCw76!AFI$sN@fy21Oc9Z+?!6xcjYTsypV?`9IJ03vYR}ic`;p~L)58q&
zlPzQmwsJlG`Z2!PxFPBEiMulUt=2YdOYJjlHEt|lJz=}yy@!zv2UE}G^1NqqS@-D9
zvI4US#iGYvKi=>6oFQQPRO^2RbGfI)UW@qCSYf`PT=bLKvGs3l6h0X}-`4V-VcB%c
zYcl89%ho5|dse`xPz%<0<vr^qeeLo;4~yj=)Xz-redv_Hr2Qnf$KK%`dx$}Co^u3C
zok8&$yBdZChrB8u^WS1`G+kp5cmIZv9ute<>$_Kl^w@L_7w5eHvA(DMK<F8nY_91I
z2O<`xB>$Oy=<oy6Fp2yQ|6Se(rB0l=t6jtL<oKD5#|k7RCW72nUues<DCO9lWj}VG
zE4sj^b!Kh<{+a6;W*a5vz5gNoH+exs*o@l(^BLsXk3Vec|HmO(->b#AZ}!A(n*V;+
zs!mWB^~<ZRYF~Z8;p8c)|DN0j@>}bZd#`U|GkLIP(cVY<vm9ejp1LKojx}h<C8O%C
zEw3B$PtKD1Z_8~~sOrr7a595rx>OdQIfKMx%WFb*tTjGiN9`V7R!~jn-P$7E7_x3h
z-?IYY2Ggl$%-UujOh0ENQa@L_xjFcyYBt||MhW%9A%7n3W_5XSW=-$I%?WQ)d|!*&
zv9Bp%UH`GOR$JoA)M--kN#~niPM*5c@($aK(rW?lKd=U@H{N@!{$P{B6w7M@`#9zW
zsBS*{kZ-}(&060$<C&UwU$T0%_*-f~&!%PDTGlf>i(Q%F{X^@-{i$&qf1D3LFv&>h
zc>Tq<ERHtWE7EsxulU$goVET~fq8__)Hh!^zcWP6+gkgvd#8B7@}4H$+C$e5xUAn|
z|FQjp-G)U*m(5y#JNBLOx-BHfP_eh^X580@jsdrfH-F>eZw#F}ajSpr537LpGcQXW
zHfPv#YSuMl8=kltRb$?Vvl~u_S1G<eTo$lR>s>%_1<ReaYYz23jBdD*&U>_eWmDPw
zty6w5|IH29r}Z<J=RTvEy>@nR1y9Z2HETXH7Rjh=T$8!?P&wnv^&uO=E0|pVpIM{(
zusUIS=$*OKKGa?~W3=j6{e<~PoLP)6o3+a`Oqgc6HvNa<JePojIe&Cti^xR>Tns3l
zv3}~mnKK{T`)8-REn9dj?qNfeWoYzEg-j0iYhHH7*?*2_uJu{}V!NtLjCOgNcrH)-
zl~e6M%-{B><Z`uNJGEs^v%<ec#%scsrKR`$*IGMuvgrTLjp64DT-Me!q^8Y!E8Mqc
zs^-kl*@pwS8d>hns<qe^cJF+Q`}+c)wLYu<6n*79e(hBCRGy=C+*^${KC0esC=;%&
zo^}3x**deU0{6nSx3horVE6v9a8rD;#lEm(>H147_v{VpOaJ>iH~5c}--@Z_+WEQ8
z74B<gPS2D6ZyKeYpWgqKPyOmC>l43sOXu(Vx=g<G$I{Dh94&T+xh1jN8}-Sj{x<!;
z|Jb?L*SEdadaRN?t+bz)$6KPdEkN!E^S7AVZg!y_S=UX5H#nJC1z8`pdra$?v_N29
z-uu1Z?^VCwd%d_?|M|DFy!U^NzU`c5@J*s=``XXe_svg76`%X~a?NJ5-kuB2a})1%
zySwgK|NFU#m6QM7`HM4K&b?ASk&)9<ed&H`h1)UL9jn)B>g_u2zT@zZ*}U6hS2zeO
z{h4l%&gy=vQ!vl9{?eCqPhu`C;n=SHvT%}>Z{LUL&M79Uhw_hHdu3vJDD~wlQJIkC
zt~->B_^w}8zH{Z>jANhcjFn=pRvZ#cNNdU7RPMD|DQ0@4+h*l0ulBK??JRJfGr#MZ
z+YkSjaTzx42eQ-STDHFZus<yHcI**HlSAwO#r$cmeQe3PuUFjV$I=fwVudmiTmDa2
z+WTB$$5mIsI>rBoX4iI$x&N5?hdcLsft$C|pOAm8$2N<<*<f;LzsKg27i%M1Hs8uI
zoA)+S?%|wc!hG)9N^_>K5AoDij+qeYx>_mbO09O3?8Bfh?7sVdKfBq<mcQniso=k#
z+4g5La$DrRukT(^D)`S)VWHn^<v$*;B3EQMw>*wIFU232+f(55m{Wa&ub^Mo;%BBC
zEe~nVRNbO_DD&!c?e?{T=T6+3vduN4bIS6gH>#>z_W$?0yjy7P<vx!3@3NJfS7xNQ
z_=`kbTE|hpx@5=4o@?(o*uOHe-V%N|!DhjnS;{igA|0!hWiHkV-x7bgV$D+(sfQ8C
zi&S(UGYi_K{mP$T|MBShx=*j`|DQZx_w(}oKNkGCr!_7d<hU=M@%X0tvUa5!jSJ@2
zN-PesN6oh^mFwIP{i^uf){_@Gs#C%)Zsa)oDyD$TMlNyvnzffZIexnwTlhGo<?p4P
z$1}f$v@l=X?YsZF*@gcz=Uf)t_kHU8I!n!TrJC>B_J50vqLltjy-~1Wv*5N<bG=@~
zwB)`@kp5_Vs4VjIPvb*;GgC_p5BZ(l{xRVHQjXmzxsKD7*IeJ?IrG9)4(XI!&v50O
zDU$9NIA)vNKPLR^x^m97il8eiO%I8k+`Z!J3%3^0Rol+INNbt8$?U>fj@2p00!<EO
zo!$PCpS@gZ&Bcl!wq2*6uYWSP*ldq@;@oAqfBFyn<?uG0H$~I7V}sAPb(arwoR+?o
ze}y%E-i7`hZ_Apt=@?bXBzjsey=$WXg7drgwP#<Z{8riAG_P>J)V}*Kf^W~9f2nw1
z+uMI%Uf=eOtceWU|KPMrRB>0;$%QJ{cAZ)IMD+RGh%3v!%o3h)#waWQgHae)QeU7f
zPu$T%(}GxDWqgP#(_Jz7VC~-RG6B4DCvHvJC1Eoq(lwS>E_0uv_sZD^lY5uTyxk>j
zGjT8TSA&XGS(|&Rr0$4pmC2}*yfZ1%X)kYH_P)m2-x7B&R-C$5%loeDp3I|Pl6Nj|
zW&LWtLnmwV95#uViII-Xyz8>|HEwO@S(j<^^tHi_2fJRJy`IqFxcbBoJ-Ms`=aiU7
zyv7#V_qt4_@(TQ3`#pHrV_>oV<CJ#eOAnfE=<ugbIp{hw)#TQLNhc<?9Fvf_ev8M3
zH<{Nj!{+Jj!Z`;$FYXo$=Jh+dW&tPL^3wY)YEpA1ZdLU)%DA|tQU82ObF-9x<>Hx1
z1s>m?9my()Na0iuYgXQs?{=BD?^KlE8n)vp$1<-b>_5XbE45%jp>?hD->VsB<<Dg~
zmzuP@D=Nx8h<Uf9Wyk-|JNkLQq}|W&d3$<Qm$TM=wfBD>UhmTFTUJwE^Z2->?vvS%
zR@>)k-!O_w+3-(#f9$2CX5RNF`qylioy4hYcw@;Qx7npm-n?eo`!9w$t8F=x@Ywp*
zQSFSK2km|;rEEEru>MR?ROW>>_eCt%8r@j@@xbqAS<QDBl}9Z$W!vpC?Mmj_gMMet
zpBiV(J;)aseX*+ft&w(S^Fgz_+n4mRMVrd~khz(%!S&YvPgc3lx|hg3GQD*uJo0c%
zYwlBSjnZ97FU|I~x*QYUI`_TR+QXX1=g6|XPSJG_Y2Iq|xFu_Y+jrf}?FX}Z+51wf
zdBQsH?Gc*I8+J-(>0UN%llxO1U$tv~y2)(eU$)aJVlNsF23?)5eAlsARf=DRonKOC
z+Fos|oDCjnGXA_`S^G5AH!o)6PRVsV&hzZF&hp1>pG)pLsPjC_vMD%anQ7qu<=N~%
zQx4|LEQ~OnvGnJ%IUMID&P>^Anq@dc(a5gzyp+%N+F+}61K-CBCnoSN^WvV&e2}$S
zGih@p^O}PxGnbZ}Oqg^nCF<&nO$S{fPd~hx&~%0=)>LBsuW6;b(hU3_FZ6i1>tMvq
z-HXDS4UM#4wjInkYra-!SEhm2HL;S@2}{lt?aDQ9`p#Q&JYmN%X7+=-*$%tp>pgfU
z$ul)_!EZKallxmvy=Xj`5E;F=ovqpBnCTJa=Ehz5Uj4jm88!tLwMiG^zdUPtzS7Zt
z=F=}{7Oa0ZJB-!V{DpG1<yNUL(;~g}d8=OTv)pU+V&%sJub*#q<S)#8dgb1t-DN92
zrrkTZX!e!Wo8ki9yVn_Mubk{EzSceS<jJdxHm}iL(e0`}^XZkVix$6=UNPI1y_enY
z(eCIi)Ay{7a<bKltDeaED)Zwh?R}Xu1NFP7Z`$UzS2xaM&6?{g`;QjiHD9_<?7WGb
z#ip;DE3_)ZP5su2`KKIPdG*o8XS1ixiP4oid8>yl(q@XJdRRC6u6#ddop;$bB8_cP
zcZB!uJRp@;7@o4#ig){t#Xpy&x+?4BoxauO7IkM*q~~ItyjS~Fd$;Xa_%WcMW^2aR
zOQ%j}tT|e`^5!J-PlaOFQ^Yd%91UH2a!RdwM9jpkrn52%BU5TJd^hb_@_U)d%}0xl
zInTQ+(#^fdJijJb_uOfn<(Xp7P3})IU;k1pJvG<OSSKoF+9jWE<6ZfFn|1uMZJw~6
z-DaU$Rm^LB{LzvVJNV{i7dn0R-N`pMy)foln92P|GtPKLB@~8y*UembRIs;w&k|R$
zcGvuMKgFVDCPjLA>+ogm)4ZPRt;=^Jif^mf?^Lmjok#7iPJew{RIJ)m?o(G-lu5U@
z_R>(X>OEyERJYtp+J0qqm-rj0?zyw3Xz$-DwmT(rL8+Ma7QXa7`Rlgd(96!=axiK3
zi63WfWo!)n?wdLJs8}!iy<+p|9I?HXg}EDVsBE6IEh^_qjj>()w#b|bTP1V1N9b>!
zQWml1a&7Ug%#C4>8_zF`70Y(X-zQlfy+%y(en7QOSmr*-`OIg<v{Q3kt98RptXWbk
zcDnTbq;JtWmn$9^KWR7`baD3Uu4Xaslxdd~yN}MwKlc1-Vz=uivxUlH+^J$ORvcxz
zI{o~uWU<etazEDC<{A3O%n+TsVTQKZY|)ZSNquLEtWpi5vyGlk`nct&PUPvzYe~z_
z1Z8cUvGDh^l50u3jyXTBOz6&BRDQ1VTGFZ$o2+6t%vkhuSxi*?mKi$P+PhK=J=0WU
zx6N4bds@lSq^4uekM~FF9_zkW>2_V`*vTH|wMQe)nm-oWaW$!Djqi)LqZu<<cV!xS
zefHg1voF;!=yBup6S>`jo623wb=pp^S+ZN~u*v<N#fNOW7jD|N;J27_%CyVO-3NE&
zFK8EQHj%5au1GNqh>23Q-6pX>HYU@}U*}i%-ba`JuXMGax%A7KMeDCE?n(Yp+-<kX
zY@xW=-c+#{OI`J^PFMb;-91l=Kj!Y<Z7&q7!>`<1xciLPs|Oc+KcAh{Vr5k_bt`LW
z(F>)`bF8dOuGKP^7QR^W@xaua@b<n*<#XR}%j1(x5nHj_x%#ZRx6!MIA8vKtlKNUw
zp}MgvJWRiRy5CpdmD>+<_p+~h_1nT``kvP@7GFv$)HcugWodJ@W^;@4+QY(;voA8V
zA2;&OTyR+VuK99DK7La<i-SC66%Sgb9ydKM(EdAUv*`R*XW4fr*DOioE8nWNdBTN)
zcI!>s76|ffPn~wzqdj_8{(?Y0b5l7Bj=r)TidDr1vX3ulZFWeOU6;Kt@<hg*!={nZ
zi$C&(yB_;H^Fe{@ci+rKhf{mmzs-A6;Q8g*X{(zL7oD-VU=?$rX7hoC*B<U!b2ekv
zVau6IP3}Hi^KSNKo_4Wb_Ib}|@};}v^DWyf<JaBO{4%FKcvilc)~v?`LD#}eu0L!!
z<2CDfLCkmE%zcLqd)fCb7qgI=I!$c)8*bS?i?;!%GbbKSTp3<^PeaUFW>Tb|w+vsF
z?Ws#m)2w7BY?ah4zM;Q)$~5aYmurio9&QMK+{k})D&JX9-4)7L4XV4g9?m;!?yVj5
zc!T$~ur1dTm!I*9dcMK=yKd&*!)3kfdJJY3Il_B)D%^arAvA4HhU~VCeUV{{&+^Sq
z&2@^FF*~VqA*{W3Resd+Ts~<Nxg8R>-ff8cwl1Unu+_||TP`QAeKtFl^KDUtayIv^
zw;Q6;EZ-JJEd9-vRTi=G<AK)uaqXg)PCZuHa6WP84ITE=fB8<k9DDeo;c(E}lRf#{
zoB6y`a$VbH#7?hSa+t5X<o={S3!N(!88#koHaL8F*8FnD;hLFCOYS7jdp7&yCYy%_
z;n&nkE+zJzVVYYsW9_d`lkDCact375e`3sMocg{iN+#{ZnkAe0E}P!(nSS1~J#*8x
z1)uqXQ>R^KZP(nDzo46MvZ>sUf?ZDxJik1f^=H#zmzk`)9vL`(K3g$+=PQG_Yu8@P
zI&3kq@ZXMi24UZQS6)r*ImRr1#9YR$+q>I&?O~0t0opI79roB5J|{BYx@SV9XSqyU
zw(Tj4^!ud}YT4Yoo*RUuS>7#|SoE8%>VZMT<AonvHXc^ExZC5!#KR6VS*zX{ID9@^
z<hO<IZ)$GuJgYClk#Wn@`D#n=Pnl=^<zmI5l`mVJ^Dpk!c(K%3f9BIKrxq@MHv6M#
z#oG(c*V3-sTfF;B(5nX*eZQYwx!66tSAC9xb!Ca*Udvl0-(Kh#tvkD`qU2JI@xJig
zRV7olik5zUp|E+<uIeq<YrS7R{CG>t=HZq*mmg1^8W!ia*CsA%<;`QCkILz%h^^>9
zUcC0C&gm8Fj~houFRqjGcRlvit-fD<SH2gsja;_Pm13zEA3eUD<C1#vF(A#7_glq+
zpJ{7k9~H)5OEbChc;1;Hsb_`Z-_K_5I4;}UZnZqB|MsHtxWyCYw!2KbnmOgT?^*NH
zT2gNdov($N99tZkrrP^;$GYFsOwK)?b<BD1l8<uXuKD-&sa3>GlvI!EKRqk|Sh3;B
z$CK9hW^6j{I+JzQqe928Pb=rVDvW!)Fz%vBfAOPBj{;s7Udw8a@-()IS`zm(#_!x0
z3soaM_4LX)SE{BISX$nG+_L7W&FjLLG}W_TEtdT*TK3gq6>t0MlRxF$U9#7yiC4%>
zi}d2Q<y(2S$aLq4#|zf@W^6ppIFmK%(Z=|%PqT_v9=9vC5Y_#BLpwTr%bmrMX{u{K
z+*oXO`sfp{{=1vDEeMs{oigomR{z{x`3p?ttgk%0lDYPH*~MKSHzoGx8gXyAm%RF3
zj+?a2wiCCca=+ZrF<Pf;UYT>LHu%<?je*j9(<epSn0c)3<6pK`?)B0zW4`j+HrGz}
zG_N@xwbk8bmaJTMO0JW&ZP;m@3wr&btMtzw$?Knb%VYJFZxw4Mtkur?7NNI!(zhy|
z;Mq^R_nl8(xkfi*|8b$2PfHFYZ+s{HV!?5xQVU7hPZ1iC&tsO#e2Y-n?BH(m?Dg5S
zk}Jvc)~vl~*uPWg^bR5WcZTlYeP6a5&ndOAocm>lYUJ~+O@5VUrbPNJw@rI-R;=V$
z@~$;!R~${AbtcH_wPEP@voGfy7b&%vlV+>deR`FfxQ$xosbk8=<%E~|rKY-0w^2K>
zX31%}$5;8x<t)ERsAqHUdSw`vW*PfgV)1XbUGEH|r2Qh7hxG?8DxG?Pw|}D1W0}{6
zPWfhwqvZ~#=Jw`Q^9W0Rf8KXI;I8@7-Ez)b9&Y$*+kbG8Y5eEka?PNctG}^oGpp?v
z359IqswWrY(==_ry;%PH*_R`W*IUhT@VEWdajnM4=JN|(BRiJ))n6{nUi+fe-9Iw=
zqIbWXk@ibb_rSZ`mt2=|zxq(+ik(|{=G8)}R|1Q>Z)8mjI4&_a^%$d>oBCGwJ?$lZ
z7Xz<dTjAZqr*!)D6;rqByXH&t4CAu(|2+=9X?slj<=^bcz<_=U{nTl}=Oy&7d@uSK
zc3b;capdg4_Y&t*rUlKH@GreT=hv}{MSRN_)EUZkUrX)%b-Y4l(;`3SB%3R<*JdR2
zuy5M7$kFiK=`~9Z8oqnEPnEZ`FkIHp(>eK$;M&tYZAW+LZl2P1e8*C=(}`&=J+n8N
zEu3hWcS7gF3W>F=^w}3)G+ej(@Iw*l$5D@U)8e=LX-i2zUbXDW-IACdZMVvYL4J>u
zW2Wtz^V!6=qtG*LPC{}_Nc-W}c|kqgo2Cip^n5mY%p(1G&a2beWm!F&rSfZR?`@IL
z-Bj*+IeE@R$>-N4mM{JJG{DyQn9$3&T&Zyv*GSYZ2^SQJsW{hnF}7y6PX2-7B%Y}e
zC%LoodVWqyzge-(;#kbzULMi2{f7RRp4D8?=_quWy=#j3w^l>8?EF2xm2zSqD^%Va
z>1P!7%o95O(<MUa@d}f-tny~w$vhWt`BccqiY<<OU3|h~&#@QU+h<?ylBixP{{Lg=
zrb*wYeP_AyqdfB7PU#n~`{uBPeZHo!a)(Il;n@?HoXXxZ?Yp1nRIx36{!E;OED`5-
zKdY`^wpVHK0^U8*uWgq1?z!20jWhSrXV+KS+jq@0_vI|K+}Qfv=j4RzpRY++AG!W1
zRWrBS(62f%Eo$*w!)@OAx=&=&+<WH!I{mTemSpmp%Kb0(pXz`6^}p8g#yW|`|2#64
zmvFxAGxXSRYkW)i@rIJ@>6M;m4Xa*zn=agIShaG`#LVO`6Sj5CIeqc3;kS&_N+-Fj
z1d^M6<^?aUFTeHw<K0U3%7prl$1hF)d-bKjdhMS@;w2WxR5G24_9eI{`Am^izbEl{
z>+P29)01uDR-RlGcd77R)sxCc2c(m?oB1oQzI;;R?k&#m*8cxB?H(*ydZ7ES$#jPI
zC;z{`|IMsn%DE3GHM@ed9yWipUAQ}S-sQ-BPd?>^UDzq{`^v&4nscAu_T3#Cye~`q
z$C67G4_<vp>iK)=s^+Uky^ng@zJ-DQFN%8VX64Vi^1`d<-==MgR_|d+nRaQb1hdMt
z;)XqPj~Cpz-V>F~BT_m?CjW><%DucU``<s;+y8%<U;oik^70pJk*(RA?0-C2U&*)s
z?3>B`c0d2|-}|qic=^f`yWbu5bw2lh{av<w-;baB_x=AV9>3+}y|gd?zT5r%GT*4m
zI?qV{-`VBz6Q1(R|9#Qkc(3ZSqT>H&&R+McJ}WLR%eQ-S<;yc?$F|%5ez^btk$Cf3
z_p#+&`_69oSljjFUe>4iwZAU@&iur9^gnL^%6+tQn~t8GT+GDK6=1|5z`%fdfoW<=
z+MB)clVw7uz4>i7e^HP4i|H?W{??zD=D2E7mNA3*%Z;9`XLT1lHsm$8a9;E|zw!6o
z{Iqo;i-YvmpI9Zb(fE#k&sT=dmaNLEHxVCi3!c7T{psuF{B`^Oeri`YKfizH&wnq?
z{r|mu^=j|k_WJ5ikCrb#KR>p0_1UY>%GcZd{c-aCyqfwS&-dH?{H=dJzUJ@Y>GuB~
zzu#~F=k@)+m#gjf{`vIuy#3$HSF88$srdEj>E!g?_dkAm$?tDh|MMxoeO&9=E9;gO
znC7lqRwMd5l<)WdXZ`x~>VH2vEx+#G&+p6Ye}8)Y{C&*c@2{R$r2me7Wxu?<Zu|ez
zc-#LE+tts<#qIrk@Vx*2`pT_;zrT;$Us3h-;pFvY|MzbAIepGQ)x358e*6^QZNF;Y
z@4oN5|9$$ty8Qod{oDKhR(*ee|M$a_r}h8;e)Vf{zkL3>ZTA1Vm!IFax9a)#`?mid
zo_t^b<KN4E|GNKQC-49JZ?^pYzn{{62mjmezpv`&@9U+ze@0h)p8x;na`E`TUw+S@
z_y5nM)#~<lefHOXdon$G|C9Ouzumq5yQ=QH|8M#CkC)e1etg=!Kfd<+*UN9^KYnRn
zu0Q|Z+mm63RwsWfPqO>@YIpki{q_Ie-d}&O`rBvz`pQp_4y)g{sonP1H`?@@RxWql
zr@!CB|NlDK{;gd9|G&qRSJ&76Ir;d!T~*5eiEH=x&;L{O_07rC@8|#fa_L{@|J8Pt
ze~uoPul;xQVR&x+-OK6v^Y{FCc6$B1n7zL;ey7^jfBf_+e*L}Qzg~8)mD+9p?XrFC
z$Nc(VhyH!ITli!4-}t!Sopyg8_+Q`uu>Z@;So=#4uh)F}wf^Ue$-#B6Uh~^m|B?Q8
z<^S)N`M)m8+J9Xg|Ec2N^%{%+-gdhmPiLsL`*&IVr}>rVOY%#LkN5w5b=^n(&#Zz&
z>pRu|%qls){-Dvc|Jsk=#urcTSzqV7@A377m6!idy~U?+@oW44Wt(68y`9(CzMn(m
z%lm%0zimu^Z^tq<&d<nx{X_bnhj?;!z(4u_U*xY(UGnez`yZ+c{=D5Te=W{dBlr8+
zZCkH@`;h<b;_vyj-{$Xs%KCb*e*B&vMb*|HAD!I%Huu!k#s2H>RepIn|MC8uf3N4>
zk6n6y?t*jD|6AYIKd9UP|6*+I&(-mt=gZfAx+wm6|GVD#PY?I6yZ7^h^8e+QFYQ14
zylOpv{+HVauWz?CTz>z<Y3tj29vt8Iub{m2@7cOHtkw<g^7l)_i+{M5Z{>7Yc;5c^
zk3gQquD|YoytazD?yB^>y8o}{e*Zt`%;leY{`b9~{WtyJEvN9=eg2={(NliN+n-+@
z{J2m4#ntsYKZ{g<UViWIdwGxN)1T}A+x7qZ^M*O=_x*o;R^R{s^E6}S!`b`au_)gA
za_e2W{r{g!)0f46z47;v`1$&pPmiA7U;pp%WB-4TpFQrktE}0aoc=BT-?x+9t0T-b
zw_XqV^Y#53|6l)phS%4A`kMd$`5UdOUuSlz?O$vEy!?O3x%$li)35J)c3tq{8S~oT
z)9v1zwhNu+E?@uaMg85H56fS_K0g0$&Byt>ZyySu@a5*`bbp>RR{Qxo4UWoreR^W^
zC&&Kpr!P;#@8A9N;m>RJ<^Ov4|84&F;qv|YwWZsH)Bn$?kAK!*nLP3Tv!n0+@8A6Y
z^!()~!+vX4{GIW?`OjBVg@32Z&+o7QG<|;kuljj)f9v!9H~hc<ZU3)(pX2A{)*mV_
z`_E?eD1Y|is0aCfj^F?LIRD=7S3kdfx2w)fxMNrK>&^1%@piXwRiEEg{`_FK`u#su
zmN(`)@8<vZ|66tRr1JlN?&@CnxBLFxsE_|Y-oI1*IPLhF{IdCXKi)TAS9@Ll0e8_S
z@xQmsYrin>tN8R*)_&H(*T4T~T+7=3>(lb`|C<`)wO1`#dMnFfW7qt7b=9B0r0rTP
zbok8kFrmY{@8>Ri{rc>do%ODoPwx5sja##5gNN(&+l%x5m%s1ITC?!&x3~X3R;Ouq
zm4}C%Tfbt-+wu~NWryS6$41UddigYN>eAiX-p|9$rk3yD{ki(rpUbcH^Zsr4d)V&h
z<MsPrFMoc2&z_(Eo=>;0`}xJSeZMH@$A<M5_jQj%8@=`Gn46pEx;aVYNAyIA?`22t
zyxNuBeoi!b_b$Kh>z{1dn&H3l;radlx0nC@@vr{#CH<an%;#!LAM5p0zIysqx@-5U
zh~uq>d1mTs4A+Nmxzk!$p1P;pb*qo=d3}-f;alFcZnXV!sA7HbizL0C6|>lD{Efr6
zZx1<}Hu>HEyK^cke{G$$(=_kS)0bOBA6{Mi>Ye((mumi(&(>|MPXB+t{@%aO^>6=G
zmN#>DZ;3r$+@15j?z>}i=(#eb;D-I;np3>{GymCq5xuoX^J1Fc;_X}i9Qk2kS9hoE
zfAyVPe|6`XZ_ItBUsre2{oIVL$D*xgn}4@wKc{87Co(Hy)s>gOKJN9l{kZ7SbgBC~
zrst;qD)al1dDH5?PVu%=+pjR^9%8m`6<+U_xAA3f(q*Tkbr;q>W`BF}Z@2C9l(YFF
zv!8d>dUqG@;G3O$yl(IR*UtMM7I*38i^M+bs`I}8Vc*jIbqAzElU{#qEL)c^5<Ok_
z8*{{)ob*W#^Z)9WWaYoO@~ouFJN?kZG>v!vx90}Fi~VAm?o_|{#lDz}zmwv>rg)3W
z-pjajIBM3H!_g||-nVkuuJOFD{UwThdw9mS#%k~EW0$V~uHCra)ckkS^sGEPn>7=@
z>wRlneP`c|>$7IpZtONSFFty19#eNL?<47KmzdL1f)C%ja@iL2-q8MXOKM|yM``a3
z@!z+kHtLtHG)?-p#qp@!iQoG3YIf)I@Ev{kukyacoya#|Z{O;zU|3qwQ5!G%b5`sB
zz!z(J`T1Yn-yaom$oZFqw&I?TwyekAYwin7d7|~{>F3$&<7<ANKKtxz_PN#m|MytF
zJoR~6)TeOov(4q{a$EM@6M7*3{IM?MJ3Hn3^BCH9nX}8v6)0c3oo40T5I%k8ZKgAE
zIrla(@RuEE*0WmAa>G{d@jTYu^$UxY_Dp*CBBnz1@TKL4AMW_yRIyGvFL%XWy~CN-
z+4-Jr5A$~1UAafIxkoy0;_U0G7Q7Gls7ki&ekeB2a+2dQ-tF%$#!r8^W%k$YDu)Zq
z@9Yw^2Z?g-RP@}LsNej&Z7+{e-iZ^uk*9d8C2h>#q)sSwcFfZV%DZv;0Z2iQv{sSx
z)S|<2Z0C8aB~$KPm>@lCiu5<5io6@A6&|<s-MO&z&W7m+ix0=KNt!DddsH$;CUywR
z?RsCmOjs?Y)$<g$T5~en<itYr8ykP@D)?>Me?4`=qD%R2=I;kNSzxN&$AgE!PE*jT
zeRR1w-?J?`PU0b(%ToWEmN&X54es!Ec+LMY=SK9ThttH}b}$CM^z8DQ%`;DW$%-#6
zE54|>F7;(Q&l?m{<rGpiY0)LM=I3mhTDFQ>ww{5RlMfa*i%gv-Fm>LN6<ba|*y-5i
z<=^4u9~5#c<-;8X*QJUzlRhzRIwYXZv*;3I;7bLNS;u+Q4nNA<ko>V!aY3u1$66k>
z=I3pO+0C-2cf*u`tg}+kl7$<3c&Xzl?h{<ja|~8AyPR&ivZ_I>)iC>zg8G79t(CP}
zTYOE^re{qMzMwYsik#@JMN*rcO;2!UG<#*$bLIN?-kdA-gk_7R>Q?jCZOe|m@!>6E
zx;10ct?5p;gObaZvRN|bdU?L}T&TO!&^oAj4nxm^C(H@Wf_fDjSf1}>xan|;{RBty
z9D@v7hxP6rdu1Er`Xs*O9Z^tcxTNznjit&k=|Z(&^Be|`%~zrYUo7o#eDA_j#H4UG
zDBop)xDwl5e!~--4JO`uc^l)}B);fDHL9^x2_{{r7JzCLc%j<i_}&4k(P4qO0^8pI
z1`v&Z8{+CDzPvlEpw6JI@sr_3LJPN?&cRAOhxN7|d%rfsRY`m~cX-BEhP|H@z8+()
zdYE|OHy^~<+k7ul+a2FqL5;OqATG<c_c_>DcGXKcN)~<rN@p1-JX_#0%a`Rir`Hlo
zhD$P2JT`YeuyE`&VRx9-ER<;`D5I`1@fm|hae&fUwWh}{ic5YjIC|(~H@jdTlLWt#
zj_ko1eD!;`bEMDXW7y8FdM8iGV)<c#J9eiy4{&(dF_=j_Y-0B=n8&Sj;B6r1_SqcA
z-3$b$l^t5}Re|Y_+|+{IoewM$Ir8M6vJ_-?cwJ``K3I5Y!s^2s>I|r|4YwBy<(Ui0
zsPi0ryLb*mL3PNXw_xY&sGTCrkk{|E<E~4=90Qi^{Y6YroBD*?9()V*W7uJ<^{@<N
zF4$EKChl;*zfcQ0$$o%MIp#wG=ec4>rX`k|6Vrqr-r!I>bjeR*i=2wXtjX{oVDTsp
z0tW$$>ZLgjlP65s#R4*Pf?eViVTMdUuO&BK3g#?unI)`n*0a-OHb<ZE6pv!4kp~N#
zgfjgaOw{34b53}+1Rm^+m*&8OeQ`9qsyu^qPr<=vRg3eik`tb-ahc`YlB_&~!`nLX
zLe&Ju%yV$Nj1|sC!tG*PVyQhbO&Dy~xdpLjy#6i%1+K?&i&LBpCh2gip+-VtocYq6
zsjwJNcbK&q?p+>_;xL$Zd!*cu-NZWK*&>9S9$sxK$QL|U!lZE472=k*3C{xk7?$kR
z0K4Xpz$F`y1H>T?kZ_viZpjESf#o=-v&Ux*^#<woMJ3M@lq~!tiryO#P|R>C1`=3_
z9GP-YSsZ3{L)^@xcy=*b?p?riDF)=bf*cm%#7uRbD1I%4v!M`2PVp#aU9wXP?8GLa
z%ykVW;SdJ~D4i9Z@N5+<a&|(^0OyJD0`ADE?-W6~#rd5Q*dI6)I?P%PahAr!w35Pr
zPmCK5a){eRG<}Y_z>t{-ia-mqrp^spgxS`z^G<lS3~n9cr8^MsId+<uJItC5GvyhZ
zM{zJLLheA#04F$Ug|ohJKQS9D)Vv5zm;&>_A!!cx6|yywx}3<F(@NoNEXW9W=3Kyh
z=?*C5z`ibbn6(@33_g$IaJVxVGv9%{0(OQq)C_RCXJ4`to)+IVn3TgEA)Byt-I1w2
zpqM{#Nkg3h$r@#p;_ZX4!V|{(Ojdjx()H^TfA8$$=2MdS`9J}bGmBk0r0Y#@)UA8M
zQtxWfvEUI4Sji;eKYQDnIkfyfe3#lbU)%EF{cP$=3V!}ptg8NF!cT>!F5w2jDK!i^
z28USrr#-L{_c_2ec@n=5BA*&CUa|p|CgAKik0Em&JXZZ1O#I=YrtUBcQ79<**l8?1
zDGw<}9B%{%cvV|<fTN%}agAf9)N4m@rj_LJ=J2{K#0M$BA0$3o@WtdaRH{)GIj3eE
zcFa1?m}}W{vshw_+yYj|ELR8bLo-alMHV025zGo_9YN6#jyi@Vme7nVammIupv8DD
zqVP9dQaM4GArq?8pv{CIRMkQC9-HvY(GNv8r~-r5G!o9U*ew|)9FfagmL-;;{D&xS
zT^wdDh9tBe#k0+G81#4pU*3Wg3#AgEtd1h<Q5*tGh%$_seQ@6>E1V64`vz(UI2QVl
z%YMNL&sM-Po#b5?Eu@;&16okaNFQ{GxW(Cgtr?~4M=9(xgeC<|2RreSdJiZtC@K5Z
zk?NbYlGn%$5d~;LjVQ{DaF+cjh5eT9$d&OXpo;UQ1h~KgRVUzNWC=AAQ~_t&WqkZ`
zPwB}$v&%D;TojyQB3kZlEIz!~)#7sr!wy?*Pz7o!d+fnCM^JqV<`y23xMO#MlVN*0
zxYGBNXugdR!;CxjYCJ3xhQ{y>S>|iaOa;|}kgD5oN9_b*hCF>})y=k@A5?X_f*J{Z
z!ceQg+7CjldT?x^#$C%99DTxVcdUDm6hrEHScTIhl&RNX0<CTWl+Hq{E3ls>x1yAL
zu<8I>?!A-PAQysEazEqpC=P|Cu^7h8b?`K%jk6wkAht>CuJbIgBVGGIDT0U!=fxxB
zxLyFQ1E6vJrjPNpBlD7-@X{o&!32A$z7whuTx8&>JbW^|Ob&vYOD&Kz3#~rdCO(@h
z`cC)IOi<+wvF(t-C7VNNEjhHx;$K9a?F)DjFX1-J-GXt#vvrWXb=c!G2cnQql*p8O
z$O5lTc$Cj7&tZVoCoPFfDqDmZGNCn!L61p)0TaAVX`A>=(2pTvrFNkzsMRH~$pq9)
zK-4QIP#T*x!W@+cwm0-KKRR|l`$pZm$4$)~?sgU(8@334JN_uVWyeR<8sh)14S!=!
za{k-;5LB}N+1vK^xfiGw;Zs^+aS&|66PEh*6iU_{*#ok~F2xR1V<oU47u3QV4la4Z
z49_U?r`NL!E1X3%J~<~mgBL8HSv)?&+m4k?ODf^bQX4Yr9As<KSf(M@X)MqlKwocy
zlFr+fzZ?Hl2^?q=m=XqVUrZpb7HJa7<ZCd2)&gk#4ap;Qu1oK%Zw9xOjU`S?Pkr`D
z4pRFaywS75#Qd>B(_<FZOFacmllU8W+jcxyaBwfERs7;&*~;|W7Z1Npo|ktY6j&Lr
zJ+t0(=Gyh%td#<FB_`}LThM)Y!D>*i>5>e*CF2gSU^FH^<Djepfp#98V+t-jxF<B{
zc@wmfIJ8mFr{(C}4c61*`Gs)y1r~UEc*Qn>+UGv=!96lWD*$KL0J(a22DJ?wK68+(
zq>F2tQ3DIw-Ul~Lx~&t-b@t1pU~TEQ6fUu}ae!3#khXtI;gZS*VTau_rv7pTWxE-D
zg`oN$+5s`>GvNniQD_(B*u-ayp!y%u@H=F2$>so}-yz{Xiyc%hKx?1J9-kRt{n-xg
zV-ub+c@zgKomE2)JT7>L0%|g}LxHb+--*<fD1HwPSnL(SPIx!MvC~8x-igqdn07@C
z+{*^L3R?any9!oifZIwG^&pbpDY1ikLd5qV1jKt3&qAB=$kAy+Vn3m6!n0<v{`b00
z?{bajYC5qf9cgvFA)EP`L48i86~mo-Q$XGFIedo;esie9xE6^LdGZfg971LZ1sMv;
zsP{B#HG}%$!H~*4aYt>7FhgEGv@++}-e16E0L`@tJbCXKwwHsN3E(Ex9nRT`uN~QU
z?1i=?4DQ$+;)E)&@RMk}?QX&N;M=;E+n|0H%n`^#1@Ia~W8yR1{gDG+v7Op8Ir@Z;
zO;`$Qm?C;4Zf@WX88|riakkhzh)!r>meV;9$#-L&%{umN^Iz|Hpm1m+KOd;rySwp^
zsA4YuI)N|o_s&VefAlD<A<!&wKXd#1)Hx5FpMm-`3@|tI+|2est}Y(=-QbDjS3~Yc
zAeZUSNUb^!8C=@4`Oschi_4twjtZ<YhE_<>iW^o7K`MDj?+u)7pdAL}Y{L{G&~&K_
z+)&=E1|E=t_8K4+JG{>UZg0Tr3s`FcIzk1ph~O9%i|QpAcqe6n%Pc`ZM07)&{m8io
z(dz(J3Wz>OajC=;7Ro9NHpT)^O~!YST8xLyM13jeS$6P<T3U(lO3*l?qMn5FtcwDo
zD>bz;dQL5{)Y_f=^DD#NCkkJuF;|^Uyig4t%hOZ2)h4rr-|__K0?!GrgaT$8tnddr
z4;m#J6LHrF#EnS3xCZW=ImZ~ZnZQPZz%~E7mb)AOkUs9@bKC;d|D(dV6HnnmiAymI
znR=k+H+a}&hj7{PPp=&riD~kqmh=UW1E#!_0gXQ-zmsJH6~CaNEO6fdRUuN90IQt9
zb#2craKjDaCTN!c*-d0r2`D{|JjK<QY?pu<24`ZQfJT|YBUs=TeK?9jq^?Pi9v^r#
z=TVd45?CJq+<7Qef7ADpQEARg7I+QOqkNVb)aZtcB|$29_-GQWMu&C?VD&DvF95C4
zp?wcXjeekInTs$uI4cf;Tnin%N|eczdw^~!WMmzj;$eeDppKVV`Js0xeTV?1v$F6W
zgx8Xtl#WHgdkqqsOh8Ezqt^fmx0~jT6WD9GdkPLTvs#>HtbF|5G{^pW;X%h1WqBFU
zFu?ERkJ?W6epK^<3+4BwGA;pyP5ZAyIu3D%9a%)x(IoF{=h=rBHQm>jBd8RwIsG%X
zgGRI3&#()Iz(zO~^&~c3pP-)5?0J$MrPZY7Fl+f`k7AqnmTjg^&7t-GUVarfufOrn
zbN-)?*YAJ2{5e1FUd{i{r{(w8{7T~b@LlQXC$(+9)f<u;PWUYsyvZG)bMXFeX-&2h
zPfMhB?qN8p^k?t>iAfC~e7#!EyA)h|uGsQ^!O_Rn-4m*B`=oaXG;$Os3YHx|$NOFE
z;hAoc19K(|Ju?xUb2(Asnao3$21|9-Gnt@q-8M^i3&w<Vo}9(tu5scyK|h8W#X*PW
zfCljsXB4*xGd!C!#b7xo;e)k8`bVH_c1Dun8IRYD%P!DtX6AgYnL(^&!4@UZP{6S@
zoXv9>KIB^P-ruJx=w{7m6OgU6!h$j3Wr5VRI&Y<(GNy_J*IWV$nGU>lgp4gfhYO&?
zT=+UDI44BXT7<zpr6a8Dyzg`mR_b*?$Ci*9I4DC;4=xEzUB?UZtYLUNs5Jr}`ocdf
zWywTx*X5$`64b^&v@-##?~GGyVWV5%*&nDhxcswhR8!O}<pjI27Mz)(vl59MnRXc)
zzi<c&Kdfl!=rl-bIq|M$2Xx9u9n`F|SbiAVh=Xw9jkqN!jktN>h9$Hm*>HR6r5~U%
zOh|(dGBgP9O);4mL}w+LNzJs)Nc#pV9vqBYm$Y#$T<O$&jEfgE_7kN4cfs~Lw!Pns
zL4#1<xu+J#{&c+ksd)~=E!UNGf~GyqR>!~r4e5_G31yx`85o01tU!DY9|?2pgx7tb
zd9So92H=6g4sJfFJJC&_V*&<UE#%l-4Vqrlf(}R_6{stSo6^8lsl*)AO$puA4jMY_
z+1V}ggaxNUXe|hy1-N(=+KPbnilNgM$ZbI>lnDz82euNNf)_#3tW6@QZwj7U0XqeG
zUc@*`2sOXLMy#Ou71ZjY!<<L*iA&&05<VaSo%4XFuLrXoPB8Cdb+dSIkZI1-hE3pc
zD&*eC-;F;+7v`XK6i6AN+6@`odM`uL*w!Hd^%nMyf@Q+k2C;Yw4?<I+8rJ#<I<x_4
zFu(`0pp6UY=oPFX0-Yv-wI9gP>ey+5GQ%Pm5d@lj19$eIlije=5Hu9RvO$4msVI0f
zG|d;>qQ)}ojozbR#yVj_bWNf>2Rz&hZVAC=E08iStS$kU(1I~ii!N;iyYJa6@PGzl
z{u<hufs|pSR~9g<K|>kFyE;SQ!33=pz`^8g{SdRhcfVzGfD=694=L}l7yl(*o-0F*
zKw|}&)7UH-AAG}G8%&1I?;n1v3>sGmLK#=^M;=!=@YWMrkw5$<=m+l@_Z)mH`QY1{
zmfMio@^0%y;}qKy#FhCM^wO5rT?7q@FG~g&b=W7u=MXjd?#8<qQVA#UWV*ea5%h6}
zhJ0~=!?Uy#RSnCod-tw)|NNSrcY{2rNL_l&d*RQZW;W2O6os=v>+2VO-_N#}!3@++
z^|qbaAm=ObCFhWWdV@6kN^AC#*9I@{fr~kCUkF^xal?nnrg#+N>=CW-Mf8E8)7#+a
zg@h80s^YN6=W|@&#UA>oGe9QNA6USK@S(HY(2)S>ED2-?{{XIf1Dj$7*rW<%hygKV
zlnI;VKrW%-^BXW{kUTw(T-z|HnW)YJ4@n#r26qLq&%!TOg;r?>Z6>g(aHNj$1u`bV
ziR;~L2nv7X1#XU|WP++T=*-9hmst}bbI(UulRY-)Gb!@_5R-V=z|LJTjrkS!36EXi
zii8?d;{X2@g2%e5Pk=_H@Xxekn=e1Y>ikXW6-$nR=FbDL7Cople~@Og8n{QmBq6iq
zpiTyS#0c8S06EE#f!iq{N)X(;z19M5OG0MGi7x1|uc5+Mn;0L0Oi+Tlq_Flsyet3o
zdNazT#lfYDTCvh#_pJku6Ol9{4jQ4ig%0;aX3}AmFmx^*HW~n(O@~z2uwlp^#k0uO
z1ax8nv0@4~&H$O5f|!h0H8sNyT#7+gO~EXJPH{mjf-J@Y_mKRc6Y}5+5o#->(!;WH
z3LKlTf*LtCQPxM{tQMNf47I;@gL9qiV^Cv_i1kr0+rZ^9@|rB;EQzV0MIxY#3M-qz
zli`p2ZpfZq&yGAbP2BKP%z<9#z+J@#pjvfjIk>$7UH_yp5oMeTHeOaR$DqYTeoIA7
zzF<-Q?3X@*N&<>{I!&@~|M^u&FL!2AT4E`9=%-SS>4E!yp3je~FZ|s8R{qOL`TD=I
z_O}0@Jw5x~e*d43r<dz1{aNd={+3fu`m3ppag~1S?;kOl{qmmDoXTenwzC#(aZgsV
z$bPZIb{6Alc6cd%M$VVD+9Wiy{}?Q*e>?u@bz^72o#2@qn{7kw;#(x{{aq(FXG6)y
zgk~?TD2Y^VelGEQ@~7vsRSV9!oS=UwX!*g%j>{Qu%$<D7*72Zv^CbUo$3HDU7{~J5
z<VN>~E14UL54JP=F>f%{G@T;on<`^6t*~CFUh0i&fnW1p4)1wv*5*2~HaDhEtxA^J
zYh?Fg?#I6y|730uKWNGOO-ZLx>dia;X?k)wUf#Cny5^<JesOsHFXErcjqV3?*s2Am
z+3=QqXZIH8Z&)Z|=yIY!!1xZ5(~_1Se0MD2KqiBn`wFjq<ry63G7a7pwlKn7cO$c4
z!=Xz@o6`+fUD_<6)>JITQz>@1@2NlY2c5Rg4L33iZdgR@s@O0$ZtAwnzAVp80&e-4
zL%f}|{9xkagqM#FMlL83YA8-Pp=t0evWab;YKGT&p&8&+lw#$F{zcRnnh5rZI}0an
zJX%m*v*Sn7jRTumd|yT~2_LlNoib0=0A$?7bk1*PKN5akX0Y+T02OqAsu8Q7mQX%@
zN6E(rkxk3K=rnddn8OCP;#}s2ADdZr?>@4$oBh0y$<lOAACL>HrX6r8@M}(;uPU**
z`U)1yZl-e{dn0H1LB}x|qLvw|HR4-jli_Z6-h$7|Sk8fbE75%J`|(f987rn8aEW+h
zg4?{Z;|;aU*bTgEm~fwA4y%_sqXm209H!)XsxKZyHmw7B1XgVJ9~E~Nepq2>A}AKi
zGyT5pE0_W#pZ?j*a{9eo=?5Lha5P8%ifsD(?;<D?Id@&!%mRu;4O{O7C5z<;FP#^9
zb9Mtb<iHjpnfGQhi`le<kKh2G3W)_+fKRKPcHo$hPMuUkI);gx&I{f6_z<gQV5x(b
zjyAttylzW<3dsH$vP(c|nE_<Jm>uscbbSr*0oToQ-=TIa-_Czkm4LFS&O+wxJ<ve$
z|CvqT#Sil}A#3NNYr)lh?pJViUp@^y6nQ@qyoRB^xD+gT_9|HNyEsHL-UhV5p}sp8
zEUCK<Ea`a&ymrn6GDX%q4Qz>d6d!1X1@?(98Iv8`S)T7qU^1IAr6xfM+72w!*(>J*
zpO{+UG7Dt|9Yr%=O+uNt7wX~eMjq^2dL4B@vP|b4Z0!VS6bZ3<8eh#{3|~4&bn^mt
zKe9>Idp$c!_pr&^Ib@d^c*HbD_D#ecPUwIoxK2e;Nu!Qrw>3DRaIRYrMl7YftbSwT
z4jL?~#?~}=6H!G@Cvi)1p*jOGYp2O+8*E5^`E>!V1q!%o`AH`2)!hZlgi#s@8^F$l
zEtpoxj043xbVY=O=PdDZ#Hww#NzaagmU@DgVKhB%nYg5~5M_l1>RO0|<Ck7L!sogU
zd0g59iZJK`IfF?i`k)*D?IiZ7ob9$|Bw|f<@;jxs;FL+kQtZXu&}A+OJm_6TP!WN=
zBqB{jOna(W_oF2Cr$=vr6V^1ZVuwP$=1($^jNt7X?fm!}+u7*N;Na5vYNk>pTXf;~
z1V~0+IxozrUz@io?quK5xeN(SBEAk5i30wRu!T-|p{>?V+oFP4MFL%-038AahaB$p
z(ZqEP;fv<L+cD6lW8QdtFF!PMIrD+Hv7m91b1w}9w(GxVIdE;4P>eCC;dn6ncXB0Y
zWe0Rog+gBZJ=h|@M@@!1?xN0x)jrPOfjSpfrc>+sLc0END!7E_oenPH&0`>ot_v)|
z#XtFypyl3(wcIl=fh&%fm!PG2IEEi5>}|7Sl=ktmGZ2LK%>QouQAN%YY)UH-w**hn
z5@+x%I;{7H<Rql^b2Cg6>vi7Krk-H-{H%628nTiLx^Jg(No6HUeunlWky9mn#_Ev6
zr9FFu4<L6hAz1;s0GmhU>}gPrhOVGy_Wb+_wDJqIn)_fOL^A_yMYh2N6Mc|3v1|2O
z0_)|1r??l-_5dx5gcQ`!Rc+8d9H^isZtki{7F^uG*GO6-_Y#R)M2Ea&!gyCHV(bic
zF&(%@L*6Nav$QW}dz%#YN*uJJDcQ=+S`1Y1Lf6er@hB#)Yl=KewYV)1p3Y#)*C6SP
z#d=yCKVt11zCl5h?kCF1xrF17K#PFk!yPymRHO7-7n?yx$^_&z4p!>HMir4-ZXrZX
zA`!E?`q&*;NLdc8a~8PF!l}(o9K5FroT^}3XOL1=2Bqt%Asc$Yy?^NjPTx*7a5L)z
z3nZGMiz%jf6f+}@KDv3sSI1?-cE}(FR0-BKZlGFu*LIF{E5-?FEAJ$T$k=FD-T+lf
z9-Bkfv2%TsnDQnO9CMLb@8qQRm}h*N2hIy=E59dQ?B3XXuV*W?hPxwGRgimOayBSi
zH%wi!Lx{<{`NSl!V%XYkWzWx#kVe-P&!R4stN8fj_UyA~rJ3H?{r&uG|2vTfzYd?5
zo3DT1%e(k@@gE}Z|5*1RQ+spt=Xdb|(`^@SQh&9HS<5<Lx@_RhiM!skobtPpnjTWN
zOmFvsP28n7MW@EDJe{}VjazJ)dusWsO@UX>xcaYn)ww(8$}GPtYhSiRUGCgvIyWHO
zDscNU;VfVESJz6M*1mMPJIil{>D(33mpQjC6E4lRT6nEwQJ$|lSd-?Puo>NaD@=K>
ztj%<YFMIHBTVS@-is;J?QI{R=&N`$&duPU%(BS&ZFXUQ&iLu;m47lI0;5YxP+x#Wp
z9cw=~zO!ACZ+l@b``2Ff6*G6Fgcz4!d@!$hR-3Yf-`PWgT+7uSa<l{&7qlE)scF&l
z;0lk7VnG&DAA^PIf43jAXVopf*Rms}W#7t^=1ljm+>uVq&wACnz})mR-vUnFGrk!N
zz8ML5PRtoj%*Db|4#HA1W?x{Kb>YCB7Pbp5Y|qq0VwZJ#_buS;J>#3f;+v6@=cHUv
zzGRzuhLdu!u#}^))XdozIA&cqai_)YLW|ooHIpVa6C?A5f+h<m?&RzR>(khkBshJJ
zgYc{wvoEmBx^U!9i`s=2wP$K3jloud^Di&p^gZL7!Q`8fl;<Rz;UruvJPT8;i0-{3
zs_7S6(w?cAG^?2yn=h0!SvYei=h+3EXV3U%u)U607I(ryc-G9>7kFk}ICG~Z4O6Y_
zYl*^>tR@RvcXH}3;M6_io5ARtk(lSio#Dh?EF9$^95rM11tzigPJ64G)wURzFT|qu
zqNZ<55vy-TYMzsJhLd)&aFnBP)XdozxMp2Ab*CllLQB{)wJl9*Ta3&XipFfz`l`xp
zvT*87&b13T*PijsfM}e!yVE;w0cY+R-wbBojO08g=?o|7V&Pj3!nbD3zQ8u?!m&Fo
zW*1t_o~dnVRNG=`zK}O&ne^9EDU*f0J2`W~8Z~|`>hylMfb;Dc-wbx&jPyJw>kKFB
zV&Pkk!nbD5zQ8x@!nr#wWfxk?o~dnVR@-81zEIX=;oO~^Zx@)qymFQkthOslP4DLV
z8QUK)I8`whd*m~G%x|>(r`yo6;(&~xsDn#LLSF}$f|8bjy9=v;py&+cMNAzXTxSFW
z8C_ghpLMKYP+G)P>=MEt7}#j3#O1RpT`oi(rmIcS;JE>d!8db*^M830&cr0lGZsG(
zG5LVab(Mze8O)!nw=nM6COG5%ZH@<q2M_$2lfZEMQe$Pj6$6jd3`-3Uz9c?At`#4b
zz1wq9{On)fX0<7nN?Ig3Ok|Ib@N^zzH<D@s%xj6l&$z{Kd!1-wHMaB~-BZ>~R`{Y?
z;?yr{sGm%1+@R`3jnWIr_s*o7P{VUyZpm)m=}20t!RoSwh8$<^8&ktcCeylhrtD9;
z*QDIh(RD^JP|(H2^;yRX4y8p(#V#Q%f`Jn)m9&~VR-BL#6m@h7N$Kn8Qc%(|a(8hR
z5EPxMyhsUIn}&hPluEY@5_Ms<jJ0i>@%fy7RJ%^h>p1gJSn!Nh-<iXG$}`rA&uo9~
zYH(WBh`+e=!QF=^{(LH6dB4i#+4NAQ3Ns@kdl|`taWyITde{}M&PZAbUX0KB)BVnD
zMZWoj-8<j%&I%yKqYp&8ccftPGgjB*3#twUgY|b&{e>Kc#G7}akMFY)8(9&BY6+KZ
z;^7*%W+EdQRWEAVaPqe?o-IvD5`vm8QpMc+vzm>x<OkJ@nrd6sm<*=B2|p8Ez$6&h
zX{n^u*s<b>jNnuUmyo2s4le~IEkkz~RRO`NGn5wzb#!>05e(#XaZ!ENv4TZukx;Qq
z2#Pk=XU2y8>7?qyYT1E3KF<ng;;{>>E^JN|nGt`^W}gjqkL{Q^L)ctM;=`O7>Ne^I
z0S1QtB0dQXzDe^ECLiFw-1)QjB}?1|&olWiR6aOlB-JU2JUMA_{G`ek4yLch92}Lw
z#`z`PuC@KGewpuzrA!v$4<4wKo4U;lPm&n2P_3vzd*Q4JIEiD#16I}8!Uh_BT)BA$
z^Dk1xNr%<WJY%CmQfig^m-=s>BU#B1)%y#lZ=B)%P5YUyMRUiBGctlx9bH1w`Z`W2
zC}|nHyQB&TPMxW|$f%>^)EU7*Nf(#YXB{helolBkyM(X_2F|op(n8V3wEmd!e#!X=
zGvW(eu58QAnq3xlaRKMv2OTT62bg3y>02nh(iMReqIgV$I-)Vq^~~fhqTK-1h0W<2
z23C_Qi&C(;9FH!n9%gl`H1_{=4qq?@xfvCo@n8#HYy3IlHP14Qv}cB0l0I)wo=L7Y
zs_?ck-mf<AV6^AVpIcP~)*hO(X41vt4OjRNEqboELVl0KocU5;7XS8oCGEYXuTVc_
z0cR@SNYU8QCkSed;fffdy~lMo+z6c5$%uVaO9Y;$o0$`va8R|P#I?qkJFK6-6HX@B
zA{;g9xNO-^$83r>%s<HL;v)L2V+E7aBCcYW5JthkR!gN-4IL{E$q0rzxP&D3b!aIl
ztuk<T5fu;&ouRyltD{5fj9?&&HjxVL&Yw${Ak28$q`c^!6RvcQP>0K|#_dOD#tZ2a
zw3rC@9=Ia+Y@scRbBWM})t56Cov?A)NMhJvwTvlv?acNlaoiya*Of8#(44hF2Q=Ov
zvN^rG;o`*B%CZ#3#a&#V*DPX+>(xK={#f%A!DkaDHpLxVR42`C`^Wq57yYUmEx$5_
zx9oJ>ySp)O*~<6JE<QR7>S<2F5w!<{XMq}JxS|*C7=o$dg;@8^JJg6Zflq1RLY=7O
zhtGWr-31_p`i`cK6{lnbLmgd0Qu{hqDJZQna(4+85DcBEyhy8~W7QeKKv5T$&}SVh
zxRe%Y6}yD63I<NKR9c0ijfua-$eurnA~T>?H;R|cxPN{ndG3Ik0d@WX?#pN1dxw+g
z7gRH#L6FeE`}xl-JRyKp7q)=o;t@%iryfC-pkh3K=JQ-_Vk2plg8woj_ERAS`}Y{}
z8>~-=OG}lT5qYp?*(sX^oGf_;&vQde1RJVU7^;|ie~FrWIb!@(`TPq`=~wPK7y9_V
zPUBPJxWd60yK#qpB)G0Y_40z<o!)F%k_M_OXmDZ+7-))WeP=dfe>yedtmB^%q-D-5
z7}#s6w5qXV#W5Mds}3$9$$cGJ3QDUC-Caxt1h39eUL@7gk#$Bekk`e<^jXIWHl;;U
z#V#Qz+E~9mnz{XvG(mNQjo0{)qw;z2L_Bs8HUsKJk&5uvpP^H+xtC}&px)%#V}0h&
zs{#~1lWGPugjv&e8uS-sk{G~PEjy57`|M&BRf1|p=^2|>J4j9gidMaruFD%2zU{5d
zs%Ca}lKwm;V1n&I8QY5&BD7xZ49VbO`YOZn<(BN%>hc$c`LCYuxtQ#F<-F*^T%A|7
zIxW5{c5?3WDUM(6D0~W@_L|~NK>Zhz(jV5~33z-K(pZsoaVdS)v4T%&kyWuv2)kh5
zTuY@@%^fSw$p~I`bO}lC>v*N0w944srBp!h>P+QDRvjI$&IksgXcIZG;Ec!?5;bA9
zi|O{IGrKRL7>-pP5pJsRnebEdAVvOyTFtd*+rdBA+{g<Xs2R{8WsO^$TGzdeDnXU(
zJ7f8*65O$ZYBRpbOgJO+dCE?z1Xa)9)Oo*d6Ps|*omdo*-pBVUA*eQ8E|fn>Vn(op
z)vR7GTN%-_j~2-tYfZBc;IDUhFBP;ur(<63^12<ew%)FP4McD4UVLwl^O_DRv4!_q
zc3U#~Y81POteLqZW&fkC;(xAwuiyLg+sVzdC;b1>{{QFJNq3L$xA{AN_SyAY_k6pU
z&HDG#pQG`KlJ<Z9-aH$>@BgRO`|tgE`m_Fj)Oqpwe_wvw`?@oAa?tFx&##LAINSa9
z|Nfs}n(brn=j7#;{r~l9ZoK{P&(HRMn}7G`)3<dGo%h*<92BY6c&RAr6UTY^RMw0=
zQp-xOKA5^;6<1TRV?-$H#gz){Ry92dnGhE0Sf+Ji^{NFHqEkXc1LktQTBViY$C?`|
zTC%)p-!!f=-?w(%FXaF4*e!GaXEA?HdbHl`>ghi&U#{&m_s?Gzo|<RBY2D+rU7N4;
z|Nr7@xBrU7y}$4Nmeu~PyM1T>lRcfe#rONZZ=KW}Ts%X2*PQqg&)bXc*MG_QzW?>v
zuV25WIea{;x$ms#{B!zsTJP(opZ@xFFL&Jq%Rf;E?`rPQ5BX54`Cb3Vnfx1t-`DKC
zAG9;t-hacL^Y(lHJ$lA}+y2dD`+vW7*H!&HdwTZ!`nsQwr~AkI{P?c4GKll-z3=<h
zdu}b<a!W@g?B(7&yB`$qeW>$2apl*4hxzS&6kGV;#eSKo?eO7T$IakJx}tv%ZhK*}
z=*0^WS#hmhU*_)gj^Fd*iOAe%U3|~Q_wL=GAD>g!b+-8EzNKc*oUO~Ae|{Hn=U(6L
z^s|4bdEGZ#+i&*!@V~0}m(Ir=UVQoB(VKklbVdLF`}%vf`}O{H-|O%GyZ`8@_1%~`
zZ=ZQ|m4|J=dGxLB)P2UkULT9!Q?fkRd-L2|(OPvszP~HU&D@rqta<O{y-PXYj|J^1
zp6l%$`=U+!{n~PG-HOBA<-2xo2`M+<SMl6v|KEaje=6#J=2oA+CboXN#nWj!v+iFy
zd+T@Eo&W!yzFD+4yK3g%73;ryf75%M`ps(Jy{f(1<umt|tlu8@J}EYB{k7L?ukW^-
zev|u4)$6Z%CsV)8+H<e!?&?c#QZx5{4O@TjRP=i0N!#Q<U3+ah)!M^1YJK|iNb}{N
zSKrOevt6;!=Htnjm<`th!tS+gs^U$3nyayz5NB8TgPYzTs$PGUI(6!HK-l_)YxeLi
z{r*+&%Uiw|YO}BVn%&FWRCzb$@mr!fxASza<oB$&{#s2s-~8oN>*%*D(o4_hs_nk+
zQ+6+HQ}x-D=L9(K?wwCsdp%ptI^X!_-n31h%_iS{yDsha>aEvi&;6!#zAQZdC8Jlh
z`lB`G@elJ|tLFav6>@J!(^;);arG8|Gw#)<uK8;9_r*8odsTDmN`5Qm@Ab3a^>@Pd
zxaIP9>rO<kzucc^|77j;m+sp^;rM0oZT`yFrN1t|ZQt^>>g}<d?T(+mh0WXZtmsj$
zp8EIO^ZxF-9=-m0%sKxHQTco0iY-5yO`m){#&6Hrr%z0$3+ESGR-S!&<aUf7MCxA8
zejEEI`Fp=soqhVJXaCh}uVd@d*YDFgyVQKM{(1hl(eJ0fHJ$$1`1iHw^{?MWR<GY4
zw|?iG`B%2ztJ?dlsLtbl)$6abPXDy5eZBU2wjOhSvE-g-Mjy<kA4KNddz$er>&T@T
zxAi-F_MA=qGVAn-+b~Ya`DZsTSAy(MeUhuU_<D@ny=O*MXAzuBvvcP@Z;ysroWA!~
z)!wI-Wu<AEdV3|WRn~QUt=gXha#HkOh?7FTLY(yS%Ime)WA~;_U;p*mYmgUKK*FUz
zF%BFq_KF1{Tb~9o=eS(~DOew|ep_7r&RMZ*BW8Jj=UwqLe8IKfsnutn{!!ir_TBUO
zzaqd|_X}<W+x72W#on*GR)2j{1h(7gv)S~U*?PwL#WVLl199S<{Ga*0DD=O7bMy42
zJHJe-GRhC~|3)UI7gv08_Lax?w9oFExpe23plYN1EdOs5QT^P@()Y(sdv=NY<H<dW
zb~h=b-UTykzPia|dfW>CXS`oeQbv88XEmq(#e^k0b>f`-ANsz~q>TC)_i;x3;pU|~
zzX(-v<vaO*#752B^K6p&!sQj5wypT7<JI%a{*^{edv?kDh2|bk+oP0G_dZPec~e#A
z?9%BMf-8ipx+tUecou$ceiSjwTYus54{BdTP^c+SOsA9N;Cm7Gey-d@lH0^T20k;k
zy9O?V`sYG38)qRnv;8TUclFxqx%)P!>w6c!+^22-f72gG{;jXihNw_J3e9Xqk&w*x
zaT7SR&0}4&J?{G}lj=%vxps2)h2T9c^A63v5ZrY==9Ai&9cA-MjlGLss(ul<*D~)B
zMU>q4dx_s}r)KKyh1dYKh-|7TeKoZVdz{O2{yq0(lj&EzU##52X-gq>FSz0}|HF+Y
z)8m}{pZdO7iItiR4&V^|h08yweZfanthYI{|Kov6F;$$lQ_UAHr;IvYy}#t&*C22<
zqlQ-v`hP~sL6UN%aMh~m7lIK~)~8wC_gdz?QvDJ_B-MH)<lcl?uPCIRZV9<JXWA>`
zDc0yKKU2EDUVHsD{PW>ypeil??D_xExqIKa%=$Ue<n`L?uh&1jKM9oW>P`PYI#>1j
z>#x;6(>)<7?eA^4SGD(Fm-(tCRe`EgaZ;JGQ_a^duA-1?6rF0mVNn%jROL+GUh~Zh
zDWx{D_L^^7P>GH5jjKN@9erWXjizISsFQzt%Zutit9>`Wck=Jq*>~<&sDC%lFRFh$
z_s;!2BuGlf-LGiBZk}IJ`&c&a{+@?ld&?_oKet^szxVL#SyCi_zU?iqtN#3KyZODJ
zZ_m!Yf3M>C_U%u;hVS`&d$#%hy&uoz=imGD?U?ocy_KJDOTYi~?b+G<{J|`F^!56R
z=iANm>#85my?<{HHvbJ}$=$(kbJjln6Xjj?efM|dF08qc+@EXrSC!<K)IOJuy}$S3
z*SX~-wcp*Ym*2bi^{#a6{Yv-i<<yd#zvo{U?M$bUqwyN+A6I|Wde^?{#jma3zxeg8
zcisCvi(l_H-?i^^-@5nrUi>;Yh$Z6?v2pC;SN(Z!vg_8~y6e8X>;0Oq;046-ufM#D
z7n9jrs8Rac_g<Ctm(abx&%f9C)nEUs|Mj;CtLiTYCFo}BJo{?)Kg_Uv`>*MdXI|U>
zS)26k-DCaDGp<X2i9UMg?vnU4!}aC&w|DK_&Ak6iQe6Jpe9_|a>e`t{_uMnTul4NR
zy`M(im3uY!g`T<F`!QAQbJg+c)iZYQzIR&Z+1IjfAcMDvNAm1STlZlSr}Spiva}!F
zk&n%rXU&|sP<nbKPgYu*T2@S&;fk3vC8rn8Jh~t)EluoLsu)Otqc}vtvIwYxK&XO$
zM_k2<vo{=hee?{^^c`oC0@w|VEgu<mJIWq76VY*6hpm*s*jQd~v&0p~Gdv|w1#2Hd
z6lk<T6cmd=6$C;RC_Yr`cGUj-=TMCPI*}`9&iEAVIP;#>)Yv##3Z(MPnKKDH&Lln1
zG_F4v69;ne%y|V62b&y%ICv*J#KCP+5C_kUfGUtV=qlDMw)yAQH;-n`j8+!TySnL6
z+OummEzw8UY?!&z^v#1XL+zY2p{%sDWs)&zh9CaSk7v+ZFLC9}nKOzY=NTItr#vv~
zc07CL3`^r_9X3;A<Hw8;SMB*-Kk?{-&-MSl?B9|0>{?Az^j5H$H4roNe8qNzp5dB3
zGdh_$PjoZLTAv1pwO<eYUz_wSeDi5-ka_uE;=$2S8T%h%(e57*-^6X%e<JCErg8nT
zm>A>YY>5MFqrz^Sxl?udKiJWEdymzxo*`;xy#3zA{Sce<AKceUYdw2r?e^FC5Gz-I
z-rhAcewIGiOM9g2!QuAo=y!-$^0&sP7@kNipZx}MrQgfkYm=5mR9S8oyK?r-p1S&(
z@jS26UUBW7*|lrtu0wgt7UeBlw_*0m6|+}rze&?VkvjDH%w6$WpcpnTZN2N`de<j5
z(fo1%`-QL@j3!qYw}_T8@Rl;<w#qs<%Q{3SvR@!pO16}twpG@_UDhE!k^Mp-`-Siu
zj3rkXzlfGG5GNJSE^r~@@`ghjmofI5@@mUuOCfR9drbFkdEUSzl`Z8bkzG}Jw1H1y
zj={ArpSl=j9V`;pynHIkY}HV3DB|*IZMG7Ihb<eIO%LaJ!ElH(*;jv^gbBCF90Tqz
zpSm1n4{&aF00lE6T!4%HTnlF@gLchBn+4y`9^#6(;C@m0dBY+0bIeuubk5iml+8T4
zfbT&|<bvtpJg*r1X0TQj9$gT*;32X|CAtza1PYHXV4U@^g>e?d9*G5&5JM;vC_K7g
z<>ZGgD<?xtonZwvl`?_CqYDCiAGQScLQIvoS^;q@Wdemq7X+uZrt?T$mGFZYnf44~
zM^+jkftZ=scbF{3u3kKxr|2e^Z=0HFo3NT`9H?}%ObmMbRJ3{4OkxE-7;QfGnkOsG
zZ^jjnU(=qoc=n2i^ZZH*`>}Vy!pRR?7J@<;<W6u1r#&N8;6U~>Dg9Y1W?Ck`cyd&<
zIV&<*6U3=|265)Ibf~+@6kwKa_*%;F;^<A$edf{^H7-;f(ztM1o6W@dV@n_?WoM*4
z;#>^M8W+wS5$$8@%@E0md8l!r!>#ccL9T1FahQd<@}iZ?3R{DHm1kv1g<D)TLF4+Z
zm>Kyn<Z{QdS;s{EOnWm{Wyav-x;AePvoP0Q#JIMwl{rdT>Vj0b#R5|T-0wb*x#CTF
zU)WCGaY)=(sp_1`x(|+*JLVa8YS&y~;XN1g@Xi^I?Psp-NN1aPQ);GU&dlpKj2{Q5
z^*-mxN(-~dHd_?Axv+I}n({1D>2RFfhe_KGZRP1b+p8@zTl(USJ39_-+$l%__d{A*
z>vH>93^L&sj24SH4-~cvHz-rURXh{(P_kK#;kc+DLth3{LCiyz2OZ>aSxt?#=P>Y?
z8;55YnJ`+cD_|;c5pH<QrOx0^5!cLoT|rKsOLFmJuH(<#Oeo=Io2@IjmgBNH?J-yS
zSvM2%xoRd0OxG4TWIHiOUFKrnLK*j}$=ZTdnNGpJm${bDQZqr}E(6sxbFyY#2)VrF
z(8^_;zNWs~xVgPdzS=UZvo2^{*m5Xv0VgHgv*&%Z=ggmZ;m?^ZhknlFMC10(@z$PW
zIsL+knOhFcH0In}G%xJOxk{(?bC!zkE1rg~>B7k^hZaue<elrIEn_wF0wvrf$F>~u
z?B(>$^3k4iWyS?U+%Nw(e_v<2N#^>-7x9XJ9(w#Un>uqU|APk%CP#C*C%mnG%D!%)
zcFv29s+T5sG%h*UdVc->%Xdv5&3m~utkjJq{aEm#go@<v=iYVngoZ{6MsEEly>I2l
ziu^2{|Gf9FMC>s)o%X*iKdYo~#^1+F_ZumlySS--%^owQa}$N+)#um#`aJ)?d!Uh~
z_qxSJK64)JdiYjny`RYPJ$V)8r&lk2BpMNuxPDSsvC_VWdv(_Lbrma@9gdESlNNQK
z5-~ZvlIe4te(~Yksc~~P&b@uY`+izwX~>+}C#CP{e15gUV*4cXJ>k!;1{UV2<X5ad
zvwHEPHPLw$%VCVgFvffkBUb5g_LJ31z)Gf{$zJ?uuh+9S^OJk5w9Z}q*Y^Dcl(8Mg
zD2Fl3VT|v`VQOHEau~xL#`u10kIGd2egB@F{{Q)F`2D}H_}|xm{yP8t{>QVwm#bTS
z4?p?3zpk)6NhDsQ{#*Y4T?#dIzx?h0UiYv2^S1nc&Cjdv?SIbxvRMCJ{js0R<-VGE
z`L-^s3%j(ePONx()uWZu<!b|DU;Y1d{{6qNf9>!5zhM9O@pt8Ye}9$V-~0dY{QbW#
zuiy9Q*%y^H#^2g!Z+qe&y({yUmVNHmx_kHbR(~)5{{Q>Cb$90euU@&*<6p=T@6Eqg
zp7;~;MZUIhQ{~+H-SJMVt-rC)+y3T!^d7!H@2&E^<yHoLp7z&SU;fPnYyZ~T7ju^<
zeZQdnCq(D#zc+thE}y^aOZn;(`Um7Ip1o;)Z&SRReSXHXTf2j69{l+%pYZJ0raOh%
zOMlP&{p|4kMa~7kEArXyUrF3iSoi;5UV7NO|3?kg)7Hn_li!+j=kxdP{~yf|emrm9
z{{P~~=gin-ZMgB{w>0&%yK`sUI99z`IJjJ0&5ZT_l_Mhm*%ryXVS8jF`i=F4jp*+1
zc~j?i&CxR#SpNTx=8ae`_3{_|Hr3xkU)faep00lJ&DP&auL2qWGHgD6-($_c#2s>P
z3~c;cYbE9_Px@{k;_G^g?{NG7xGb$V$**igcORdUq2+h{%FF$i3t2;1>l;G;GpuB{
zb~qQDD6jB*WyLz?CpP@cS30bAG7<g8STwKexs}7cT=x@q%UXQ=TVF72%x^mvys=t*
z$;oxIylcPj>P`vYxwP)MPxR;W+HVt{PyHNn14PKY*i$r*>-V0bd0lrupW>Huue|e9
z*z<dTN8JWxkjDfI=XEj53O--Cp}*sE$QwDq*7;m^%3ALN@}K>9ocZJUWs4ghOXhL?
zuCVey`tIYGpy+P3`7?GsD_@u=Z=e2Z_woJ}TyY0iKCuz~=JnM^bhq}^@W&#1Z@fJ6
z?c4dy-(!~jYZhk^7qS;!es_BL`?V`AYQJ>vj&BS8_)dL(?K%IWpBBfT57zh2R^E6;
zeEzRb$5yJ(uc~@{WwXESe}gOke?GVW`}_O++JBqp@BP2r|NqC&@6{C@9@bpeo^>Sn
zhIoKQ?Vsx>=gjm;-+tcx^Um$JpRW(DGF|#C_wZuP-uH6<td@ocXWgr_nRV%h-V><Q
zS&&p7R7%bkB=r&^WqWzCW^evnh}63!RVGV6`mNgU_cDIjmLI2oeLAf3I-d9I)#dy4
z6~4N<Jnp{5*Jr```E#niul#QASNr42@#uyBuD0vf|3A8Z|G&@X_y0YOeD(hSt7GXa
zT-DyX|9ewZ^mqBn_1RKizwwIsuUww}>f_z1eZOpPpZA|)fB#=~-m9Ops%pf)7+)2W
zvA=hV*Tipc``nH9Onlvv<$W(7c5Cim_Hr99+oC_|x3637Kc2KUTWh<zc=(j}Jm)r=
zF0<CLtNs4+Zu)z>>hgd8zyD`G(I1m{{{Om@v)}YP-`D!F`|hNA<1g~@f@Z(Ym-<#z
z{_0=PCH?pA>qD~_#_RmrIQi?e7wg6T-YBi|{<7NDzO3V((BHI+{qeWHPJ2<_UH50v
z`t2_D5nmopZ{Dl;>)NX42HkSS2kYmE?$`ZScxC&vJ*N|=?djh7B$jXUlh`$??~7)r
zzE9Gv^w0OQ=BUj+SzUGRt-_bJs_#!G+kp7HWztUWj_|(s)WG{*%GzI-62odwMtxm+
zc+Ia#TVHv%M}Ae!zB-+6^DFObtMp~lu1;SQ8gF%Gm44*veI+wO<2QxXzBE|952Uep
z?XP=(pS*XA{`~1@`uuNEey4fUU+}x#kNUdwdDPdv7PYT;mCraGw{6;<pF5}R(O<uJ
z>yxwRw?3(@{B>=Tx&8G?-=Dsm-Ttpw_P4(O{isjRo=1J!XH%Pfa`yb}lfOTGS*w~~
zw@&r_>C4-p`oW40>r`&u`s8eT<fkb0f6uMn@BcArdxZDBq8Y0B8>j67c_~S^5)>Xs
zqdrYLtW()t`K)?f@O`i;SY)?8(QS|XB$j<Lns4)yt><damp-cgsQNxjxAOX7oyzd+
zle^{8PVSEKzW3F@``*>4PwUzvKdrkqsoeI=q;gaLKj%xI)q{Kk=5L+0=XK(=J*&4q
ziRIt?BzCRp`>GkL>q}qQU!VB@k@vkU-Aa)3)u>O`4(n8|-uh&1d*r9E?32;_o1d(`
zHfg(D+R5m(s`<8OCT*W}eed&KANGO*15@_;s=v>*{))$cGRr=Bn{V@z+-sAz%ch;Y
zy+$?P`pl&5k>2;pW~k<Gp0?+$f%m;M-OAgE)ApQ=`n2t^PUY;%oAv8n{{sica<?t)
z3-ck;(|(*^`nOxZa`)CJwp(Y{iv0q|)!X*SPi5IBZ}V?{^7h)K?eb|SZ?9F&w?8v!
zd$jkxx*4kZ+o$dMYv6q^Pq*@S;<P<?W4_v4-}L<HONi|Hqjl5wEDLt8t=n`>s9Ywk
z(|V2SJBu?y<q_U@3TLRk+c0g%V*~FyiMoZy6Q}Js9QCO0uuft7)+4g*k&pPYJFWRP
zACZM>JmY%ro!0x_3+C&7?Y{o!P;mUKos0|b$LH>sl{E?t$v1nwbg%lsM<=6xob<B)
zrNo%BbJvEdjZ4#CAN}^Iz4dChu5Gmbw&~0B=Zeo;D}MX*W%GAzmo|S*s;>NX?5;!A
zwzwR9|NA?nJ<eb2e*5&LbUE|On^hb4+SESIQ-Er`!@C4z*rzYOyBojc?7a~;XW!OW
zH)FqKOxsbHoz<W7S~Gpm-jYpK>zAg#-uvxS)a<19tNy0Fi1xk%=6_kM`fl^yzCWOl
zxszUP`V<uYJI;TbwP^bCeBHwNZzq0*GCnSaFmknDgVp@LEp?Bp_VuRy)mP8k{QS3A
z->=`@>i@Om-A^WJ9ljRzcwU<Av)^e)wq4utyWAwdZC~V@-B<smy@<}flm6P|`=>8!
zP2X)UomE}=>zdT}n^tE*399#Z&fI5l^Y(2$R=drw7?klI%PqScv&ri0(!(XQjMrE1
zp1xN+`thZlwWjY9qfV=?-Wp@&lf5%#>oo87Ox@{gt`)sBSo?I+)hIpLWm{u5MV(%H
zc*`{Ju<V^BGp-e#%+j6CcR5Nga_!Sg2+fw4@7%C`pFQvF?D$8U%+_7?(vw{_HD*)P
z$)$(4O!5xX-dQputmtHx>U6%#UV4#hpIl0eI;pyPYK)bS_Rf$ss_&4vDO)Fbw`ZzO
zUlRs$#*;G)+24<B|MaBT%6{(lJ=Ndeea^h}Cg^&W;&i^tPI{5LAfryGu5OL7^5Nc@
zvUP%Yd#2*_HDX0C4RoJOy6U7SyR0>4Q`Cv2hqp}d4&&ZgGDEEBB)aDAC@UZFttq8}
z-t8|JO<SXv_0k~r%A~8Vy0Xi<qc-hYvGj0Bpm&(~){+@|StnmDn#On8RW~yB%B93z
zD^yoQG>5DWy$|M2jIi=i-k4%4<lUaBGHs1p(o2KhBa^Or=*TXc7_mvJbLn9dA@4Bd
zjU_YOl1^r+Oyj%kp%dAA<Wizkr|N1n&2dpL4fbxCbk$p1cG>i>O;wpo4}ZDj6{f$o
zWJX-n$yZCK@?G}Uj@-NDQesu6>gwrXRzCV`Q@&pEYJa(O>KZi7x4f4g-ZI@Qta@e1
zjBi0Fv-GF(U5?X=e7p2g;w^90)q6v%e5zNbY@P1ao~b`|&9|VJ25*;6x*De?yKHaB
zCREMGQuDtrQm<}!`njmQ{8hvgo}UXfqUTQjlxU@%y1F>X&gc1(oKnA2?Jws{S$od&
zr@`FGo32`F$}cMp+GeHx^l*vasj%luYG$1Cy!mR*6#mPWn&_HMg-^9-s!ds&=JeCx
zY|EyrJ{t1NW(I7V#rgEGiSViJt*@+oj2GtMR~2Twux3V@)6J~)ll?9DobE?`m0h;i
zZ`-ZcPY!Rnell!!<DUEJ56)iL9#;E%{eoKKFKa{Z|9gG%9#3ud)$$qNtU&tsFUP4z
zzb*Zgc<Xh_>b-t;KGkz`Oy`_z&$O7lw$1pb0dM-Is|9NE%O3h{lREe0u*sa0VTWhd
z%xE*dnPoAV|8jv^G;jK+M5%Krs~`H<`5c~!rumHU|96$~mqR{&5ej*J|6c+)J6fGf
zS^e0@&gb~doYFZb+h1BtUfXB<(?B+T)73&X`DKrNwppEfa=2v9$)iz^<&d~x$7j~e
z=rg|g3S@KPu|2!*+kRXrcO&v%?Z0=QFHcrFC(v(lvrsKsHvLl~(RQF3il$l8_~cF7
zPu%`>EA4+J{rvO!dHk~|-Lg-KQEyUKAN8~I>7JXj^~TBe%#D-Ridp|O&@J0^HA!85
z*-^i3QE#3c-g4t)SohqT8DiEqvo=oVznr8V4bl80OSc$_OOaW`X*Rr8vs>HlBHz5z
z+~xECPu+dYD!+WH`l1@eKb4hvr;gpqD^FEl{KrT?Y#Y;@zkk#|E_u)Y>dB<P)9dTL
zuYdpl;lK8OFXi{ke|&p=|L=Ey<^TP;JHPJhQ}gBJ3|7f|JC!EeX}_x6w&Zz{!=I=7
z)B7#gE_i;kXde5e_j><p|GjzAJNf_Sr8e{T{r>W9bNT$e*8l(i{_i_E{XGA%)CV_>
z=83E4#|o6K=g4o}Q@Hwn&d+n*lg;zK%-6kJS@`K#?!V2c*80BpR{VOd^=o&z{Qvx!
z`!B35^<Db-3UB@6n@(%RuiuT}u#aBy_0f~wqi;8}M(O+Ai@0MKJqIe#8VMDc0u^8d
z3G6y!f4%hI(Ua2Mx6`>d#?9HYaYyYoj@L_1N*}VH{!V}Ij;)7wr=NZo7gL^G_&ew1
z-R{ZeV%yJ8yS>+<5+tw^BJfwE`*xmA-tR!M?RO*Yd|RxOw|nD`x9*X5%CdVu$8^sT
zSI>vY9*%g}yLioqwvC6R-6J3JCbydRZ8{`<j4NLzwbi^w^q$2ju6zmYJ%v+5?-_(u
zJl4?Olep%?vB0njB#rD54{JX^{Qmy^z4|kMzl87K@jKPy?_Gbp-*cAl`}5-Z=Me9e
zthY<b7VU}&j*Zj5s(L*zaMjCMSyfv@KCW$1U-ffVR^8T+olJVyLr%Y5>LvQ2%D1!@
zB(Uy!$ZP4Px7MxPX705$KKO0^v{!$tUKYmx?0x_9z4ImLaEN0@b<B>>=VqRM+}C-(
z_-5v;AN~Ko{oj-ArFG}jJEx}?eb2gwJd&Or?)mhh?kV?>N4KYdn7qf`LmtiUyYBI{
zu>Iism2XTWYo><C-P|i5*r|Iz-1DfV^m~*4)l);{A~(thcD}s<5|VuXMYVcr$ehU^
z{rWdT1-PqwL*%v}`Ze#ApZOYySjo03h@$DMpaOHMriREZjgb%RoV+gF^J!q(SHY<k
z+d-<L*Uw$&2O6^3djI8xFIp=t!hg@r^M(q{`69K_<{jG?+o^Ng93Wzu?><8mx!FPm
zc0mQ$te^sIP=RA+P=O$*K$|gCK<=~DN}If{PqtI%?$cO5u}j%&&B<<c*ZXxJpT6H`
zy>M^)*N3ye*Z=vnegEIX?Zzv2e}6x}=EvRZ^;Y$tW*0L&?)!7}uKwbQ4{P@PK6&2W
zJ<VA_eA=X<y?<9aPXG7&Y2BlJKb>D4RJoGzYg+ufetz|j@pb<;pD${9_VHi){r|tt
z-`{5+{O5Gdzx{eQ{O@Gi)m~nEzeqUs|8lwR|9`U?8_S>EjbPE6{C)rbtL5@cnRUym
zl;g@*zTGc)UjDW3>69t&R#;TJ-L(7jzRK#P?baiHk|lbTGj>Gxtt$9c_d)n%+Y$RM
z-_I)<r~JJiIL|3h_+GQ*iH}XynI1v!-``VP`?U8`)#{?Xp?fb$Kb?ACWBn($%C-4Z
z!fO}rxh4Jd>V1v%AI&QFUOXLMwfOXkFK*KVU#`9OP&(Fa=_j|!y%$qMV8Z!dwtRFu
zFO~mg$w#;IlKEeze00m-bMHy*_4vi7?CX9!slQ);bNc?DSLN^hfA-qGzI4{y-IwF~
zo=)Ch`Rkx&+n)alXHM6t<$NkDpT6izX8Ef>3-6uT8km)ou2*R+o-cBBZ{;(^?YFBG
z&-pV)J9LLToc3i^nb+nm$$0rd*x^d4HCYz^hl|6U@Be%6x=gY1>V&u@QhG-}I9*N%
zJzOa@Z?)7dXUUxZzW&VBc_-pbpE!d=A$md&AJ*AYK7G@Sd2HLHy25#%x~_V-be+ia
z%nhp^+VGx_<khcQYT@tvZJA=7#nU<|E_+_@z42?@uat|=JuCa_Y}9`5+MTt#KV3dq
zxxec8g{l?FFKl?P8J5gzJ1pb;Jaa?e!p|me<eV4H-X8mF{RfLx_X<P!OJ(LRKWNo=
z?sCD5$15tYUWi*F6_+))Y98BasdJY<#9aOGs9g8?@!4y#EbJeY%*e9vZw}>so>{Rj
zt71m0`0i{=|K`$OTmQrFOv6lg{rft9W+v#*nEqX_;<A8!L#;jU%=vBq7VFn-+O?<r
zy2N4GSH3r&EN=BrPphuDI(yR($M^GBUgD_F+-jYCRrZ(j*&83u?Rgw|e$VOS|Es^}
zRY~12exEA!?oQ>7+p{|k`5$@t?8oPFj-T_2mSrE%Z@Q~>VNRBxtijy+*M{l-8`Ga%
zWBu;)XQ%!S{cZ0o{F_S;f}F(~UK0HK-KY8YdrQB6nR$2NyEB@6vah9T=k2_ky=6ti
zyY#iWo6G;@*GY-6ro@(?zx=`H`rZA-neWBUy%c%g^L1HI?2~!R*Hi_I*_-~>T6QM>
z*`Ec$*Y;?3&%Zn^cB$R}D^K^@r@srxKc#Yd_dDOnKS@$|f4)5WxAn6LPrlS6@f9bu
z(*JgzuHIhsY<bVxIhX&f4W6w0y7~H@R~ou|-|vr8UQ(M?ky86%)@?b?jQO+VBjxuz
z67%4?wcO3)8vFX4vXdIVt<;?K^<Z{hugZejtO+T#AG&U<XlBfxr3z9y&6Dfa@?)OY
z*w^o<oz(PgW#^=?2leCfwN+W)KD;RR-#7EV@?Y-9{|nE*s1x(^-W31mQ+?dOKl}Z*
z{QLY`fB&x!xBKh99k2iOYxa9Tle$00`Ro6D{o7yj%k$Um_s>6kIXU_7ugUADRl5}Z
zyDqnn>;KC0b-#YF*L^?xmuK()Z>R6a@B6;Ve%D>IYc&@a8Go_Y>w5op%lFgfeDxuG
z9}Bque0ovX`|~5;Pxi|CPnZ2a3D>H7u-tD-tc=Q*n6~_WQsT#FUl*^BR7sdu$IbD~
zkLlUV?PnT({yy&f|KI$&r2o6;+y5*7|KV+Y?bq3tx8MJ={(tSO`1yW6#h<+X%j3iE
z`Puo?nF;Ur)&0MnU-$L@-1d75nWyizPJ44@i;bkUslETPL&Bx@+XRxslX6s#nI+|@
zALC2Xu`5YDCZuXve!@=Dd&(0hbfgj})v0mv$REAHNu3&=kJ3BiRr{D987TBIJyKBU
zV|*mg;BMsn@t69!6Gu2_TF478Pkxl+GpDDs*sxIRn0Awepz!m=M<zaVT7~1<IoBU<
zxt@6FwZX&E86T{Uq@JyiP20m~%qX$`aL@I`W3LS!m(KWTbp|Y2n9CK{&bt0^)AdBf
z9gj*QK3M5g$cpXZ;|7U3*EEIi;X|OLSs$!oJ|fVO^p%fFBR*QKsgMoZ!>1kBK6OSS
zm=;}sxa+#2-`0nvH$GV9RLGj`;ggPQ=Uso;t)uyR;^EgD9+q}Iep?}1wujF;u3dKh
z;lAsM$6s%FTzZ2u_M_FC3fV{YRv)ZnDrEWg@Uh3WGp|3~c>Upl*98wsEjlJ0cr75`
z$>&qCVCIJhnhza)4mHncVK?ODPZE+lqGHqGSs^g_g92OmMq#-lDtF5KcLdKWDAs;h
z=W-}tQFz}g{~u1e53gTqna}spLq$;a%pa||cCZdLG@}GIJ;Gr&M5V+dnMMq2AyQEH
zba3+_xd=rH;#9>jeXLf)ebE-d^_YMo_Hm(l9NiiL^OpqdD5$y6D9N8>BzGjmrsHIV
zz~qk#YL6X#jy2EdVK;<GJb0Ig%*CQ^hv;0WHrd}jv)4#|KWdbhn)2tJ@ndry$@s^q
ze>U|zmzrrYRp{z@hGVY<Fs$QQ$cxAR6q^o3z7kxngE+@I#`FV*!y!@-AMtEtIf&#Z
zWGSd;k5q|aI1DNU2?qglcdX$9H>+*OWjA&)n-0wif#44c%N{uT9BiJ^#BRvSpTs41
zL<B6+yxR<!i$&c7u>?$QDC&01k3kqe`!DPFbnd*BqIXy|fAnS_d~eFN&*sSCPN$el
zotq5`9~i`Zv^s+73`I2wBp0C=4RM=*yEe?dSY$<PIu!l>;_+kf2Zgo>cdXur8z+$z
z*o7K0a4Bezby&-kJm_LK)RsH4rb1xzBgZpXI1kECYs($+F}qQ1BeLGvrX%vBLfX;h
z8KV42VK9!wohmG%XiAPpV`@ZGA`*wHWKP1-wRc6!ABWv>i2PW6PjtWULE*Nk5m7>`
z86UCu$rPV4d05(k<a{1OZ=BwMc#x;?#6zsUgh+$@f8?h$lGjjN2<0e>F%z<8<0cpO
zFq@8*6#~H@6_!16^f}r*ql?{8ls`#J?nnq&qWS((2m_CbPlU|)t&VIY7Ly*N#b8>E
zqHf3LXbdMBhTIWdw_{>>;rpeJwx6!>3FwTLNecDSQRyhQFWg~d+;d$K<_+fn0_Gnn
zY)17sitVEONgb07V1}VfK>|y0-HR6o+Sm<w`IDsNj+oeVWL5}V{-Chzp`*{?<{1!)
z4_6c6Z0w4DtaT%#qBfg=iXEJn(CkICi|5@6Q>^ZTt82c!8Jj;YceY8N;{DDbop<{|
zT)lGM*3R9^NsiuY96L_46)rJ;^Z=C5dHIt#_F(!QZuaF53T+y7`0aO|<9V@BmOsf#
z?nsGE$IA+V%O4e%J$Cdt-aMm^-4G)2Ap9wuja|_P)i`7gSQP#E>qJP!*>nObc1*sA
z={RJ&c=EbSad`rwuDN;*#7__|vS+1bzb~@RlYi7!tF9yeNN?_rjY`)J9r@I{<B@<m
zr(5_QzK;rRKLYU^?;K)aRq(*^%z@?^jqHZZ{7GzbM|f;HBr61rKPaSuB_#gjSisoW
z74g(%$|7mNqKGYiIWMZI=!%;4f3ag&jiTt_dj&!&-ge;f1k|L0{A{qFpj<4Ty`zE0
zD{4js($eL3*%NJr@&pT;{qIZ`Je`sB)H!BN$7k+fp$geY%K6eicIVf9J<k7}ar><6
z(*^I>{rtT$5IQ{4`{UE?_jWa(|MkcJ`?kG)$Den1e}DJ4`}6L#{apK>XMbOrpkFW1
zU-P;D|Br|L`~Q77tN#C!=datc_ulWXx&M7%%1_08Pge8C|NGY+U;p=c{yy7!Z~NMl
zlfLbH>c{x><@7rYPZ!(Qe>pB+|MlT+|GGbC+t<HytodXQ8c6u9AA7I<lllH1Pyg@v
z^Rxc%*}wjOpI?u!`}%OZ;1Yj(O@D=-Q_K86-p>Cwp(;SsbY8>V`05{8NBy7vSbpS4
zS)H%vn@rpP8z8Kg7e5{ry8Y91-i`G6_Wv5|e|+1&@7LMPGiU7Y`x$KK{rP=o{Ek1L
zSH|y%(eU3B{{50@@5gD87bWs*Q~Q7ZP<p4U`rTcx{9RqwY_{`f&rUvAJo!tCfnD_X
z=DlBE_%`nqed*i0*AzsAf{0QOk^0EDxpU(?z3$m;##b#XIqW6Rge>2E`_Zz4KBc>?
z_41@Z+}B{Pk?Es%dv|0Sd_4`4GRTwi=}E1dZmBUNxye#xhH{go!i>j^@?O2Fd~DBr
z&dyme^Rr3uth95N&UntUbT?j}vB+eGut{-Un&0^|H*elmC_f#sNae0>(e@ryuS<7T
zz+BGCyR}dOO|Zb-y-=<$g!>oFoiyRZT_cEqZ}Ik?)E`2sFW=4HovIT!>D{@V(Z@jC
zxw}(!?5^Ckg(&-546()$!nG}da9zRN&Xq;xm+l%()9@_UzN?$uIjO|_@?E28Gt(ET
z-2Dqx8CZTgqGrYJ<?p6#bn)D^`&FK#_mm~O*F(70uOZy+V6M=FyKhS&<|ly7zpGmY
z;ii<Ij&O@#q;j{mY<thq_FdL*A^NU^_3hdX<=%&It>1xURSZSsW_FmE3Py%270q{f
zV%K@1R%qgH6{YW<F7GCH+))?Y$)7kyUFo}L%g*^6;%0(nkxDhaE_WK#9{DK>dhc?H
zsTMArr1EL{ktxPsce{8B?exbq=kN({Yz8A#&e+U`Y9~Sp;+RA|H<)wKr6BHXk9;hE
z&1#4j{FRF2(_Z=zaD=rHlCQB?bNJ2+Vf=O+vu|=wQ~G|=<=y1YJL<wa{nd)*`#iCm
zd7{>6;_no&g!7I`$XqPy1k%c(+Bn#Z9zIArly$mAeNyM_>ppi<Q<5xabiOv5xG?1z
z&uJMhk+elBm=+lb31YJuZk7DA6Y3c5g3E#&e1^3Z$;l{E5XT$576JPPixkA0hjr>P
z9R`tt=;1F8cSQ{uh&Uq1X3Q?FNKq`B@ASm3^+YY##NQ%H-!)y{1$W$8F0j)dBGJMc
zg3QHK$Nx}A3{xAjI%kP%NZb_x9N)JKn8hmonc{Tkhu9;&r2^ibO6;0vT<2R_8@CG{
zovz`DYMy-BtWG2sA(;hrDu3}8A((qHW&IV4<ex2az~jf(6SXt8vSIZ;+_=PP8_+@q
zE(Hm)_MOH}?(1CMZ5G;j-s_2-_Qc<7Fgg5%`}Yd%Jf9<c^muaTB$cB1Q%}@}DSeOZ
zypyg9<0$6L!6J&P<jz5YN_?K9D&bHGJ7gKh*`2StXU5bWnQ@)FrIk)c%q-Q|oRDzt
z%^5|Hv!a2MkX>vL?25}9P!AfoD`52{RC<leyTfyCAbSnP6A+Gk+Fl1j)|?KgNKq}C
z@AbrP>WNydiN8aXzOQt77u<PgxzJ93h{Tbzz7Pg(6}H6$RJ4eq7>Q{T|HBWVm{udJ
zbCx)c%mtg5=%yO;-0Md3)DMMPg@=8g2u|H;nsjZ$nWW~OS_bX<rsu(BI>c=}vG~nD
zEaQsiaWw1NEiHv%VS*+F2`u?%v-taST;5&oxU*bvr@wO1e77fdy(em=CjK^2`ko1v
zXpitTgR!wIa=x<wt{Rt$?}W^_p^0WMx=8|hu~^-QP}lPFHa357WVR&V<;dPBC?_yc
z)8tv++?{KauI)eL)Vgz#LA%{jK~O@!+;OM9(Feot2(x=n)Xq?DL=71fS*eM?4b&ql
zQq+s)`#rInd!p8A;_nir?=M~6UGBWITzIEHMB+&5Za5pOBKaiK8^{_k74a8NzDP*L
z`&$H5Bz9tP9I{=`7P-h=6i+}T1laN*eu8k3%v1DIzw^%T#v~WL3zE#8J1?i_ot&}N
zc=L(Gb#7-en=gUO^u)SpxWWixp21a~ei@f{#vOOk1$LfSD4Os1#IE^7E!)K3JWAgs
z!4mBj?tCydc16xHRqRL_uqaXtD?u|AU6Ft}7a<kj=Mz$~?HE=cAna<1HpAo7bb+1x
ziHGobwn4Hb*stPu^G6fO&z*&wGj3a^-94)_VTQJ3@u`Jb%^IFbFM5^hzn@+I@5e)%
zM``tcjGo-queUdU)4hKG&rknr{$4HL|LgGY`!#=`uiy9k!`aF|Yu)>d=luB^-7la1
zV{Q8c>p%bg|MmPJ`u_fX&wZ&AYrH4bcu%YGo>+5Q>*qAD+S6;7$E5#Qn;x6~W375z
z`j55GLB#U-^d03!e@;HW2<_SN{`hqJ@`?BR{#~y8lK<wQ{lCZU_WynzHlP3J$@2M6
z_SgP#4NpJuJ$_&Ot#{W|&R*A<wSK4azGwfdY`)yL|NZ9T{@*Xo%m4j&JihkFyUEc0
zl)C-D^XvEjd388{?fQSukH^>k`~Lp_&-ee{-u-<!{&vB+{~2rtesU{|*s})wxqofO
z@11jI&DzZHUHJdM)!)kn52!Ew`}f9jdkOzH-?BN5Y+?Vn{N=UyJ*<x(cc1H(_^fyA
z&HqO>$Bs|mB5gP=w&&=7*XKbp2{knb_Xs}T)&23@f7j<u@(DFHmiss#znrtR`JzqA
zox`fLN|zg*5pF2;Ve9$#*F+=fW6G^_|LfkLDf#Da%#e1z-+W<(k?nND&B31+99VMW
zet+$+=8s3Bs_t_X{`&Lc|07+Ad^`I(n~Yz7P9*O6`RB*S1us7@w%6XC0O9=o{P@xT
zN4d|ByW5-h7ykQGA^BQP@8TNksfqI#)+8Gxl$1G5>o|7V`{GgSNPX_8^mTg;Uz!|S
zshIE6cg#k!`S?D+m*vl0&CR}TN;rOOUS(7A`I>_pTP1c2C2JdQ6HK-?EPIg59vI%d
zVzuLys|v5O1WHXic1gL!@-AA(78uUFe)U&AB5>b|)vYU5w_eClG>PKq6?0k)W_q=_
zby|EAE_|c@=!U;btm>k5LV@9(D^|N)2~~QfC0shSbJr=C*wjVqi~_@Fu2}7JB~%S6
ztmP6bx@a9&V7Th4)$0EaOkOzs^n<g@F7)sJ`QhsNe?Jm`PkWp8^Pf@8CZ2!K{!HJ$
z<NxXSe^2%QKg&NH{XzZSfyMcyLeZ1Y#Wxh#=;b{WmV3Vc&clay9?jo>^<<B;T>sKJ
z&k8q+%>Q8V|0!R;y5Hl)`K3YG`Db>{v6Vh5S*-VXr6GT5O_jW@o!OR}vSa5y|EbeC
z{JrK*Q}X%g%mr_L7BTeM#`7K6`1~}Z#kU&E1IOalm=)**hKsM}mGQoE^=KF4aijg-
zS3ZLnLSJh(CLP#l{Php=LjQgH*L1y`lN<M&d9LfBe-7tY-jn4hE)iq+%{*88-M<6U
z`i0k-=f2hd&1`K|(7-%jXQCS0qN$q~prFUOb8g9Zgq>>&^K;TxUpRI0g;SmxPgS-Q
z2}N0QuARdY=EtfTHX)IfGi-`{MMB7j9T^W2FCJLDsFB^3xxbU`nm6<7rHx-N9jMAo
z*lV&O&MHD*cFlCYYu@aymo|UBbg=4W!rl^?Fypn;46jogz8W2<l1$jkvmuTxLZ5lf
zbjE9^KfHQ+(r@?j@BbdwemQsJgI!g6PKEqlW3xT|_av+5=@jI=;69qHADwz&<3xRt
zUx$|X*WWz$^N6_J|EGQWGC#lE`}m}X^RH^U&kOCD$^VS5Z`9voY<4L#|BcQ0_s5ND
z4nM9>&#CzHzK6H}|J;oaKkhd+v-wwktnGNcjoG)>@?%1!qQ4JWCwK1ZTCa_ps{KEA
zqf6}3egDrzELxXzzdl_jFnr_t|0!=Sn=X9+`#s;6cWh<sRoj_&Gbi@l<30G!;eB61
z`Ga2X8Lu1f*@C#s63Q2phi@>y@bzyw`=Y6jfBoCdeDPFa?f<)t8BcfY`~S{iOHs`I
z`f`OR%XRPfTMMj}x&HmWY)4q%>)-GBT(pmav^9aWRX=uUTlapyIp0%uIf-@e_Zy%4
z`uF>>M)%g&zu)&V_j6wVeqWmXS~r;Y`Y2fL>!V-)svjSyDy;p#w=iMvj(z{{S!{@l
zxnG|z6QK__YmGP|ZGL-O_I*g^wfptiy`HBg@wC{z^49q_>~%j+UH<%d`Fd8502!U^
z-(u;v??mJ)-IDxV&@SKKtJWa=@zby7<H801cF*NB*uKtw#gBh47c-g*?)-U`Lv7+|
zYauyd%l~)z1cOEUPMm%3Z_pXieB$SO0j0Fw8vC@Z$84rNDJrjAaCT<n+=J8G4{zA`
z`X=YmV{vzUGIErDEc^OKD=AsO&)CE)UTzc1@kh?#NpDmG8jejnZM@~ijVAx%oh^13
zZoIYB&UvF6(|qjO>CHE9+&I0t;6X&q3svjZW819%l;3_D=$?~vbotKMxPFG-w?`gU
zXKm;0-}^-U!i`{U-3pz;&;*5c@hKUSAAX#B2pR-*ua~^glX~Fi`?6__{@>VbfBB_L
z3QVl1kv8^TB&~9;e!KIbnAlmTkGwxVV|Mx((VM<U<nOni6_RKB`1$@@f&MF>J7z!a
z7Ot;RwsidSN##@Wqt5-BU+!&uTVOD+ecsa#Pb_a3w@1r0mxeuHTQt|Oe&?_D<i(s7
zHM?fbZBQ>i`kYhYT^Fy5_0``7j1Skf6+9`bJji0UZC<^&)1H_z7l-7_PgNCe+%UZ}
zQ=otEo2bS*^Z2+cOnpb&*B!Z05gcT(E#}@~=D&HGKTPu9ziU3Wj`jKOII|ay`=j;W
zmmfM7cW*gk;<_J!A^N}d7jAs}>6c#4o2R9kH*R#zfBEaFX!eEoWsg3*ZE>@`*DTs+
zyY;ba{r4+z{PNxWy!sj!r5McG&M%%Xarn9E0o`L8HaGQpR3tB|zS3clqcXq#!$~f)
z&)N~|&vqYv*wpmsLkes4Glw+^ye`(s|BC){9Cs7`5b^ycXT_!_TYrX)O{M~OD}Lxr
zpFTA)IXlJnP0!vp>y92fq<r~lXvvKmqTkytrM@>YF^i8A@8~_MZn^%*jnmgEGIDnA
z;T4jO{*Za^o&s-gEcdzcy*6r0y=$j$?@3D5&o4cE>`}?<r<w{GIlK3`h)GvhX3Cpw
zdvy7ZzW%SH$AsGR)lFVaS7%(Xv3bY5IkRjICv%>7xKtyGxk39zyZpXAlRhq<df>47
z{vF9;rTp>rQFkievipYh7jo{X-E*e;3~$^UR*8I>odWCM#&ujT(?62Ed%AZSN8i!-
zjk}P<#2;<F%l+|I-lMg5xlN~OoV>9AzO?rgm5<XtwN`llblS7DM)6+IK7oAA_>S#U
zjF&p@c~GMmSFle&-y*(4e2)GR?sMXgSf6u$Z2FwbFKr<v?{&t=@Z=F0;b5OL28CyY
z+ih$nSv=@`JlEn*=i<2*H#(E&T3qQ=p8F!j!Nll5s;ZTO0IxvDKX#Yj%!__AUi{PW
zqSj%Hor0CTKreqmZbu)d%VCy9@lH4N64N!}+QnZBOLtv=n7Z1;Ol1Ax?!7&{t?uh8
zWaG>ZCOdz$+QY(rBLK8AWlxUpp|(e*J06u*+{^^2iQ6Wg;0#`!68CKaSm}><Hv;zX
z=~w^RxUfPtu1GLP!{*bcSJpWiaqZK4!@+Xv_a1HonGoF0d-U~(Pp80=7IBNB4KJ>l
z@gme`i<X*|sBmvrr`=JP*-4AM4KFT{_#e1vx8cP*GhXESY%x=_k{0gm?c8_FB|3T0
zYQu|HETneIOWB{hU=J#^?r`_o-;?_O;iRg`zSQP9J3~KT{C@b!i7oXzZ#SiP-+$>G
z`mFw+V#AYohOCNN5C1ctkN)&Oz)Sj#OyH)d_xqm}{VVAR(wC`JdU0j8`#OfKimIl0
zm0B#O2hIsTW!)QdRc6tZ!%hAybE~pGa4l$CuKwaIzsNhztcJPDr?{&kvn^a!?)~h~
zGWV8CJ!`<>%fert{ry_qB$e>I<5N>ySOechC6O%+O;X+=GnT8rn7Q03fccF~VBCe%
zyY49N;$n?;bnKO8N0Yic$$1MG>)Hhij=hyRb6NPynP9~gjAeZq`Y)c!T~oZ((qtA8
z@K{ay*-Or<XDbD+Fj%`!5&!Z?$HHZIzy&_8tO$7nfBy@{{;dnxZdfkbvq1d%F-5DE
zCcc1x!(mO8$iD0SB65mzRi&-HhP%J_)G60JmU~=s%9H+`JFPq56zi2sRi7B1y<AcG
zP;<g%CXgj2oBr%5=dl+Q+45(N?SBJ*|A47a(;GnnAr)D7=l8*R99&U#*ZgW{ELUG~
z>eFU$2=?mOzblpWXJOT~fBlmaRc60y#!uY^Pt$F$GJXES5*k@226phor`tJn_p@&K
zvnIVaVcxt2TBpy$tQTAObbG_J`CnNi&RiA_N&OiK4rjM%_m#H%5xekd^Ssl|l~qlv
zbnLmop?u;~bj!5+Oi^{$E>A*pZuf;x(GI82SG9>gd&#+K(;uA;#V(bO%C@aVO<8g0
z`A=QE9Hjcx^pfVJEfa1RUoktavgMCZ#?MIps>3$2rusIlMNtr|+tY;PW^>wDtUdld
zao)TIs~*FHYSM*I-V2_l?-gN0vEj5nr|y21sJd&bwNN~FDOf{&#j~$}7RcD@h6cqc
z&sFl|mXw`w=&_KEPOp=@rIpjFK2PSCBA!tvPBe>0eOSPpUvW#r-Q8pA-#i7d;>!0<
zuVqW_@#;%IeZjGE(_P*r!77=G%I9M<b~g9zeweseMpiMjY<FWxmx^x6ldarq%PwS^
z@5!FBSb52*H1i356PL91*pw|i%4hYaExos>q$T9$Yc_BQOx&)w<(gyq-4Ck*`}!tm
zMc;L>a-Ae*bRke(dD)|{R~r7v@VW}c9iF3jf=fbEV~W6)3$tXWEMB|F%Z(fC-~-O$
z?`E-@pN+a505U-7_Z=s&0UMsR>`py+cGI<Q!5~+hn6(+~3Z6BV5A`AoCa>B0R^;gm
z4$V!^biiI=&i313Ep|sHJJ;OR(#ol8jV09hS;FtSSj~?{-Sz<+pM4HteB!~g8?Jo|
z!Z1FfKzPm8wHU_#7QOQ)D_0%E_$pTOy-~M4P>jdw{oG>FcSWq`JELy91@>+0S|z(q
z3><_97i!$O%axb6bzAo$8CgZw<BMCt@sMzqvwUmQ?5MT5i$IY%(KKBV9GMZthwiR<
zkQSC*t#Rt2U{K20NO10CyB2dPvY2!8vo&fJXzD%F&#Io8wK+-%Z2q-5F!NRCnoc*!
zK9?oXSya*@5?Ks!PowGdl4~)C)>y8NRmX0@vy~d|?k8ri-MJyZz4ObnjT5D}7*ET%
zzDwY5l=z~=*G?rlu^rRaa$nqBsyJ(#p3C)Xtr@p>3Dic5FZ%n+=}UZU2iqF%i;bm<
zQ5*DJ_^-8Q9NHxi8zH`k_qEfP#Mq9qRooZ-OBJP7>AARHZOvG@OTac%e9_8RP9>qS
z9otrMUkoo*ytP=*rT$85#)Mr0vO(gDTwghT@rdnETgiP<wN&xe5<QpsS6Va5cM1IU
z6JPZ3rBjJbY{#_a+!sHWD9)Ov=W_jWYew}hfm(m@MYS)TzUaqxur1@hc(6n<YKEQ*
z|K-+<q+J5BKH`gdUpjp;jO{2}!hNy7L{Vyzo{RgX)(p>G0=Aywi!@(4m8iycY+J&8
zak@$C`%m|!yRV0G-CwxTTx|Vnk$sv8`8sjonl*A&5c*YdzD{8H!^MHs$(_5n<QZ;C
zdtV9NakdsLns#sRMwi&uXtD30zRA1S5XtI9kYu9UZu4m?RvUEQlJ>qL`f$7Yp?v?A
z`|~*V+X~iIEB*QA^5bpihugvwNI32PJ8eOf@UiC#E9~mG35UFYW6{C&QEbvo)ykD=
zvzNS+oYZ3LoV1oVpzmBC^F?D7P%<gm%4YQ{<w(e$c~TSRFJ9<zD0_x6OC;m=t!qvi
zyq>3c@`ka>H81I>8LKNEo;aNWPPmmj18%F7o}ZBNMpEeYHg-_<t!g_0$&VJx)9!kj
zCZ~tKmIG%ztBq0ML>)J6gPG@DV-?Bjwj)L?0eyWF7NvVYvb_FxVYpOLI;xa;Ib3Sf
zDO4%GKHs44Jf6qqDQ>Ls2Ic)1l0uNOqI+)I<SVw$lQyP%=m<+9JMUAH=1rK(PhSKT
zxcC0>oa~zbDFPc!r<Y!fIkv`9JI)cg>~V0P+cTr<dNA{+ViOV13f|&21?>l7?6Y{f
z&&|@FVVd4LRl_~{D7SkYtSFqNZI!();o6+3GNAI<6_HOx=bDBaWS{H$h*HSxun@a@
zbz{PG_iTSwP(Ceb-2yEmcP`rej4SPIq;w3(?@EY5uM1-HTQetQhaP}gy!9(L$lHiQ
zb=`|KJDVblui4pvLJCm`!z`GsgyNzN&tMh=pcIo>Lt@+FTPZtUt=TEM`PrJk_t1kP
zy7bzeuE^qR&#KXE4oyG1c7|!XEoUQYnYA5i)bSt`KSw-Wvn%yn*V^JW=`A3MMN9i&
zrQces?7X9EEMGV3Vz*-NT0Yd!$h#U@teti?ay_35YVg4vTP=eY9AR@!w<lej^EJp9
z#fp+ECp%VF*qSQXyE{*8xo(iov56^9ztL1OY_4gzVfMKySKU(=!#*CGIQ=3ddu({N
z>fEf=GfdO>>Pw)yY2B+eJ3--edN!KXFb$rdDj%tyglWh=i=rW7UD(~Ktx4QruVp`j
zYLAPvuA*E0K_08sVc%I%Jhx`&s?E=|(#}S%H2?)TQtE>`>8mQ?Y;w*0c*>2EYcYq{
zSgt<Jj~*Da&dti6VVb@*1}zz9TV=0Hyf)|Re=anazFf1jEwVVw*a*#~%QiogN;?}l
z_aT}~VHUh}MvmwQ`xd{<vym!$p7_-VoC5OfAVm>#_ByBy%-|vgDWgDbxa)!v+8dt1
zY$zj~QLc5}NV!pZE#~+d%hiEK=wY$z+^p9#Ow&u(x*<FDz^vC++3S+8&AI9%gBBLA
z*X-<zEDp0TM+=K}o1a;woy~or0&0UG%AV4>rq>O#^PJTmqBOVGL5z{M;&gZSfHzI<
zIF#L$O*x*YXkH=&Dyw&UK#EqFbMCbH9YSrgT#qc4-Tcf9tQ?+Hur;47n6mR;tl8NZ
zSq!ohwcM1p%Fau;hGF=f3z5al7=~|n)^=`|^bFJO3nv$#w0g`-uH8AXW+(65mc`0T
zkfLzm=4WhJ0)}_4sks4Wz#usiDPSxZozq!)&T!wj;Avsy)b)k|7B1MGj;(5~{hRX1
z@m1`3u48Af`6=HuJ;qtIq~PVb?;-E)gFDNANj5xWw&HXTKGdtMyQmY?fLv0~bFY4x
zu#gp>JlNK(J~v@do7>}l@ZSGE>MBoG+ARPD!Ig?tYT$<GzU5W_XZm@Z2(EPi#rDdN
zA->?ob*=x`f5vksOj!P_0UUjgSIz=g&cEip`kQX0sObNd5gca6f=wrax(Z)xul_z?
z;^Z{%705;I9=*%6lt3-lm({Dj&wts{Vsn)p9N$v@S6x7j{YxM}T;}AgT*VJgeWvqP
zK|JvO=v|NpiXO{BJiucK_P`0O^@)(iju$F12E3r8x2;ROZ%+@Xow8*@qv`e+Yj(0?
zNe5zcO}Ar9Hj6et6FW2OHrP(2o<wxXwL49a<cAcq5yiXeikHuCw2*2O2i3xe=J=d<
zZ=c|hhz-Lbaq{@(^A0k+htcX`zwQ3-z8DL*AO3(+;$aJigp_q$cSA44ZSC;}^|MYT
zZiO@o_*@@u<dEwLn+a}PAo>`PJ^)7k)_b{TXA2h3Lb?(dVdHI;t(S<I1ED<<wCug%
z*`#x`ys_j!NWTL!2QJzCOb8<fE?Dg6=5zG*O2(g-Qe7&0+AN(Xh#Ih8i@6qAED4Eh
z)Kmazq@f#rZe?V7(AgW2rH}#+*6w6OHm7d_yky$&Y}2_}r?EL5+yq27ea+4_o1YnB
zI6dKP>Ri+5SV~pB*K2n6U<vie^s}iLDH3b!bFP85%|HctuBg6DrKB!66EkN+8*`xI
z6D9q+rk@qXF#N%zh?4N*O|f#I?9?}5k@gZ;vkB4|#YiwDnGS1lViegi7bA;VA+d)N
z>X3FNdXe2{C21(SemUEd=NTH4K1e;|aSS_Py5Sk5)de;iwGo9Rf!uGtvug9RFpLm5
zFbmqOLkqAqMPYZ1(vM!Vgos}ZIu2_n5o7$loU^)@J@VzGcRE%lD>EnM=aih^ZM@BG
z^_RUHFCHq$&)Fh&Q(8Lq-?w9K>38;Syy&<O)cNze5~})Yl~C!`j$K(Uv8IdGNd<=Y
zu2}7MB~<y<DnY1l>7sR3f#GvktoFMSs{U$~aOu^~U9VhXA;Q=uYV~yA9|UzfZ4W-)
zXqnTt8`N=?+4koSs3XfKE&Z<d=xeR^x3|9?dsI60uv#d%<7&P0O|R-JtvBHtLGJpn
z_77O}zAf09A9zoLx$(}sz_PKDVD9B(;NGqNxm%z<?~kelVD9@1H^AIw4Pfqh=l39k
zYoPt)&ADK$c>BqDXTS#Tz}`<zKa_vI<^DX*{kFn&)oOpf`TTf0^TTc9hug`Pa3<JK
z7OieO5+%JrX09wdw4eGiYww(>oJqUVJ;L5d3S}>B1NB;Los%FvV$1X39`PDbZ+Vp@
zsG$b$5o2ou?YR4NCeP}3l9Re@os;f1FOosd;QHPX;J!LYPLc`ShKF?3w@jG+n-eaz
z(->81wl!QTG8IKioc*}k%IdZyN#`d->{A8RZC`jgA*H~En6<mUW*rq<Gp(}+<e{~Z
zkU~a(+9YuA`sh5xjWV@U7ArqFdw#+jj!eiXWJGaj`q|Jkvo=2xMQeLPdkm3iHE7J$
z$YN0U@PQyGXyDya*;1n<tvG+)6X!1ms5~@1BiXd3Avz$>C<oH~7k#`KC1BvK^K0|C
z(Cme_&N2GQ(AGIdKN;G=!0abOn*Zqi<agGy-W^+<ST1*MnFq+`7tapE$_khbs2$}4
zvtTyFqWANlHr&ev83b>gV(m$umzu$|8`3QRb(6P*?kRYZ3LhJQwDTkTmoC88&_7q@
z#(@&p+0d5$HRfng>VP-(BZ_0w&z7E<wfSCHJ17YtMh~w;`YNxlHsa9mxC2?kLEe<>
zcOA>#h+V2$sRl}ACE}Uj+#!juqM!>|N24jsiqg#}R=ij-SuprxRhC0tUz-x=`owbr
zDXe$m`g4qAz#W7+Uq7WyS-kd9tFk_Ph!xU`KUX!`3}iK;@doWXthqi5++ITThhQ3x
z+hErq{tvr`SNj@J{Q&81Sgsaj!*0+%6%@b0dJr3C9RWvNSpy_1CY*)3=+%v86brCa
zjt=qmGixSPUEkbPxOwYkkMiEaCK=5;&e;at_x`49ee|<uHZYN%zEH-O)4Xp>2U@4V
zboYscGO~*B#(BLFxEE34WE%YoEU`Qk+&V{eFJ}D}1@(F{oB3&*!QEtx{=%x8m7vi-
z%#KIawnk7tIm-sa3foE?Ry3!8G78*^y*t2NlDs)L*GhrrKn$PwK$=BZ3zPEF$qyGz
zE;wkirD{KVVUl+-vX~o7lTOzvJMSQt?xSn^SzRm*MjCfYy3fsu#@0K<>UgY8X;#>L
z0;u(l+>}1Ja7M}Tq(gkiAU)+574V`3YdbyREOojVmreO>{_-5TXs`!uu%=}FOndAS
z`l{C0CHgnat7ChVArXg?#jq70J>P7(%<Y=2<=5J_YPd(cUVY{c>$(fyda}Sj&+-C_
z8FPYL)k8n5$(p+!<jO_Dt6{m68ZG_<vrb!O>m_69XCXR0D9vV)IxE=fl4&R{b=1~0
z_BOd`c*(UG%zU8N=UQH>bK_|tq@T6R2{OLVc8#<aKMvE8J3X?B7$fIIc2=;(e++Xr
zbl4ZOLxm)PVku-`8M7}48M;R6#2nO0zp7gtmNR!VWIRf@6gH+v72_LCp#$TfT#S-7
zu=iH5jXY&1oDBsJOoPG^c}7PP)}q504_IxLy)Fq$I)yaoFx&ze8AcD8qOGs4trm^m
zkOv+Wa?K8d<vePb-e?+LdM)NCMuCkj7i@#I%dqq*Aq_b+r#G5j2M=CjrU6Kc6O@)v
zl8otG)9YA@Yv`~tq^AjQdfh2Ex!dc0_?-<T92d>zhXo9z^$2z)atAl&a%3?tmR>b>
zw_|BI9FT*x#XvEAODoRelMy@vV;#cX@C-6AjTtcD)*)uVK$@kHfXUzXY+B|L^ZwpD
z3-)fD$hgtmENcGS-rl^;-;TLy|DL;1IjZ|jZ?9f`b@F24clU0*P`EGcjk*eX&$?5x
zap6z-)~_yVzaF~t@at7~9+rl_`>;!^ydrk0HB=h9{1~zb85;>%aV%ImwPV+=EgRX7
z3zdp~`*{!4ZM|`}0z5f;`aHPz+RF=`x!qTi4-$R2?I>7uZN)dxeD1f^ke+VXKd|U~
zIgoQhf3!V?a3|gc8TexpM9=yux53;;YrwMW*};9>A3{^W+<Y#GQ8r)hMJ!r(Xt^EO
zTD#lZ!2NB`d0<7i?|?<~o`DVCky;3L(=mvFxBb5zb1N<F4qV5{T$C_nzWr^(Q{_Va
zD-`k+XGTssD0gk$?ZdY=+O7!`YbYua;=d>d&UL$@nr+v_Zg1b3Tph7Cfeq9{xOF%S
z(oBD|<<+jWVY0WgQ<tWI>cWd<+K}{^z@L5nZQ|P-a$(w=!1E>FHb4_ib;RyG{_Nx5
zHbnh21hsy*v_of`u=aZ2mK#X&-Icr6_%?BCS@Hsu&Rw(Z8t3ipr3uv$Yu6frZHG8G
z>O=zn>kDraWpBuZWzQEvvE;^KtCH#n_HFG~Z<&Dm<8PsZq<bQ~%sgQheE>CT^Y0zr
zrMv{PE(YGxzU7CuUIN;b&(7Bd*@M}M=sgB<89e{OoAPC~;JyMzQ~p*{KY300!<+5I
z_WnK}@@Q@yTSA6iXG@WZ>K%(qN@(NtS8H^5K#gFG0sbtz#qC8UE$|tEf1w{iBmWns
zUaUzF0_oUkhhbEeC`bZ}QC^^-0k~1{$pzE-uR&8)SlaUZH$lMx?_j)N37)?A6mr&F
z4%FpANWi8A&Rq8gOSH`a&ljX%YsVH;$9A18xahefK`KhW*wGTT@VWyT>)(8D4Ql5J
zHfONrx(p~8AhjqU&3wz(bAwUhG@=;N;yzc!D1nv;U{)Lhr!_=B8QKF_6aE;76`&R;
z%1ABDicA$8Ry;sWnAl44x4oOy4nrF1k?#+?qJ~F%(k0n$PHTy^(}h7%fwU9}(uO}*
z6>NfPHFSi3&Gj;{21Gv@rlH*my9V)F*fqS;L+d9)CIu{4UuDK_&^~Fjh=5E{Y@W3M
zls4cohc#_v-%2(*18!2!xf;ZQvRXwlq8KvjzWJ9mO8gY~o!vD#>)5$fVVl7V2VNY3
zmV<B&R`XFbz()JeWjTW~7xFqQ@T7s|YIOxPuU!MrVXR^6Me`ceMY~eb7IMA(#X1K|
zhbE$U*Y?wMu}ENR>GsHZirG!(v73@(`>wlaOK6Dg^c+Y5A5nZrcFhB^u<T;A1!r>%
z-ZL=sYkN5$Pdz_)q_M}UX}bICL*OhGs|XnyBys)$rQd<lmLX||07riTTO)5BN>drR
z!;2z8L>u}V_6dcAsheL-v((;p?&byXB=9sV_yi?n?FythhcwrOy@`&)cwF-p5yh*|
z&5FjHY{EA1VG3Jl0&2FQjT=J7Xdw+Wc&<Y38KABYfUHYFYq{d+FC^@YDt&#mOE&^K
z*<=duH6!{R<ePqA7WV!EwysWe!dXO99IdP4n|{_5%PbL9+T1w$3-AGCNH|_R+YTE}
zK)4b$U?9W95asX&M?^7Jw_}|^<X^KB(G*9XUtorf1Y;Nu83+dT3{Y0yLRO$)c1Ebt
zU&vF~{f=)_^7aW3$6SnsPfwDxUIkk@DAQ;PY0rWCDA-1eLET`KP{+RJBcj+j{VXq*
z6oY-u$2I7XGe#*u(sGqK4GT9ju{~qWf%KWto0jNK$5sgJt26qk@O51}>*KWS<rCjY
zJ!Y{C+VK_NLKApP4Z6?-W0;o6g(iQWo&_x^S*UsrvT)?A({Ta|L|{!s3N5bHe+O#k
zPLTc%S>cj=VkUTn3mdjFV!FdYSM`TYnL8FvE<h_IVPn0?mTc>;E-GFtc4q6X3mava
zozpK$T5+en$axXb*LZ#7i#>g;pRGU<ZTX<$pU$%#<(CX6_)R>cbMnCJh?2PDO_j2s
zbp8C5;wLN6Xwb{m?hi_Jtkx$V+|vgcbUpEU17umpo<7&-r8+aNZ-k5<Rmwu^6l6>K
zCMd0kPCR|E5}SK{V?x|<$U>|qr4hLO8T_DBW$yKf2J4fZ)gPjig)&{9<vMC_67E1M
zvOd_5=mk$JXZ6Ika}dL~@ScRsb``9hbZ)EHjO?utH|9ETg1CLnPDncxTBqEe0P<_5
zB6IdYEIz^3R+fP^=|E*0YR3U-nF&&h0Je<zDkPRLnuZ{AP|8SJj_0l+ZSe`VqCge4
zq8Ceh0J6py8h40xKi1_T&E3ftV`aI^DxoQ6-2`YdMQNWsIeYDnQ7>dafw`HH!nb2?
z-}h7}FTTBI@5YO#>e6$5T<hGs@#53`{G5_wccrCepMcf~M0M^$Myp(6Ll>>n3JjmR
zVl`~pL}=$Oh%mNg6Y$j(NXsU;st<x!BfP2D3mRD2u>L)GxaHY&kcC{)f8K(YD&(Yq
zM_o3=UIt4pGpSDgxNB7+bHm<^6|q-8m_7&dAD*7`{n(?@(8J-^Knp5Xwdp^*10LY{
zwhJ^^6S>}JcO__JK=)?wSkXSY4De`;cL0P7+C~r<{_yh!u+8&-LG<R_23x5P8DGjP
z1`jChm{|_iIt?<ABn>ez&;F*g^wq1nZadX@Hr)SHVR-7NxqX}4PhFLp=Jt7cyM2SU
zw{HavpXe|=eW4<^$aW1VYD%`URY!E^@n;|Wwjt^u52$+rAEUW(II5&Nf_q#0Rj-ra
zNe+mXEfWsPU0ZbfaMT9dHDM{>jwozgh3DIbS5fD{Q!Z;JqiAWwmhD#fcWwyeys`4g
zt=>jZN5oWnBc#Ciwt?&ZA+CLGS8qvy`xZ#%bKSqmxNpnhTgzKOBPYlb^FJ`if81c!
z3+|IuM?iWb&7pk-Gnv;FuMuSd`|S$UhBZ4OgY@aS%fTa7h<!xR#X#5Yg@NW3;S=x(
z4JM#b4dms5&=u_K@^NT52--*h*MO}t_Tbxzj*}Z8E$M5_y69`<A<f!3UrPi*en1R6
z!In+9?*)Z5Qhx$6%${yrj@A!_jD%ahRs^q7fctIQ>d@_RYi~4Ntx^Jw@n}YEh7R9d
zgV}Jr88rKf#fH_OO=3trU6>6AE71Z2(yNHfH$>?}Vh@aKypt0lBiU=pn^1=VnPDri
zuW?7Ac^WdvoxWEWyyFDEhy<=-bvF(T?$}q6U-L!{)*bINc1icQ-K~tsj{q+h$5<79
z?e#kxR#XdsMp7}|)UALLu2@G!_wKj?TNeI$>nilL0cm{C`Pvta7U1i^%e*7?Wzdo<
zOvATy>>AcT!l5Cq1iJ?Pl_=@^0o$h6?-Z=dxHC(6z;XRf36d4z{fe;PY}ifOYl0Gd
zSVQA$ouMQjct!TPS3b=}Xzen{67I;{CKQ8UE5Xyh=AbOAJunN>LZ5Th0c{;Fw4onn
zZj9zqs0C}E;;`T+IAtJ86PN`hs4JV)6JD%oXsl!mWA_1Dzz1#Rt=S2+;4R^OhA&>L
zPv#GLUlnGMx2;U-<vK&Z3CgY2FRF7c?*8widhcaALt9#S+LXnb506b0yNK4lPV3GA
zSqxt`0b9wv>gIaTJ_0N&GtBxy(Tq8oi`L}-X9ZqEejy6FRy^$}xog64HTk=DgBP_Q
zvxO{bmned)U%^_#s0Khrgh4ZlsD0eg)g1$~x`UPr$gz#~JwsVbfx55(>#B)^mZq=$
zR!Lf~2BjHUMOSt3yjau;6mw7pDj|copk5wQ4`DzSkYgL>&}l?&d#`=e`dHUU4|Qd7
zNOg7c|N2|^RF6-J<GggcYk{cB$p_h-Qdv4CQUVLEyD;rq_*Z+i-yO$~7tVT`3m2rb
z@3Ftz<N9gymkx&+PkUX>tMhes`BWue{CiZoyU!<I=ho7>8>dEuW1<hAU)+mGiajWP
z#wz(?x5%E2M|L&UcYQi0^m>&b1`>X~3OC9Uc>U`0$=?q^rsX$PCwG>z?yq^%t9niJ
z&%V{>(;~t@XiqyA@#C&-zRt#V53U~tTXjDGWEEGP<}qpSHQGOHdGd2=VoQI#D}>N(
zsSsK&5<+kDfzW;qU_-h$gAG~F4mM;T%Tcf)tJuMk%x}RSTW+@(<frr7DnTxq|KQ^>
zq1Rk?%O8Lo_U70(5O14K6-Z7RV%l4Eus-`uU@!ci@&crC;`VZ-{P!;R?se|jD_mKv
z{^^_FlecqE+_t7bBJp0`r}nI~@{hTHIDfr=e~x{~<2vSwf_Wm5H<avGSH^?3|EhoE
zIr%P6VC}^h76P`;n`XZZo%ki|Z;tM7rPA{gY&NEQl!<T6=xv+0DBYvXc(2wZvrn7P
zzwf@Xwa|8>-MYJLEu$7EE?F@(+x+rsrA%e}_ILX{?i#E3zmc3Id&ni*q(=m5=9Y=`
zr7s}l{M}IHUcW%d-El{elQ+8|zOuUQNvEyzChw?4rc!QQ^AvBM{j$pA)(L-x4f;Xf
zc}@yfx21?zyQ1iuZ;}T0pk8y&wG0W(s<sq}I~)70q?7gPyoJ}C{}7P!@ViMqR|;>9
z@#oyu(C<8+y&#*uEH-@?`{&Au+dDu(vSp&etkt_R)*V~4^X~j`up<`jyz8^RYe_H5
z#h9zE#in9s-~PKMy7r<$kjUA$X;q;rxhfscR_V=Jy)h&2d;4*45N6~-tUsu`{M9;3
z)3Wn7|B70G0`SGE%^0>kSq$<jrY*meaacbq6o-UWI}V9kJ5VL;Ugh-c{Gsc+!S1m0
z#Mb+l_h?Qq{g7*YC+tSa#h7l_;@!(mWb{^x+natedk6Dki@XL;-NJ(F3#HKf-m-o(
z|Gx8e*Y#x3CG_pN_x;VezFQVuqP~&mA7|0`<9ks<t2DGCo;NO{Jp3S7-1>FivT)Z+
zZcQ2MUM|`x*8Qxke+D?Ixfbu987Jx~&ADjjvhHV5I<s!uz86NbW0u~mY*37B+6*=e
z79)-(*P&iCKMzhuaI<Qz+>|K(7(FYYwyj->d;jvvV1?`lzn2}!ZH*N_`!&L3dv$;E
zmScOn=Sx*emxD8ikV`R4YGv9Mx5FNRCfi}L`+8T#y5oy>-j()4kKOC8#kOK+-^Q6s
zqq%sO-mKRk7r(oY?&8vmG5xN^yTuK`83yj+9gANdSbY3M^7pVeARS6SZh$k3hrrp=
zSd;6?7w^0ieR@%fSK0OA9e6;#UbIuL`&rrh?tV}{>3Rmq>PSARtVi+50r$DRhT{8|
za$ZZX(Ae~$dX_osCboU@vu}i1lw7>i;aa@gKhY)o&h8&8CvGo<Bzi{^bEwq&N$AnF
zu=|;t&aB(DDr%tIpfT$<%*DL1Cgw>O@4V}_MRRf9i$yyHyPuWK_wPb;v9tKu-UyTJ
zzo&(wxL9G9^sbD&Ba3$4Z9j^d>KQCcSS*t`cK$vU4bma#3QyZ@db6ZKL4Oi8K_dA?
z9$b#WgC2Wg*?TUxHCX)YS&*w{Wnd&0nADbRko8E3W%jO&JWzpCUWS%f?p$##HWoYk
z)~*UYZ!OcCH5=q&)>ojYUxY~B=A{?!^tcx9KHrF%SQhPE+5IdH6!x=i(Zb$0*2Fyd
z;vH*iPf+m>H%iE$H1I%AD&zY%Dxd=DQ3I?Pgt}_)=E+wwBsAe^=*No9hR+^tH#zu=
zhu>AK&j0f=hieYE?Pu01e%}|`d3D=&zvR2$W%Rq0dtcj^E&KI*_NhJg|7SY1{+~H_
z$+5Mq`Mp~@Z-cXSX8NlA`(O6(q^<%<xgGZKUAAlP%g~8iCW5t{I}xz#*ZiBFStdPK
zQdZGGi&?>+f6I)GSY)Br^H$}ggUb0Ob65TYNlaP!FTHG%6tA-B%vWXL66)5>SMT$!
zl$~e3s!vm2dC?$f^2$7@mZN{cT8{mNYH7R!(ZVEOYIZE<&os4&`41PKIP^aAp2!KQ
z84b;z>4{yHKhF6DJ-&C;zjseByrf&w{VYglR&_eqe59&plHM%ujT!Ie&Rv390(tMs
z&^x+lXF1rVNJW6&%SAgyx}V(x2cQT%MK9VpKo)!mOiw;@G(WTHtE60)&YyYDd>hv^
zO1czBil2QNVe%a#B>rip-Q9mw=J#<>AT3T@^5XtuSm~zsdeKf9Y+hT_{j3OE*lp6A
zbsAgPo!*t9cN|;T(bfX2X(GbGB)sHeOs8uxC?ldZRkU|ytUH3~P}{99%&rRE4!sYF
z<lHszRJ5r3nHRQ@=+c{|jV&Z_Sdg*q#iE@;*n*lm7GMpD9}OArF1i-`L)>5Z{?T%`
zd&l5~(&FxC^C0PEapDrBn#Fck#ye=>Nx5~wn_2CT7hB8Dzwr^4idyc#sv;a3F5V&0
z2C8Xzu=w1u8Qu3UvAj065sCa^dv-QcB(pZG(Fw}==*^N)@v~R4g+*wrNjR30-Xy&A
zVoWb2fgy*{_BpF|Kf7|n_Bo_*xfq%btKo5IfVGg(s|J^1D!B+-!*xR=tbGV7)6kkC
z(AFL(>md8=V$5aNVqLMbm=5(7Kf4uM(3!@XTu;KNBoCHuefe&+Zdqb2q+BjZzY9x7
z*fqf0pZHx=DRXw|>zQ)>u9*{z#p?PlNq0{;Dczm-@?J!V;g@5Jc6XSI$=2VC*z&k4
z`J#OhsFP~7aUC08q_%M#E|gXi+dKcqE-CwurKWX{UT6I|a{cO$&h@K53de^(R^PYo
zn14-d@A>pM&B>jmtoFihdqF*MiFM$f_~iNEJ}$pBxZ`Vo{~1{F*hH|Tw;ovXeP{(p
z=lxUfL6SA!cYq~X_kty_PXOzT-v;g-*DRd_mOL5>mfU_CqI2Oxu+HEHuw-Qh$j1+Y
zAy&ID26x^08^B6$-vM{h&+Y;1pXLfunGoL((zl`dAh=g=9t9G75WfhdPwCS)$0u)_
zPuymoxSd}qU*6@OUB{kUfy&<s6iA%8?f)-e?a$;I#`+cie$W2gp0)fjgYJ>ZO5ICN
zu#5csZob^(#gk=*zY9`s)&6)}kaN%WYnjEins0|M-1v1eCpo!%{Z?z4+hyx}ZDn@<
zWiPfUtNFJrwZ}H{P=5+%_=cAM|DNeQ>`LQW+NwNPmFKvXw?tp*Gy|E}I*B%7`iU0T
zA`ca;+1&ClqK-4XjI;io$Zyp()sxmAo%iInVAK}3#qYhpsN4tDng4dPF}Y$dYSp-I
zbM1cTxlgWoQvURElor0J+q?Yt^OgMb-ha5`vAaKGUf*3?*;1=-&7li7R(>!2e0k&U
z<JbI;*Ih6-Gqc%L^Y4J1w6yrnH}@Kgt-ej}Y&m8(|6k{g8+UiV^*dfywEDu0@0)(=
zAD`EEcW=p$k~iwnJiWQ|?UvlQarZcj-|=Iob9a{q$o0LQZ?^fyjl1lN{Eol#YdX9!
zUppa3=cE7szrsE_Z<fazo0JKqUsO4#ly>Tag!NA44G*vXR$uT}*75t6`hMHD`+qZs
zZgG3ONxkY;J*WixAIp4EsrU4~`%0|S_n!6fKmKms0z28?Cl5L&e_o!hXIAz-Yxd=h
z^Y@3g9Gm7JpPcjN-PF?K$&a1w>$|#odHZYZc-L>-xcmG4d3}HXEHpIPRyS)>a&o!6
znuYA|pUDLoIloQ%jvsqxXHjBR_C0IS<Bbm&{{0-|kn`r9@}%X-<?06?-uQmA_eR7c
z`G2>Kg{6O2?o)%hf05@L*9~_jTQk+({QZZwrr!O+UcnW|?{RE-t<iNYBj%e+KFh7M
zUsj!{zwWTb<MF2N+dckm`d-;^He&6$8~&ebbKbn;)m@(aFn;5Q8)yDZE&Bd!>*bB}
zk4?F7<5Ojas@b%kr?m{fTrL-p`du?Gu&ej(Ue*64Iv?Ag{5bKel1bOB?EAyTmp9Ho
zxBtS8Z<SsKX48Id{`pQnetGiaps(kh7i`>kCjM9X@)Hkle0RJHalL}9ly&Fk3~<;l
z;yk}-vNu!h?cXK3@ANr$U1ww4@4EjrWB;{`JKtQ^^MdMEsKd8?-|lfRSHCa#<>wtK
zId9&X<}FXQU*F2ndv?Bi6e#tl+sgjVRORc{oqxP9Il27(S$kP;p1&ns?Z@oqFF%!>
zTt5GjrR?vQ&-i=S&R74RoLqk2!bbLY<s&6fimSc%T0naD?^hpR-Z=l+WaGxdiNBw3
zmEE{;zB|Y)u=}rSZQOXo{?GS`+b-PjoZ~v#qFb#{%(p^pZj8{2+xPCTUR8Me^4@5R
zAC;Hy23KE{>b*Vh#oa@oHq_7eQXOKMcfS1-)7<g)=u8d4xr&`HcsyNZyQ*0^8(ox~
zlvvF!7u}(E)Tu;M=&V<3MnO%7+0phFrZpXLhrPZ?g)O?iL1=GVZ^eeCf_vL~Uz+mt
ztL%Dib@9QCPPXH3HH$31)k?<Y=zQe=U(4y3@kZqwC`EvrXVdMc$N44q{pXYq|0XT?
z&EWW<J977T9hYpAyy`{#0&mi)LZ9r<R)|_sc>8zsk_X$w(-*mHX;WEbs&X)n)5c7A
zt>VlK-jgob9*Va_xGye?m|=GGNBuWND;fDkhd(Ob64Abx6VaJ=*vmxPY|+gPf@j-$
zGtAz&Y*xJJaJ|#+=-bEwD>Iu<wY7ygIv@ML*XD*`bKCpSt2^Gllv~AT7rM7P&N6Co
z;ca2th`pd8k^k!&GbSF(eV;$E>9*WOorxXKCb*cit1Plq5&oJ6BF`#HnzcXJ*qRZd
z<FYtOQA)HkZh8BQ!kP}b<LwzCYg`s5sY;1zUtDpcqwKKT7HP9ZcQ**XZFAv{Rksq|
z&VKUYjqkICL2-KUd+hv;8y`*tg&8DD?+CqkeeeG6RfTsi*Ph;Rd|&F0>Y15a+#c^z
z|26F)v=m(ADs_GDepjt^)rC^tF6ACdyPSnC%1-L6G6s?FCh+Wb{&2&oL`!T@@J4~T
zT`rq1JDXVAxlDfST%r}WD0rjL+^(rFLULS|Cn;NrN?%-gqqEL=QTsaKwOzNHpA@|L
zJ#)j2h)44u{I2>2N^csIEdtdF7cH2mzMbXQuJ7OXWyX9jiMM&TQD5%;J$w1J7k9jq
zt^asq=et})tlqV*i#Cf{z5n`Ni*D6Lwk<E(JYCW~m8`st6hqalyp1kKPD)JY1SP%W
zP9>INi%x%3+$Gbgwz@rILQRL>ajz1~utldg3dQ#Iz9`CZnVzh?OUC-*(;J<7?u*Lv
ztJ!4xYVEnCrM>?cSJ@vEma^_VoFSxsszv?ONz=xC@Bi*Ckos<WclNpJH@?qz=d-zH
zo4l)DWIp#j!hQFDM=yD_PrQAji%ExouSMqzT~C*MPqihdKxCD-(Hq@^$=ny8M|9X7
zclu&!=Q3aU;)1UNx|8@XDn)kM9ryZT8Mf&BM#0*?-WSC=F7uPsf5}*1e177~-?!%$
z9NyS`WA6Qaf#b)7zWO~fn02Jn=wWA3k?(@{)%E}UdtT3bw@rNao4<2sEwX#ON`2SM
zTK~_>4%F}6um9n%$g^#S7XDT^)ln;u`hDR!<U!3P$4>lh{TsaG(IL6?M=mBm1l9(0
zzTow8$qxXzy4}A`)~+H)ahEUm#oVZlyvt4{v+Z2AYhG0QF3`J*|Kf_M&b-TBC9}g8
z-QFU2cUkWXvs{<$nd-ZItuN+!mBi<rhq#*k<M|hVvnn&*sPHZJoTDK;Pec7W%eO=S
z>h{&t`(CIOPCc@(bJOn~Ra@L1?^FM!b_$UmzuR6k53K9xo9<HbLtt+}X9k~_OEK7K
z#Q|!wnz=6KMRk;2cG@yqY|-5>inn|_?RK`m2&wJxyXv)NcG#l3TZG;&>&-CFb=jS%
ze9PDRV&1LJvdggyB~~TnY_ff_N8Z_V)VnpWC>LtKsNy$;(|^k3Y^K`#zpEdbysO@I
zeo@bj^gvK^8Whpz7a<id_b1nisrH3*zPRk^GN11v@6-;l`92;~JH_VnMAv+{;bhV)
zwkUIpfbZhg45`|VWf$8sq*Q0Uky~_si_qU?Q!}L2x?Ijsp5-Qe(d1UgvWtEuy=99s
zOR6Wx^z-t|9^td@sOM^)@fj3aGH#I2`nB)-_d}U?zL&_mNp9p{yazPubmzN^yc=k0
z09v8!TmH*{>*DrF9d>hFzPuE;8_=2Y@nlQL%#4pGHymZ}*LAs^p*YKp`=Ut{#6`6o
z%K{gje5d%!SNmdqROhmbUM9U}i!!$e`Y!Ixkb3KKIYWJx+jjPoFK_&q!oKk^-=q15
z6rS0DGDZ8v7Sv3!JkagUWesp^{=4nP<$<69)lc_L1#~a%c)!xF*J+Eb%A&K%g1K@o
z#=c5cF5v9yV)RA;lpTsAI_4dA`XXcJa{i$+m{PpB_^ZI%uBjQ}Yh2DJs#ftyUo5`S
zG4HV37n!m}&o>C$wu1^3ovZuBr&kL67hyAe?kVFo*`i0yCeUvk=a<^|?^`eLc>mI_
z&nQXWy%N+X+3~*9t`9uh11W=R{l6B9EPAUbm@C(r@!7*=Hv7en$($>VE-Fq+Z0C@R
zhPX&<(en?ARebVLYT0uGy-?L#qS_aWBRavZF<bO}gP?8u+!vN_T+ToIreG;!-Lbas
z<zMA#pB4V?2DzwE!nZ<VZjA7Y-}mlwFE6}*xmGUqh+Y4ubACaK3-1fp%B3QjV!!9T
z`mEt{+ga(BjnKts6FbcK7YV8h2c<4@RyoWkXtPbgw!QU*r4Brp;GuOtw6pA}*B0$C
zh;w>hSmwB#PgJksv%Xlo!`6fEIA79g<y&m*8|Scpyg&CyqDklBj6;WCJelQi|NFl<
zi{9V!-c7Yq{^mCqG)&g}d)|w!R!G(S_mKPUinrt-PU?5rQYp}DF>`{Ju&k0~S;vEo
z5GN%fg%c#WI{&Q$J1KUfkZn8ENy=a+-RPWm_^o4+MVUaf>_sz+j;A&q|KC9qyPTW)
zbrwWo_mJGkzXYDxJx~+71J}iG6FSU5PEuKPwmIVnD6zi~IJv=@rC-<OJjhAh7mI%g
z*tYXSsHrb3RetT(y0|U^6kcCspwZV0jy_O$f$LDmoKm0L3V6bbSyTC2;}lp}F>9iP
zmAnTytQ03f9G0>uZc(Q?zOdRLWZMpMSk+;u!`$EwLrGU}vjkpuWm>8n0~h{@CJz%!
zh$;LpXKX4jcx5-iC|TYElogN$jIK!R+`pl|D<tN|W1l%4V)I?Tyi{4_uP*#mC^iCA
zXzb2?SdfEWVt|S=Q0b*Q%dPX-KCdsc!xr6#mKeE^62tnU$u3Bu+bwluS5lQ*=SJk5
zaD)5z@{316*%R)ji=gZ|0X2IziY&@k71XtZ6tRA4uT*z!FuM3?a$+~XTy)2>i;yDr
zi{dOd`9+x^O8cToROhn5MLXAnir5$CAlE>P*iLW}o5w#1REL5F2xiO+OafO%GH$}?
zDQ+hI6t{g6a!92u@^63f;1p`{`b)rfG5<vq5CtvjQ)jt7{(sZ$%WQ1L>%$w4IhM^4
z(#@Gtz5Lj7C$pw8-W2yMOz7gH$q<L7EV}3O<)wgZjO4Z-pxkK=E%%|pB)=$gi;(YP
zki&c~XZ*3h-BEWL;jp>ja{mRSB-2e7$WAu!UHow&a{M7mGKJJ*b`#(wnF4A_#;ge{
z$*f$2E`qay&z0GoX8em7H6SI~+b&440WHEn6sYh5WrdfPJ^$0CFRr`MQFauX6@<Y>
z>>W9k_GI>rPkEAZc@{mNAn64y^LtRrd_sxpt)if;Qs)Z+PnX&5YOl_MN`8hZkTSpQ
zsM8l2)G{Ah)SvnybL{`--i+`Zm)%Lqkou@|-eJElGSEa-#J16l?PBo>9#y`@o}?$L
zZxcHBCc2b#fGSB)SKzGjVKe^xoQe#^TcX?-*MTa?_7|2l9rF&izp#YXiQ3>g(Rq>j
zTEVwny&2(e(2M-r5-t0iUs%pL(s}4lI%bJaC{2M%e8ov1cWtQzrKv5IDL0-y?CG5z
z-BEVbX^S@2fbs&DT@zk-W`hFimZ<f`Vo+(12q-hQhwl}t_|yv*8T^lYWH9T4fz?j6
z3*Yzd7x#O-Z+Ug=qn^FaA3+1HkN2rp^*%yw30`Fn>e$u}4kc$5!CbkSE6jy+<s`RN
zKG^8;Ie`8l#Ua9pZ31+`+xchqO{l)V>PXh@>g5~XRriS>op`MG{QQ60uKoSD%;^33
zdbNOupc%5l?1$0HxsM<-VgJsq<WWw2zv%Dh`J7jO%mmM4o!y<W9X!YW={@TegP?Dd
zcG~}+8PE%z_1lw@XANG4^8eU|wuzgzAOG|7d`s4!Gk!sf6PG-DYY3SW{5gF+k8)~%
z>wjd)jUV2E7jyivS-wR-=-Zy_^Z)y-ICdU9#hEql`>k`3<tEIZ_IX4qe@B*-+6i&d
zCAH)C@0Yl>z4&MkYKls%OgsTGa?AfOzkbg?cjChQxc|o1pt;mp_It^i2K@2!@T+?V
zmuxmTYEzT0z7nb80<S{3<XWtWZRpxl{OnR}L)WUYCety8t{qLLmt2hL!W{L#7&AZ?
zV4FZbaDH{sH@>u3lj+cTi)wLu#Hy4Z%V(7S7b|`v{}?)XUEdFCl7Lr+43Rm%rVJdj
zme_LGgXeeotJ%BZb9LzRKCa?tr(&jn4a>#uE{jUq{R$dLy``X*cc!u<^28$Q?8rse
zVpVKO47&vaXGLR8!m%YWq?t=fEO>xz2FyPG^DXNiFBa`ohq!b{`Nv@8eE4KA(o`Yp
zS}nO)lkZsOOb>s&IJeLLO$8{&T{H+Pae}W7!Jz?pGLk48sHOqyl=*!Ad2eOcK$fn7
zTIk>P>aw2Xf<|3EZg~itC2{Hx*G%4>qE+u^1t))>1G0iw*)@DFYyuX#I1Y10jY?C0
zxLky_)L?_H3<Ir~KrcV3GxdjaChz*yWxLmJyV3d;RGA<4xHuP{-Do@+iFL8#8--cW
zwP>IiLrWCUbz;zR0lxCb<T_-<8F*9!Y0ms&3}o>dW*kG-!GRWQpiaJHw}7#{M7H9K
zL?yU;E1}uc4^KnXF&}F{$iNqdVF?J%{nKRr#C&?^d<1Rznct7xxetz)md#(=iMIUg
zkoiWo_@le3PiCU6<`UkwUHQkOzPs9nsLRhT&iSC({~%dzH@gP9#0GVR8zLKi7gwVg
z#h}p2BI$BMb`E%<V&D@{lMu8T61pS~JUrKfyn+X^x(<}&k;@~jrG>CdF=RO!D9+HA
z8bMZyp_|k346^79wBP`BsS$Mj8Yo;*RvYHMTC`IV+aeKSEI9EvLvP{CW%K1ra!c74
zubyNrA$$H^LW#<b=8I-e_}skj%kGJkc-|cN>lvThjF)_Fn$6}GhT1xKkg>K71RW2*
z@vX&DPP)AE%#M@E$86^vF*NNA&Jt?BqH=D9r%d2vi-l^14!)0SW*zxx(s?;ksQt3a
zxn-U*zLPCxsuddeK8l%jWTQ!EaHdfEC6#kaJY_s5TTE0dRPcRdGizo<I2vk=2*-my
zC_e+wRQsEP7m3?@yavs5|9P_vG-LhYaT8clzW}`Ce82G%u;i>Ru;gq(u%!88u+DgS
z@VtDDCuCkebOBgWTn;k3{u(?lU-KO@FVCwFmi!(8o-&_*?fWs%O7_X%CH6H1=fSh&
z6_dc0DDr?N%M(`b1o1X>gXM0Nf=zp~7Q6z!OaNqx>yx*WPuy0YxZQuo_W6Gpqd&X<
zV*1zc_q+LX{u_SkOh(U^oH!)*v#>mV$-A4AB&)ZjZ2z{%RBA5AqI7T2km;njwe#z<
zek+ykePev>%)Z+k;4Jd%CS;}Ur_Jx*c3-iLHcCD}?M>NJ@RCQUJ~JU~6#({ihrj)I
zA6>WS{{4_=e}A55(Yj;S?2>&a>c=&Y**hTX3+0d97T2uScyYd7E#z^V186m7mK+zf
zQ@~&FzI%$T$d~8#!mA2}*+ENNuh@uef#{t7B{_b{n}rL&-IUom;N`8)7A}DF4F0$T
z{#HumXZ;K6iQHjH1(h+T{H(d)C4x`X#qS_2Roe<$z`n9lBLmXIv5$PP&*P@EWBotl
zg;M68saxC*pLpEfB%^E&?jBt_7gAq;SyJ*;$oATEU}ND+5(N){mn0e<*!I!}wBS>X
z=LWcQ<6+(X`>$_$ibn5kJ4OM}8f4)U5SOT6Eh*j$Yv1OYeD09Q=YsDxz8H&IA)Sux
z)jKpnmLRRWg7!Mzd7>}ChITu4AO8oA<3)(&^^ktY+j$2;VSrdz>QW4|M8OP)B`=?V
z(*&XhgIVH!6cmYwWnZw)&D(jm(N{pjEa`MYDTNPUT>-8C_eR)^(u*;DuEo2<C!ws5
z$W%UPe{{|7?K6`9OO(HF2iF_FzQcDHK-U)DJza(F{cEnpmSSh$-kT!=iWQ_Ko{(jV
zdEcd0qx%x-g7PkK6@j#v6Vm&+z4w7GT8O1Wx>E0Qt3k;Hd0i-EZDZMbJM_?pti;@2
z%@0a_h(+$$1LnQ&-LMGAdd1z_ce$Z24Tp9Jc89+~T~Ph)%A(sL-Z|lS_r3>jl*mYi
zFQkI@E#5u7jOJqK8pN`ECiJL;bW^rh>!Mbu3bUZg8Sh$uLQfWu9?x652ym7_3Vg`Q
z$h_}gJJ13j<`w@+P*H%Whp~3te!rZV+uE-;s~WV({jkMFDYTXtbkX7N^&;po=`McO
z7PQj)<O&q~4?g_y!tc0xna#U>_rbeSFgq-Fk6%GcV$daw+kbD0MM>idv#Luj-Vp{Z
zvzJ7VeVC(X_oD?rw1aiKw!s)Jjln&$5H*sqhs@V|xvh{s!uH?W3NQ*7nAC-0G$+Hh
z>4a}bk4xw_9lJ(3l#I0FX~<pE<;UJx?tXs}yf{9#6kPR~2~ll*BCbvfE(@@BY^6J%
zL3XczT3YB`d|#5*C*tg+;939~6F&RhzFSG+*PxBL@@w$6IgPtbSeJG-XRL$lSOGP5
z(U*48wv*zBs~2?VV(Ej6$xl9lCNdM3aM;1q5NVwtoaSQ-h=PU;q*gy#KtQ+KVD#4R
zTyQN`hK!9M@6&K8hU}aHwfs?gYtT(N7~Me<Ens+ZLGVe3;uDBDN?q_V2olZ58W2qx
zNWBX5fPn0M!3c;sA2we<_&)CaJ`IeWX!4$1b*h=)|1Lx+Tpdl+YnJAHn7eED_N%Bx
z*doh^+!0Or2{-rNRzWNEIOVT%+O6MQEd*}-A+>}!XInSjmEFCs6V(nOg&wA+$OC<w
zz{M98x7Yk${O0|i|F7Tw|JVNiWBpJ4-}3*b&;MT({^0+=`*r`l|9{>8KmPymdf)ow
zW#2A;`?{9-|NH-M|NpZ8cmDs^|3B;h->(0^em=7je{zEBsRcEk>)n|DhwNFhztHpY
zdH#^E=ie{cQ0vla%I=i-f0|3i@A5r<_nw@XZBtpl=+m_S`=7XWzU$oM{lxd=Y}v}q
z>g#t`z20}>|I45Mz2l~Ao_At5%f%^sj!xWO@oCrU>yxerbGFWS{UABt?@8>VM6Y|t
zCw~9<q%{2fX8jV`A8P~5*{b#}@cHC&Pj$=ECu{rmT%CM9cJH)3@9s%Y6<2Ll|D+eF
zrJ7&-BzNAPcdvARJN?~juBCtKz2B2qCO@xx?@rvdt-O0R`{e7DEr&Lie%NTP{z-46
zvg-SSC$jVQ+<P_G{<qWh#`|26%(n3X*GiS%3$X=#@^ioU^5pBaalvur@3*p5eNy7G
zn^@k-u2CslYFjvW>+MZTCvGpxF7a2~dew8bOs=qYo_ulq^7=KA>nt9r1>IdT<vQb|
zBg`9B*Dn`(bPHl9SEGfc!<-6*ZIATwvnARu)%|p0DimTWENZa$=#X>rwOn?a)4Dw!
zOZ=aR!UXTw{oQ*#;KbDFERR%J9z9_weAIXY6jp_At$y5?EnL~^Fh@qg&qTmqJHO!j
zz54%b$zH#oa&sQ><T!GY@sSJjM$PrRzq>tkRjE~4FVHcW`A8>wQaA4%Q1HMMVL0-}
zVy>VV>5jc?6}gUZxcP0l>N#6h;hc$pe=_e{=KV){)n?3Od8EVg=#9?ZGwWib<MQ9O
zJyjLY4_g<<-eJsp<g&&U`)i9nO>&si>M#eaarUPwEyZ=Q?DEycAXn@?`nvP=n!D51
ztT_|C`(ko$>@KU!xvi(yMMp=uD`wq{+9u<n9kbQ1>u{TeuJl{!*9Y<|bq*BUC>qNo
zwmep`$Z1ISetlPT(WONXo;yS();wNfo>Oso)74i`-feZvJo&~=;;zL>Ug<kGE?IFc
z{E;eKopZWz%b^>`JacbcSeCl@ROy55Hje%$&bUe3wCLoOzH#HyuIk)?D3LER9@;(G
z8#c|`aBtS%jqBp>`9-gpa$IoQ<xsWNi?#K0%+^g`x8b0ux7O+@V#`fRt~cqfT`bTQ
zb#3GEZ89FKyEEoYW8Ia!aOVG2dzIg8{3rIl;*jII4e<vv!x!up&B@%WtfQs7=rMC<
z>4eu?v@Xo$(sk$GxMGXU6RzJPbIe$Gc^{m1Es$g5E30oera=^GT#)5DTO)DlZg%s$
zf(hDh{0nXgzj((I9aX-b*Q@)*4~Hn(Csu1YOVXWAhuxmE`#RgwrwZXWra#!CdLfo`
z+m=43j?n86w>8JKOGG64o+xceE!n!Rntj))l+s&*FY;Q#)<hh$KD27#Ijz@^IBxbR
zym2jv5`5vu5*_uPquZ-H?04gqL!sS@)89E|96iOq2jm6zormODma{g#bJ(!7RK#h`
zu0GpsPeD#e<Jmd0?M~8GwVl;(7p_~aF7qT!_6b-pCOE2mz3G#WOI%B86xQ+;8Moc>
zan3Vb_2qk1_5x*H_MMUJJ0+d-mMLDJf)WI?4WFb*740ruw1zpn^3s+nCmDZ>6KAbX
zoRugt=H0n$%egq|X<`>YHg2&xQMzs`B-)Jh)6YJ8VRz`I*5s`TCv;7om}%P<^lf<2
zr7QD9O!kQw&(7AinBXXP#Ura;-$;(;TXNMg@8E97h1;9O?(kjeSvsNhHh))v^MMJ`
z87EAamiBL0u%)AqAFK)_lsnDoU0gPA$&#lE+g~Vb2iq{~k`<R?SnP4R+eIMXakt%Z
za?abnDXM(^)-s{v!hA*Dd_`cvb((8Iu>=hT?zTIJoYzgg3Ql}5MTeZ%sjgitkaV^5
z%|>1JORp5J@2uW<Xx4<+IqZQaRP*DEBIS8@^7HPz+jZcsvQAq8Kl{#pz9NX&vMuLk
z@p?lOhMh!_yj0Qdz@_yqtV^FNe81@UuG#!n`@KxxOxe~8eLEqs_Astn@%uu>?a}Qs
zc3+RO?tILNV&1zr=_$|vt~GdKXY)i#<Nf=sj+vGxYD*^kPB>9paboKdltcisR<5Xb
zL%r+|U4Nd3a(qSdvQHp_x|w(PnrXnYK#YJ*;$G9=$+_(3{G?lBK2<49-kNk`t<4ju
z^95Ch+`@C7Dr|qHupKNEg&M%xZFjt!*L}Tp0+f-%%HCBRa?HExn0ItSbS7Gq9Zio|
z%5V7E_42<s=_%8!kFNS4aTux!Ipv1&?3~&b^ZK#~sHACnF3-L*lzpeDbKX+L>r<}X
z&2GM=WMwxY3@r3i@p^~vUywgwik>Q7pM;WXU(ZQevq9~N)ghr>vubJ<toFIqrnvp$
zgzUZ9`LaKjp6fRiu)bt*BG>9fu0)YEI2j9y!ICjV=-Tayy~;W|y6iiB*?00f=Pd@g
z1G#LtZScfQs%Z68`5o133lFW|wQgR)gxe((Zh!c?;No{?P;TS(>dq5mzr>Z#0k-6t
z@f>gvG~Zd)d<Wtjuh$wa5v7pA5$2YwS#JxzN4<VOrB7YviJ9yZGoGEjpxlC7;6$%g
zU$Z@Jav^sVdmU%?zW2Al1!VT|3AZaxY`w(%ouhm0jdPB9*B$d9La6DZjA!TE_83?h
zY;oeP)rq$fMb`W~r)_yBC<aSx5TRpVFWt{>zEm{fcIkxMhEK}migYt&TLo6HOYYCL
z1jUE!{XJH-U$esM9=zLn?Y^e0|Bl_^;&J;7o|H)y`68z_kZ!4>*?~*<gA2*+uNAf@
zpLlEX1TE*z{o5dVttBSkn!`zNr}T*wS&1Tc-koP7Y+fJGPps!Dl}Ti0-|5W0liB&*
z0#KAhZw|~9l$G~b-F&A}vHZh??9wwk+-E5EtLws)JwQ(!)&@`bq>5&PW3E)P%;up&
z`3r^egcGtL$AC)$m*b#p4-&F@67{nYRA4*>D|+Dlc0vBuWn223UX(!#-G_U{oZmfA
zyuR!E?&{n%lQRn@SeHxySpf<v<lLqc<sXrMR?S;Je>ty{-p-WNO@2H(C%4~OvEiVo
zwdIMqRww346shy?bVLqbVdr-#irb^vzgm6po|nQ~0&?sth4Q2mb9J8Fx>V&J5fTM;
zELf<F`{~`iW?H)JJA>JGg7scUt<kIvpZJLt?fz;$1(b1*1#Wq@tLl*ByDN_Gj!dwQ
zJh63Y>GxZ(()QhvJqDQx8{$%5fXkbt8BQq&CRl^>H*(IkI<@Igl<B%hYYrLhiUMc0
zuoYU`tFGR9^={)c_cI%%5AehXPhIkp{TbVS`|3?rzq8F#OY>QeH67mcPqRbd+xdc-
zTMG96`}fB4^_qz2sQjaDOP5}IGykDcW@6rl-<#Ff?#^1fUu(zqrUhF<@;;>R@QIos
z{RgCaU6gl}yJE|KNF6fuDI3&^OS@KITTuim{4NM<ng1}3QPVxcTUY;WNon|6RY>XI
zc(`%PraKD%58Qe1J>5U5{A$$pNKgjze#%zgc6v$c&V%pI&)f2D6}V*mu^&=UN9Ika
zPk=aSd)8#plNHr#e`d-YhuN{@_Wk*`nR`RCy<WfF8ki|4A@8BheCN%98R}~`r_G+~
zyq<Y~%h_Z0nf?)e;WO88zMd74_`82<Nu_&4!BI88n<`Vpobw)`r`2wImw7MsCzh{<
zwwNqVT&?Z4d3^qU>aXAB+mQ3?QTf;tU&6(AeT`TH$zkE&@3!{Df1UZVy!IAq;r)?2
z&FF92%skeB*9Y_~&z#->s&aOfpGo->k-Thv_Likzzuj5^t#bA9mYppz&o%#l;RVQ7
zmM5-$y`1(({f^InUbLk3T7X$|4e!o-WdbcREK=b1%^_wh+ZW3=yc0}Y@tDK=82h0=
z2O>9pnYDH4*K0W;QF#}&!gWE-kaa63RJTMj%Vt_4S{8xGxj@o6FHLbf@9M3GZ)b0Y
z6}GcWCx99!Vnw-`dqHU)(yoID-QJKFa4$}J>a^xNYnt!$D4K7cknN39*n-+G+&gn4
zK)G8EoC)%-Ip!UkFdLEyqMYUYp*idN&TCbNs<N-`V*P2ITOj|iWa`N%gC}BQMYlI3
zNrp!_%evn<<d}ESG4J4n=m<~_Le3mwd!$9GtY;i^+{^c8+Ho#98UG!B7jT%f@a$}D
zjfsx>&cO|9MS@yI?J<w_>!FpzqUJkIirYU-$o52Wx2{AHH~-GC?DpN)+0NUeG+98!
z6iU11>aB;M*0|*fU8@riebZ1|q|e_S<;r$ir>wJd^}*wy7z4F1OrH2@Py5)nAtm+s
zjfw5ecih=`^0(b-2h~B~1}UV`3u#aE>YlefwCGaNgx@a|z8{o+>->HTsBpXzmVL8G
zVQs<sqZ58#m|)GT5yf72T6lMujm#4}s}m4QW<gr~kkae>L9oJJEoill)Sg0aLf>I`
ze&_7`Zp$a;^7UKUtmYL!>;>t~_Imwp>oo^=c%&&*fFkY6@#xJrci2@PD%y1_WvxV!
zHvi6J)1J#GPE(G!aSGOCsYGu<ff^(2F|XsdZkJthBfdrprJZ4Ws0rQ}nV&e-?#Qx<
zvwnd(FYiQFA4=wN&ckSpL>oQ{6DwN%)#Q#<!Bq8`@%e=|4`D4UP%&;*of`plH>k0t
z^CawbWo7<)UhmT|7lIQda=NlwE&<AH=gI_HV@iI=zR;SyHSt8Q&Xcguw#M%S#de38
z@D<JGE0S)zbIfU-?%K_1hhc8!b<Rsx+&=65%tBDR8s5YJrACwn`QZt-BTlS+R-JZd
zuUT1#Da5#aawgyE61-o7lGRRbC*gYn22af7iq>A^1C^eq9rG?b<{h4J8>2}IX~cYP
z)p!>tZSx<c<%QI~E!`I7ACZ36Z1wR&w=)+{@Xp&}6lvXd$Ip4)P4;&j(y<%oHs4v-
ze5X%w`{fDQsBI@uYlU}b+Owx}iO|+4r11l;tw0`yb|Ap*A=7`k;0WU@+RayF-FD}k
zGg^ax`{UW+>FeXJR!vEe{h@mv)RVe%cQv<uJgk`%mwn=~C$yChX-+Adef<tDG;kVv
z{U*OhV(y937S$5dpFbSJXRd*?VX7*RSrvq;n`SGNrNA2x;Kq~k8L1Z@P+RzTb~d-g
zy#5;XPf%>iwB|bt!R?(3=-~iqE?ukkz212L(~*CVj4e*cTAhH1F57|>)*vDNonhsR
zK&1w}ag&h071U`xv-R4Rrw&ozrcHw4cf<IOM{l>@wde|q4flr|$h~vh7El=r?VOZ~
ztp!(fGb=5#&7MohPWBE{dkt<>)Smf%rUF#U`SBGk=POcgyK@qhCXstskOqz2v+A@t
zZxy~SP?ve)C;J4{JOU+4q(Txbbmw@@`5vgV5p5xq{vX(mcgg$GkKN5)3#s3b8bnCl
z3E|I&QW_tEJP1nCme4j1MuA{;YSN)wkPe`0o6HNX$)c-Xb-v1Bd3*eob%9yaoV8~q
zyS*}3P1^DY+@c7&cD$yvzgm04p9Vx5$H4r@-<y-K-DJzjoSUnlqst}3w`A&5wx{fQ
zto!Y&L5-H66E}GdT?)E=5T)_c%%%7z9@4SZG1st%8WXwo>+!CXJ$tvc_HD3dy*W?D
zL;E!EDSl`}Cv2_m+U?ifmbyY3Itw7q0yT7`LU&c?hD4#aivpJ}7dZ4E(&0T-)clFL
zxEa)%xf_~YdU{87?ux{R{*K$!*)M_RUVei%njl4ZSN?yV>7LzFzqXWK%Q23AJ$J6z
z4Cw;-6IW~Hx2vqlPHL@Pw)KNd;$m&H!c%Gsw@4HTqcw6lo%50uxBrXy*;w9En%xX)
zk(<9%Fi$+;3o0Fv8o3}Ln<s4i8#io`d7>u!M2%->XL}5&6^JyFaA?BpiW8>)bhh&S
znf5&3`c+}RqRD(k!fkhsfU^1S>r$U8U}YgExW$zb^AVPHkrW~)&+Ce8K@rkLvWc9r
zzIJvOLe;X5O_-f|;;q}d?R+s;Jr7$v4389dH-ZeJ=-)iEE)s3<#(eXH-zAUdFRN~G
zUHVkP{I!C3{o}nC<SVzM4&OY|=N9{QxygS!sDiV-Qu?)}Ip*$hR_=fETo>ABB!bG_
zHk)~{mRVhQ*24J3zanDA{(;M*(~j%jxvpZ}zkB%w{r4NuOjdW}{(Itu@fuJ`4XHX;
zS7&T_VE5F?T<i9wUDaz%FHD5xWY9Ryxmjy3?_gca9G+Ob(a+u;+-5x&f8wS6MEh%?
z3Us5W_rKnlAMQo!(-$^Nf(8u{QLt{a^o838lN~QweTbeH!R7gm{S+^wbKXKwe+{Yj
zWOdGasCfOwrVpSl2Yh4*BR&s;#(ZS2@t(S!efGh@k1fr2AWb81*#~NqLc4#E*3wgU
zP?<ChrU;|`RQfJ%$p*70R<|8RicZd+?sJXZ`Q2gXbyp1^%O!5y{{zuNv3U}8$tv4S
z2Rc~t8$8ltx^6nO`~|g&?q$fAE#C?XXzOQ?A;Z>cU2s$Bvq16psMYF6K&}O;1PemP
z1d!WLYyTVo6-LnZ(}dp`&IPrVY@SGku4CT+loQlbTigt41RaJXWwxcz_TF6XCQ#wK
zdZ(;~b*@O!$=8iO*SZw9f0~dTqi>tISbJO1gy_-<pmqqTSBlbshm5iO>N;=r!CS5v
zocW-GKA`R}Qs&$K$au}B_8ZByb{FqvFTPZ?JVI11S)xcAG<<^6Nb6GEerZB>Z9=8&
z58eJkk+tBV5vcXxdJQym18J>;TqISrdg+AS*Q3Dg|Fu>pK&>=%Gh?2MD7S7^ye>HH
z!qq2_nPXEofm;-J(nNNGGGJO+pKK<)DTCHh1*vS0S)F{$8r)I^jnRM`EocK7yv})#
z6|aK^Uv4>rt2xl<(2uGMH-0zju7#8ui)J5f+;T{osqM}&=XK!5H7Ga2?ZrsBhbP?5
zII%U3YdhbcNyp#pF9x;xUMg%)Jdq0yT2MI*Y5rcnnPr<e*ED+Vg%a5vT(iC3oX>pX
zragIU@`<-LPoiEnmbb8m=R8+{j+uZ{Ch}+}xCxV2VC`}6xu+HT&RF)Hvd($WL0w6t
z+y)V{>b?UCeH29~qgo%&uHGEJ{`jvK&#gX0%V|F;wR!k1F1F(5p$WIaZ7EP*MQ%%N
z1r=4iUZ-)6Hd*~<gtt_S%qN_*0*}7zzB>1XT%sY`U{2)bM5Mu-3lp;U2Hdkh)O4u`
zHl_kfJ}9Y|+4)_9;`UkPQTgk2ulYTyIOO>5g5$db6Rbf!F{ExRL}=}^xz}!k(k|G%
z4@=7~Y>xtsLzh@XM~*+lbt{%{m=JyT^z_}=*=*q>L!f#HX=Lbn<QC9K_treed!T{S
zqLZgmKw~%DJFo7!Y<0*j{LK@Ea(pe5ysdHbHi9~YyLhbU6-=-$od6k^$<4g0tOFaK
z1qsO&t=)VAQbIr#VdP(7=XXyOum6xf1&Xi7{C8J_hy9_=Cs2|EwalT7NWU}OliAol
zzxIKSpLZm3O=JY=H(!A~+#NU#Gz8}!;S@f(pTA`4Nv=>;*IfH!ha#s&x}=(%JtlhV
zdWh=F&yY4k<letmL8V85sCwk1HLLzvf3;`5*|+1+KS{mGV&Ea4OIF&~rd``D>H=$y
zJowoN8(=YARg%vdn7Q)K1Mo1=^uM4cQtVc@sq>u9_+{S$cOns^G4uF~zu&sBS*4z9
zlda{1uxZjZu;x(g)@hK|Eh`_mk@6fe+H|RE^|dPNCHujp3)B*511cIkjK}dGGCiYx
zns=T&bX;j|)av94*&nL@8$nYC(3TR`(Vdt-Ulo2mb}W^${9;ugY9{{p*AvduFSRC{
zCdLZuuKBuRS~V!+KAPg|lxg@x4I}En%@6Y(vP+6qyne;WzSEO^Cs^qEq-(Z^cq(mR
zd7(wo{NsddlXX9;*Fpw1krX0l-q{9E)TD}5mo|U;cI!B-weD+m!dIe57*wKyYDQ=s
z4-vZhWAXgm*WEx(5=eUsR4$=3q-B#5T1^$N-{e~~q1)jxsM7+TB-$Rev8TF4@|DG*
zG`^zEd_~4_n`XvshD?5(*1e?@vsD5#J#_UH{~hHs6OK=YkHcVe+(6?nygQ$<ufMbR
zn$(MmLypj47)W6g1)7_JR286s9jT(T{Bb)$eQk)X;K3u!wa861^W+m}O`gn>-&6Gc
zRz%D+q!A^Q?hkaXYVI^U$ka!WHh8Ma=84o<?Rgt6ibh-D8Z^1nRNm~A&;E>k{T}5r
zzb@Tc_4*a(c6pJ9Z4HXs!A%m`MEHm_Mw2Aw&&6Zy|FagOx>>wl>&e6YqDgfhPTkE;
zuK)PZPV5tCoReqgAL%TVLG<qU?k^wSyBgV7JO%exPF&q9`SKwDW4k|>cYp?7kY*d<
zV>=61p9GKXl-@e<)2`sNbrNVeZu6;K7EWeO+|~!@K4aeZ`@ocINL7X3lcVWzpeO+q
zM(jHeT{(R-<LBIKQ?3Pe+|2Tg=365AZmUF*yj)SQ7O0!aV*3y>x25>~A*j*;l~>T&
z8qmN|d(7jim*5d(yfao(MQitmuV>!>q;kz%^mbGJ`d-*DnUy#TXc*nkJ0ksT+UxmS
z)OD7x2Df!CO~^Lo+6C%nhVd1x<|~5CP@x7iXmrKoNtA!a8s_~^J9F8mHs4v*d<W8A
z@p>(n=m^VkUFSD=$tOLFd;%F$f{pBeC!>&a9Hjjs|M>k?&`9d4t&=V06~J0rpt=fa
zU=pMhJW>Mk%xT!b4rWhtxrFu_&YfrjJ2p?EWQ{@b_L$=~eDnk~VS_Z=2yN$VJPav2
zEMe1IAY~}+GsxVN>=lrc&p^T&G$Xa1y?3Adj7wFZX34vz3oW2Q%HH;v*KAKgjcmNe
zeVmZp@)tC5m&9=$#d$~r4&cF^{Ih<G-SU_BI_d4acq#=nXv4oV?0AoIPRY?SoC7D2
zd7`k#3*y-8PA8{6?^Bm~QYQNZH0}aw>LCpefP~s(wCxK(trWN-P$h^mv~}Eky<hy^
zRbRiemapfXR}UZB0hN(RE(SS|cV}46D@fvnPLqKYAqQtnx_ttuh(t6^!9z87a%8T&
zJ`g%LWuLW#wGn*K1f=_(px9JsF$@}o;oW)Dq4GONx7TS{+XX!9jXc^1HSsC?ySVH*
zA0Rb6pX?K`FnD4gz7_#2bSDR-QW`c)q`$R!-&N2wo8k4S%hQ-MTfav^29tujKykKa
ze=uky6*_ALO0}4?R({^{>1UrMwWjuMn6u6(5;VNxyw35{KfyH1rq;Re(HiuS22Cne
zo-kb%0~-GQ($aiqW%Hd*#qv*}L2abm1`&$UhYtQiMrxjbiW20!25JvU6?KEA?Q-9|
zGKJ5Wfr1n%*5=L-SL5Y5dWs+1&~@ht?%)AWtDy~jyGMpa2p7$LtH8DM!~{@>!YR}?
zaBlB{zY*1Iiff)K><gT=N@Xp$c`<X!)>+=?CYm0tdiPJnh2uA<XofZwa+#NHIcFv?
zO$^%X_yuVbW!??V4taT>tLakEPSnAiUM<^Jfq&~Ev!~OfugF(4{DsVAy^aITpx%65
z;Ut@cJ_iL#&Kd<4pcc;+SSw{+l=h@TkOYBAv9HjU(5}_jGPZ-q;j{RD2Tyo=5;Oz0
z;Ge@TXp;-lewy~^w*BGMOG&A=iF-}0*S<Y)GqZUo<M*i4yU|m#5A9Nmx{;)7<9_2s
zm+zcSo*KH~L6xN!9-UkzaD0{L@l~9gvv#Fk-5TK1_xeWY-HSc-H`uvePB2b<;-gbE
zD|FqbHJ6m0*aUdE_i;{6PC8j=ve+x1Sv&0d&h3qbPiB-nnPFh5HcciMG{elW4iZLi
zrK`Iy?Q9lbU0;5+^lOXq@g>T~TTU8oe3Ie)`p&__AY&(l!PG|FRRB+-ps3PlVJLvk
zv=^1Ed$?qSnI(96z=cZC@_?R`hMS*Q%qk36&m3Obb#?#lQ@boCSr(nKDmqhfGOg_F
ztxLPyBLbqf+~Qv%x-P0`&QCGR{Y$qlxC5>=PuNZfJHASCbJnxStElS`K#dl;qF#F+
zaD@}A4ILtK&U*$*-AEOsBv>dT7CIIJUA2RrpFs0dnJ3<YC)<y$tp|k!Y=R5iumZIS
zAfq8M&!b**zFu?FN;je4T27zouHyUeuhj0_44Gw0KK;3W!wsb6I2aZk-0hgSz4;;!
zWaj2J9~Z2N10JD3%Da#zj_OuW4#Yj`0-Al|-FX+3DWR=A$b1>Nq=T$Lg0%9Y4W5X}
z74_E721g)Cqd3madFBf;WOr~yH`yK_bxqFQOi-#rUz2nFm&rNMu*he~Y7C^gD{w6d
z>AZ{Ar);_uKU3z~@y~Za^N{R2cfI!s`;$4@tN!*8)Y<p$_!ZGF&0q1oUVRi)@;1#Y
zn6SEfdEU|Wg{!_)&&fevF7X<)IA^YD^zx<sn=iX5PTwPUBBfMLVcC2Ix&Ed7pbB$R
zzX11q#WJfmFU6|S#@{YqcQh~S$pSBhfUIx`zj5o~lFpJj8Qw_EoS5f4i}^Qxj94oR
zjy>z6Q;tit-8lssTS9J9gIY~CPolu8e!s3lSrmlSDTXTJ+6f*@oCa%JeVQO0g1n?|
zP7-+h4Si+KR>n2V;iX+aFR{Gwc&xA;F-!u^caV?<jhxs#k@|1)7t%0=tju`~%1X!;
z1!QH;T6fNCjrTvvK<0Kq^HSHFkKN-31@g5C$0v*3)!|zr3ZB1`Dq0O%l>!-n0*(5B
zm+PQ5r=bgW_C8Gn4QfH!dC-w0^cpi~>%0x;X64#XvpYBI>@)StGKrS4shc286J^DZ
z{RXE6bqEdStosOApkdhZ8l@S7+16PJUa*0p2)(UyE^hC!Eui`EY`b-jD-PY=yX(WJ
zR_GiWsA&f&F(A`w*1h$!-$5qMam=)peq#oWg@bF(CwU5!OTi0g{JbN+b9C!|J&4kV
zxt0T)*95JlF?r&**y}$BH=%}3%;&{l-o;5<A7O(n;aLD0IYufinZYY=PGx}`DiB5S
zS?txT!DF%8FGHp=xZZD-C}QW|85Z{X-PVmea^Q1opnf=5C#1-E4O*C!es-HYtYr#Y
znG=)!&=O@Z$L0x}s1stbC1f%xGcE3(VA?+G8=$dXNc(0JXt4oOH?UK&eA5K$#qALh
zQ3Phd&dEOxYyz#!+4y{kI?5s*B;SMQtP*{^6~U9>mx_)Uw4P`HwW?4vnua%5w(~k=
z9a#Ubb=9RsQmj?gIp>abMXSc%VMzNiYn4haxQTOf^3|L1&q1qEwljTZP6@bX&nk#9
zf)lwhF*EQ%fx=oH^9-o2Yq4A1tRCItT7=Qi0gtXC8ajXG8AL?cnQ!PbC|?hr*f_=e
zk7v3k_j}NqAkf^`q-%Gxc`I!)SN=w8FdY-E2d`UQTGR|`nH++z*a59+K}?LnT@<->
zvGmM)pyg7DGOnPeGPHf<u^lwaX0`g-H1H6hl7ZcXFt!~(<_3S!UOr1w*(%#?`h&T>
zmqXP+&780s7uTw(yyxIvcjJiW?3PPOkf|t?p%KuGlGD2vVRa93*i)g!%q7RXLlb6$
z#vV)i4V>XiNI>&eI#2jk7aqM2_N3(r=%@^64i&jGai>MmeB%V`wyV02K|N2<!d2*$
z6llg2GDr^1t}Tk@7bj%@z5NB$287RsfjUIU`G1`=54gAmO?L=pfMzaD!2={w0bBTD
zT6LADIUxpcVmEICuU>@@;DF|b+p589SIu85fJRm@hMvL8h~I7a#GJq0w3!{`UeIU|
zbX*2J0|{Sle8zblcw`E+-WpH)rt-wrDC;|W&Au(~JOdjH0{4<Z{)CJ?uPa^)o@+D(
z&oy%Ge6lo5E&Jev=!z4j>!uSPy*ZS}o*ebFvAQL4ty!P?ow|S)(2%~9^E%D7AkRXl
zRKQDv^F9c0gH~ZcS}PdK8X&DH#7at}bvB?H723%IHGcSa9<x0GSxFgPG9en=$^mB)
z#7fF@ce_QdwZ$NYU4JE<sEs%=_vVSO*BVz_sYX}wl|6xvv?MBCpLPv2qk%Ni(t2lR
zZ~d%yf?}X`PoQZh_ykqbHb~D8JU!KVCo^;>-=AsfFAyt@K?xDb$)IT`ohRpVQeo{n
zkZEmq+CkIt$jR$nv*Pze#d0z2)urE~USE3#8h?k*P(czb`V3X{MkCPT@Z(m0A=M&e
zrH!_20jNE?R^~~V>=TF(+NAE$3DK1&c=Om*j|ZJVUAKd@xZ`MgL@NKrOf9rgo2QB>
z!`q;Cipi6x^(L{P;e^;WS$~TYYpqTkoiCO9WxHE0awDwUzTol;{X1upVEyTsyXJXP
zb!&?j-(Q{Mjj}GQ)XxxJEx!0*eIZnB^=BvjU%hdgCHPC$GlwU7n_VevQH_78TC;rm
zX9>%{GCNV0<(xar{pB+EW{J>W?lVj;Oq|vn^YAmb-)Ww^#>r?FHAPviocm1i*}JWf
z36FIKt4r6hfBAc08hD*_kvPj!&}{2t#p@20_3Ob?GoaQFj#WABG0Jb^LEUrb9mi0X
z?;vHj%~z`qS!HjVdAkwZ6upxHYtAgLJSuFqE6E!7bdn)x9q{Cduh%l~e_{wJARudQ
z{6N{Qyu}qZg9%>e^REBut%sl{i6v}NGN^NpQb6|Q$IababyglU$P@KgBdRpk7T*L_
z=83<YOwOQs7s*=W#x0IjIp&hC>p<<%n_11Wk}^+_23x*!Y-Y29Eg*n2L~eZg18I;#
z)+OUua6FmO71ZcYD!lmsyp|Z;ZbDfF2Wcd!E%t&$+dI%q*7REk?`47dCNr|vW`g$6
zfU>ZobKWL!kt=^4w3G~K`7%<!1UyM~ZdUHI`6uR@&X#`+8!Ls3wk&18Cny%PaWcx7
z4DxDp(1aHM&Vz3ku*{!44`qZ0sSyfl0=37y)+qv|78FG&rNj}?vf-__qOUi`f107c
z0_<kU{1|A$0m;qfNpUlD418WQN|dkf^*SwhSVW1r?T#lXt)N6&;51NCnQq<Tq-XR5
zG%FDh>K~G>oMC@WK6g&9LiF<8%34cTdR_Vl9*^;iT>iD^k5$3ctw-eA{{#ytBCjsq
z%zNXK)oq7}C=m<r8k%3wH8fwtayR|+wVv%5fx1}cYuH-Qpfb{88HgpIrN7a=KKZgg
zRL>{BRq0cQwmYCBMQfviqd+6S-AwJwnW;M+|2JM=2VG%v^gd`}X4<Z*zlbTbU8}Ew
zMiL(Whpa0AYk<v}ZIw84H=DmS)^;JJN#uk)gacZb=Kb+4Xa&*6P2i45*zK<Em)VM$
z-zCP}-Mqs#F;-an&6;U;eH$){&bCOM91z8`vlG490BXf#oXEWvFM0>G2n{qX18LfT
zJM8@iNYgUlIVbqCesdi2NWyJ*T%7Za7i56CPhZnHK`jMH%f<y1xuDft(0UittU}t7
z15pHCx`UDeu&>C;4byono0ztnsZ6DK0%(Eo$4O`3fYuxLZCJ4-W2-!9Pn$%MG5^kE
z_P&rISLk#TxP^f{<O<p})gJRW@g2A|zW+xGY{uyfsC$g$UgNeqXPnm+?uE9)@U(1T
zBR66G9gjI7YlWa2u8<n{=eAxGz81OpESK-~#?>2s8m{x7w*fTd3T}?96Ft4dJtF6*
z7|Oa|<Z#*k;O>GAw-4sHO$C)TP4fzVI|}A;fY%J8?CyZJNxnjNci?K1u!2_Ym{)z(
z>W6fBq3S?gUgW6_gC}BAMQi)az?l}d78;yXkb~5!TpwOVJ~`{H_PQCg@9BD#Q!;4K
zM(%M-c}uMYY>o)58_|D+ED+9))&C1hj~=HT-+`JL`CIsRUj{91UnpA)8QVJq+Ku7t
zyslELvN{*K^$WWD3C+=v1wC7%toJ|$ZJ<kzF=k8HA?t%cOR3wzD_OuxouNy0-feAz
zug6+f?Dzq^0`4+%I%tNY(sshhD8naVaz$(VBtb)__?tJGC${pvDt$2B<{8^tP<}(~
zruqs>ypWb}9<+(Ga1VIe)^DSh%`pRz6=-cqQSd5c$fAIG5{E)zGh*Pe9i+so4Ib_R
zFJ%GkD1)xPK@S65t8cO(QyfVvL9>ad`>BvN5`p$p@$U?)djJ|W#5;|2aYFRkGL`c6
zy|LO-kbPJ2{8H8S8z7rfUZfQ&to;C*X1zEe`{*+003m4R3cR%obv^LW_`<he(qnf2
zbP`ElfA;b%Ptdl-tF<S#KF((^{q@*!8_LE-=(^+0UoS^*z6RT&aV~oG%j;p~pKXoL
z35vyTd{Vu-RexRCy{T^db<R$^hCJZ(+Ge4@{i6G4zn`hNeXvJS=4a2o4~n(VcMAME
zD1frY-|DVMiFJ_qePeL%&OM5+sFl~#kIk?B0ch1N^5pF^*Z94=e$JDG?q7WOZt0$y
z#1n7P)}erA#$Y3JpxGYCkj-b%^a^rS4jr=D`&NNtCv3<DI-@A&yaPUD1M1#@H)cRa
z+d!=mn<r8KSAo~0Kt@@><1V0z39(1k8nT`TG;+TGi6o>+3U0Yfum<JfuZXQApfxxZ
zCrktGftQcLmf&pI9t9e`L|uY&VZ!ZR*#1SFqd?~tfqQ|*@$HY^ZgD)JI(?1LwNAzI
zOA~JQD#a!?YnK(lR@Q*BKFYEoVdr;C6t_!5wpC@eruHFL9D}Mtq<s#s7S1P-Kk&A2
zDo<?PC46`9H^`Dx=t5^mWr4Kh6g+sdupGWzyia18fTY0_KRNV`b$y$_9e0#XRj>SY
zJW@=~w)O8@rsa7Y(ojkH`YrtPRoioG7yOA}UMOGBwMn+H^)J$<r?54;Ym2oxyrC_R
zgFm2)Z|<!!jlcW&4%Z>Hv77B#pzWzhlT<xuQ%<1eaVsDTcA#r%AggacO%HTS-mNmt
zn+92)3fo&{ehb>}@qDdu<PKy#!M$wgUa#$0XUos*s9yVZc|5j_Rp1E@@W!f`J1c$6
zwaj0q>c2h^dLw6BpEY=khi}C7BV4!7hJOdwlQ_3kK~~C~4gYR&+p*I%6}p}Xy!>Vn
zXsi)DtpnL`%ITc9Nb!12X+Nmge5!!IfwT1!GpM-Pwb^oB!Gzf*6Cn2ZX3pIUUq}iP
zk}F#K=fKVE!<UL+iZG&|&u{{0#d$8f;|bRVh2SYFMf1-SvN>PNC2m|g53;TWIyF_5
zZKkEWJ!5mh)ua<=|I6p+Ur+WdlHvX*>g0bVdhU<D^ejff>a9_+x_TP~TG~u<CKkJ%
z<2XD~?9%%C?@meh&0SGs?!Q@pkuj_1vcGKc_a2k-(~no0@4V*h@j=Y3EiAj}@=i7L
zpT2pQX2)o6QE-Y|zw39~Wg(8Y5Yb7q@1&jOe-N2HF>!+M+LOM|XWe;XzSA?W=<J0<
zo2T)ty)Mh{RK4z`Z{6hCPt>+cYJ80JMly5K>=<M0W`3-zxK`wEq>9@})-7VJVpnoD
z-?L%$kJ@xn)bs7e4QcyNo~@dkEh@*Q6S*-myXf;yHS^!TdDmvg7@;`)_j1Ru{^ogW
z)zc2>>g<;&|6#kX+HcEe1$i5RKWgTueb-4w6>u+heqDOJ@xr|9qRsc8r0qO;w(V2<
zxhShGQIlt%H{E$Nzi9KFC$ko^3Vy1(de?l;G=~hhXj*n1!}+MkVOx%up6|T&<XN$A
z-nZFzbj)|AWju`ZZoBrnk9nc(^(P?L7EPYbJLSh3Rp-^FJAcMZp8XeUy@2BS@Vm8#
zGdWwn#Z2bjF<l~J_es`9(MMU|jyLX*<Ies#`;L<N&YL>|-}hCQd^+55PVSnnvTJtH
z>78oZfB5E21O@C1v14$%#P*-mt(u&zQU5{g7$^e3>ezDw{iCe6C^(%8%wDD{aH~0e
z?foZWdrxkad)ttnYXAxpwe54Vi@G7APTc3Clx;M&#Ffi{q90_>#o004RR=d;<KX97
zd+o`zV&A-vv+pc2-x-$S*s>?C^gHizRe@U&Q6=-8M`y_|*!=CN)TgF78NPXz*Pnn~
zRWv!fO>FMgoQ%EiEI?}Y6gV_CEJ%yq_=q{nOjOxIV?$I-f9uv?zIjt;#~9na5OWL5
zxjO4k)w+{w>n2A(RlClk+cDw#>UaAdZeal>HK^z;`?r1X4<EkRwaRqo*O<xCf7Q01
z0>x_uw=z6lSJ(5ee&xGnHn%1yTEcdBUv1uQxc}tZs>#`{VlF3MhnC+jh++aoRoMQM
zYl|jl{|n-ow{@EGiOy?Jt`+;{eVu(r3lgc>mQ5F`?iMO+c|PmTEAyS9`9;0i!t=ML
zWNcO2J}<jy_5CMddrxk4;#PX{b=B^C3te!sIvDH2v*zxTrpFDrMSEw*E37+y=++;#
z?Js@TiAGd#KNgw4%yj45n8~-HVo?E`OJISN_j&f6W#&7tUG9Wt(%U=Lw*U0ayNr=Z
zL86mq-)aAv!ETi;e@wQn|MfiKwI_3*&${#Ma`UoJ%+_08tVwlVE!a{vLGg!g9!PCr
z?xD@oc-LNgvaQ$`WRn`mlc*8;dG;MO^POU+?tZMTI01@H>+4U<_MglJ$L74PDKLlX
zS!4w!IG#0|T-7*vT5i$N=5G-ZyYD|K+j+7!B3V)(Vq4PgYfruv`{sS0eMb+|8i?r0
zswB31hjfg1iWZsf{2Md*_FuK_;7p1fnGjQb*}Q75O<H($)}6X_C*RghzKxkc-r9YB
zrS<jI$*L{P^R~{}b<gzORsKo(`%k__cRR>U>&$nCwLFLf#c!5xp8fSFzw}SYev&SF
zd!dP=a__5m(th5cNRKIy>uB6MJ4PTvaa~%yOvmeu8=At6PrgrkbyDi|f#?~oZGU?@
z^wX_=l&NhHh`Gm+*DiMN&<R+QiP_NRchC9yWK;Ww?FL3$q9#`t2+Ky)DdgL4a7Ifq
z{&`RC-}#badyDaW)Vp`;|6V+Mus-iVbKIxNab+ll3sa@zHSwxVN-XE2P7Ah#Wf$>l
z@ibgHwdNY1ZpQ?t?6aWEGU56!-**#c-^l@&;B!?J)T1U_pEKQgIKQYJRJOII>q<tg
zx8?(vL0#bDc6C^S<5{)O;g=M^*|PMP@4G9rW0IYk@)Ki@`mH@V_xY?lFU@xb=NGL-
z4I!u~FK=pjjlA5t*4a^$t<Rh8yqsUO{N9tOg{>fuLkpI2h@p=)xuH>6x$flLy2;jG
z)vj})=5>(hRkiXNbHwIsJy~;=1C%k(75l#XGW*U-vz=ub2P3`PVWq9#{`eVLhYfr_
zv4RWn;|BcOc<LrwUsbyf3K66Ngmt1QbGG@;7ZwV$WAqh4rR{3zP?3-V0h8?IFW>#p
zTk-7S8}`tymn$cn6EDyEa8#$QbVe={m-hEtd)7C!9H=X`7mEUk_c(F)F<NhVA(7zx
znpcKtp{<$u4E=X^9&}5#iiNGcs^$=u(8AstHcPq&NyqAIU@!iA4=K)a@(kkd>^vxL
z%%!_3>T_%Ohc!zp4u(6F`JoyYx>ho(;@YHzU)lH?FUZZ7J_B}Gj}!OmYXZUGDwnV=
zX%8U|Yb*jqkdb=O;SX2;Mr7Q5_YER03btYDuiNY29d~-|4o(f)*Bsd9Zn<;EVRFHF
z%^jZ~9{;+Kt!&17LFYzQ?QaWRzZDv6shj+J0;n=VX*qm<Xugww=SkD}iq^cut5sU^
zPh7H#<e$&F(|-Sn-4jrezN>ZP!mqm?gDX}3{U>W*_^vaxcSzTjj#_)Nc20JYeBI>V
z7cdGtu(^9rng+b<dw<yZ8Iqx2KxxM&`y?#Ofo=MtcD<s26;e!pFI;!B_J{8~XN;5^
zbHNarw^i4LLGrfR{*%5%ld~J|$liBd9+h(AyvL<kcS=CboRex>)81Y<6fGecwf+|K
zLfeR~;FizzTMiBRiJ<Dhd~SBp<oi$5cAhjv%iB=V_zG4~)}Fpa4MpksC?i<K3u}!z
zeOR+q#xY|nT;bl6s>;X}48+8(b)4I+_i_6h=ebtLd<T`)R@a|EeSli?F4zjKd7HDQ
zMKdk?yXNDa^-}4OrpvW7_6lxgZL@6OJUdv^CA$r^mQ4F^a^h8?!J51NyC47lfVEkL
zTw+6-RkaeZMj^DdHVq@WfZA}=X2)dfet-8v&*rX_O#{dm$j(4&KY*HAYs`1HePTZ!
zWroyP1C=tUjkSjAPEeU$A~V5v-HAPYty_Qi=3Sf}^SV>Ag4=izGgI^yl-5so)-+yN
zdseq@a`YFq>)?8+=fi>vS&-(;{{_0QJhR)yTuxXULfZ}%*M5PUW1m~YU#!W!_C^Mj
z)WNMUu&^<>_JuVxK@BZPwm1iNd-OTeok#PFx<Q38s@tKW`g@wvbu0g0o^_{a-ARz6
zz?laroyRx`1SB|~-InCbf5Fq+Y^Rc~h1fM{3nkNpM<;S)Xm-)-ood^E`Q}~4$WI{A
zsk3811&>&oCAigQd;JNtG1Mk@?~snW0IY(D{#zXpnxAyI=AkIa{;cwiRUgcrf!jjp
zRRPrgWf`E7rh9WEC^n(yf}37Q?FUdBYnAy<vG?tux@hgmweSWOnxQcT;=-U}TVaD&
z%-Vkz0@srhbL%GGzM{6>-&@Ij`-Z$b55I57lgx~oeEXc~&a?SNvu{1Qwa|6Dff+1Y
zfm%wzv+vlgeavw8&<#+OLfbT1lfhAn)Eor0bh0MjUJffOp@uGJ_kGF^t%j4u8ZXGD
za6p{^?ht@#c4W&@V-)11J5TmS^p_pjd@U&#R2Rb(gQ6d$F7AsGi3r$ywnm2YYR*;}
z$Aqn*F2VQNch;Hhe0-Fl6I2(Mtv&hn`K&wd%y-7-qPJF{qQ|?x9^tX#vs%8c991!-
z_=42?ptja)-*uvj71yHr6t+Z7zJ1<w=iU6G-S?hMLh1V4O^=IR8u$3<EcpYQr!9_)
zeH`~!;pZo?o1j4g?e>)IKl!$5ayF>V0qzU_-l?|zw{PBcjMOJShdn9bt(v$jd&*?(
zd2GiIS^ZHff8o3C>k*zkt&&#e9B(enx>LCBq-@<}YjF9#DiR)<<v)DiU6>uC?eqbZ
za4%%}zO%Uggm3>z*{aFjZpbZrkXY7a>lfjB%U`Q7T3&>kcm>oj0HtJDyZ)j1PUrlh
z-Y~iO;C6laoa~}@|E%^?S>U$dn-WN8r+Lq{{G#@IPoxh21GSBJh~;G<*`3`ghLpJV
zG$8T#r_;_V^Q2n1&duaR*7x$$;vH<_dfLMi5>9rB-gl_^AbRA%+VD@=>))U@sbV%{
z$Hr~D*IpXBIQoXcyAP1k{oTRR*9nK`?|F2dPZzlsO<3QuCt?vlv#L$@O;C6oyejnI
zy3eQcGto``z%%!cK&<?=KMeD>9$T9M=`Yy+kdO_?OL=*WPZudnVlL>P;C0?UZ_@#A
z?o770Y4pSQUC8VhP^S`Uq(Iyy4BXQ>pjEi_*JFp6{?@C#tE57z{>;^Nx0m)aD)2e1
z`1jfO|F2x1cK^J*{l4wC{okM3|NHj2Jih3kdHvsb`TE29|0n+t-(h#+x77Zse@yj%
zkN^MkZuWWy`+tw+|E=GjX7uXg{=C|MU-$3({4@N}KDAYL+tMr_Z8B{XoW6GF$Jl#e
zm(0{Ut?lCCMD9l?-mJ+#n*Mny^Vg&0*~NK3^KAZ2d+!#K|1;0$&9wJ!rg=Z})UW8q
z-}}1o2bXH)`$>0eS|`<TsQwi2`lLAJiL=JZ_JB$J3sqV#-MF7xe>(i<ZYR%o>u>LS
zdU`ikedrFpmeUS9xLUd$cCfX0H+&0;`T0xSFXf?w*tz6~7Gi$M4-Lf5B|X%5rtM_W
z(OMi~(bgJl@v(Hr{w@FdwBy@<dmn$l<5TI5{U*QqwBPRB|NT2yv^p9hTK*Zr6^3xX
zzXNe=^1g)^-z|Llx}bjRCE0LBkTI*{-+_(U^%$h$_iFKXJ1))p{q2wSx*FMcx6gyM
z=da)Q_|)}>`)YrH)qJ}FlKd2SOFZTt$guc;isMt)AFe%kM>bsXP>t+6?hRlw^$m7C
z_NsnoodM>(7uX3lg!vKJko+HDqyMx0266v<zgw?X1v2GtdR=+UPpdoi%Ss_!v+|hM
zJ)cT<{O5T9@~HjETClk19grj5`3HfWP%i}b;P1&kAk9x~Baa{9p4BNnPe}iWN?eEM
z9)ZafifSL7d>*yVIKpk%DW3F0vkb)UzbkC7>HDWZ`{U=1Biko*rvL3!&+6R2UG1O6
z6V-_-Q#O1G|7rCErU}_JHxF%GW<!(-p5wr3Gep*NkAU)>0yIa#r68{DIS@xcfkSI0
zs<+ThxDbx)X*5}%N39YIJ)dLq9U`m_9ceE;vqxZZrJ~wrC!c4nGtO`u&J<5F(m#?C
z*Krao(KcT$4aUZ<=y3lcV~B=7N9;a3UpsUDsFD4t6E)vw{%lkHETjK<durVE6Ndt|
zLiT@Z1qV2qEy_>YQ0+vwheO;7VgcAi=&~ts9fI$qa7jUY;kH15fPx2`2`I?utH2#f
zYM-5YE@W=*YZXu8(mx^+*P*#bAh<$t*#{?|hpjUXaT`J;J_OH(v#~4sA(()y0gIwP
zHaiif%6}8Dvy1*QG3w!Rh1UES?)%vy^W;7~auLjXc}P<y#)2zsA73S^Gg=rvqdE%B
z4vB|ZPm!FACJXY*p^N8X9>OBqDxSn5caVUBpi}r2wD7f;uGu3HT&cM1latTW))}X`
z4X27HY3UyciR)MimS}rFO<VuSotlI9HfzVXgN4x5epoymQy+?=AC_@g4M!;Y6R-ot
z1?z=F>b6Ax%#3-;t}*p}rS|=0AGn-FS1dii*1{aK<JlC0kEJJ&+$y-}2oewCa)jYi
z#gkgR`%wLbBCDl;gyXq6lCRNaL*hCFPcRTrFhlkAjS9tOADw(2x6U}mZP+WGB&C1E
zB(5WKkHBTH1ke4Y$XqPy4sYLssSQQlhtHF-nvGEOBQXY-1E7ljq-@7<Wm3kUkR2bJ
z_Z&MPCv{)%vyr24luYAPE*B?@->s)ZI}JdQ5Aj70!&D5PK@Enuo#Q$$s=rZWP2xHP
z`4W*r1zk3CkAU)>i;>6AaU0GRPqNZKQWDqka*x2}O2uWLoqV3R&VWc%l-)oRK~u_e
zKMT7~xVppN*W$CmO8>|Q=Lrzo;Cj%V@uM>e?j-Dr{#0y3b~j9s)y1EUMbFb4=E~px
zu=$_fmRnBcDgsfB%^cf#A9{sPI(%Ib>~q0=`d}JM2tZ5{?3#}!l&tiRaHwm(wy01{
z``~ouLF<fz+=k8KNo@K@c;Y%F_Xrq+C3xz?<zQ^=irSV}U}{5A`r+>(T*gDy)qJ)D
z+Xm%gn#W^*Qi6ap<hyy1+>OPg!{;N|Q9TD&WX5LSE%)cg1o<2MiBFVEUOFYK79=+A
z<0zMTI3eKEDNulO)K9?(9Jqc^sBws%vGR-Sn7K#5xKc6glhc_etusz?8%`EaQqw=;
z1C}^EzYUp-Mcs$(*U<E!E2@}hhRb+}QXc<b>M#o-BIqu8Xnlf!B|i#}V7eZ~us=E3
zSiJ~WBvBV&h1;RNDW8&a>R#EkUR-RTD<oC3P+d4<%YmAf*;5PlX{a8&uJ~TE_|J6t
ze=qsx$IC7{{QC6c{`>#FO}qYn-~WfV|Nr{8TmJvc@ALQl{C9u<?~kAP*S|ZMee}b%
ze`O!K4EHpP_rCo5^#9-4|2XH{?Va;u!tqXiPjx$$`9CHUJ#v24$)9<uorORAuyw^g
zse1>NuYKT~x2diC!vfPi&FuHf=kNdf_Wi$~zw7@$>|Y;uusP#1mz=}w@DC4e?rCB_
z_e^xn!n4{D*0aMmq?xTxSep}fQ0nL7_xt`%m)~zy^ZWSvuOHj<>p#!FAHRL~bpQEg
zkNfZczrFhDZ2y(U^8Y6PufMNeuWZSlFS@MyjauUF)%9_Ie{cLf`K|nJ)0_V`?w<5k
zez)Sye;cbOzFj}{-SXoCf0sYgUuNI=boP-?zOTNEpEidulYVxo=TDe1>s`{9BM&+^
z3OlRE{n+^WpS|7x50AIs&xtWO@yhNCZ_#f4+Gd{j&i570|Gr>;;u}b4AAfE08IaI_
zkPwso7t`M@|3B96YW>;fY`4!~uYBG7w!`1oX!HM>INz@EKllAV|Kjay|G&4loA>|B
z_5Z(apTA#g+57+5`v0#_emuMX{-4j&@7MnO_`LJ{bpGG>@7Mpn`}}^*7xwzUpZ+Yb
zn<s3$Z^4gii~8$dSKHqII!FKKX?eT)??=DhWw*Js{Jq`YKacePeYyYt;m04x?f-t?
z|HE56=<EJDg)5jnqy<wAc$qK1uK#ZM_Y?p8{~ylJum5p0{_ihw{rb$>i`Oqz{|!EN
zv0uSX{wL3oOoy7k?-M)v|J!w(->%BGs8N9VkM`@;x&bl@qW)DsB`$ouU+a<|H0|H*
zplyK_Y>Oste!c&<z{Tmt=Z?=;`uzRK(^Cp2eWzdV&*tdmdzRkveSH{PqM5+Hf91Rj
zFRJ|M`A}DA%+cNW;VVc%^u6;OpXakCnnLBX?mBDS|G%lQd;h=P{TI@b?CTw~XR$7t
zT$*sK_wTRw3^$IY`7=$<e|~JEkVR-t1h-JT2Wv>r@1I}qGcNJqluGA35c*_yh2gtL
z*X!92oQZjPAcZZgXZH86_Zc^M9P8OE$T(SgUQ$d9NLEQwx$))o8HKC&{j+8eJ2}Hp
z*5SZB?HP<=y~TBsB^fVUtzYi1VU(TIs>`4o)R%H?-s%~Q*VY*SH+pg5#TwJUH}bFT
zte0fi5#TvVz5a6lzqGl3r!zKir}nWfm;Zm(>c2iSgZL?#O7@Ijx(gz|H=JfT&}wwN
z>2f~vSt~85T*Nl!<*Azw?3H5J5%4Uc;C!9Pj=aWdQ-*?tX9|<|=*K&-r*F9q)i~Mu
z%_W^zr63ER@py_iU0yl8@?>JhhugJ0ukNvwRr|Cx-p~~)ldPGr@sY~s>!m{1Ks<&W
z0fkchJPrj`oUg?=ck?jBXcX&M%xx*{Zi!`M(3@oWTF{ZhPd)LjQsQ*RhVGO;w&jyI
z<W1ZFvGlA4H~>oBcc5#WV(C1!`2pXZ=Q=`VU?)W&+weqX>1&lUYrJ@P>vs1$-WBfT
zpRgfu;)c@?ntMdmXYOA(8O&oi(AqUwMvQlE3opbqQ!K^i_#J9<J){Q?I!hfXD^6)-
zSHsmUZft!}B4mbax%9QS?~FhpCs}UG@$NwFIk1nw(E+#4$lWif&F|2eBd~BQ&SCes
zvBl#?>IcVTU6&iWluSy6%wXX*>F}bJlQ(3-0;B1&Cs--N1Erap>vA~`zEsJHQh8&<
zP%!b#MUZQt`ktLgym$qs)M;iiLfyhM6Av${d|;P;_cSB{aQmqzUWU1I&Jv%wEi-Qz
znEZHa$5#5@@e8xc8HWzWe^!zA^J};FGcMY(qTyBdL9OYG4P3FgF^+XVnXD|DLqTD+
z>(-8!*Qe_*Xf}>`3J!yf4^qM+<9Wh%g5&MZm(_XabAlf9B&R^*ik(jcqVtrD`QKHy
zC)bNWO*$pR?Uy$7>(PX%kQCs%|JAoY60GN7{HDo~skMePu7hnUT)c3X?IP#K%^O%3
zi2i?l@rn!E*9`%&Yz*2VeJRJbxfg2`h`rs#!w|DVrniov>2l`ut<K3%7g)WmirDfR
z9C)dD_d>U`v%nOq6q{JgEwk0R1xf2W#J1)c8MB^S6bs8;m)Ets?gn}Ll#KcNRloKu
ziMm}m4Vv>V>t*Yo2Rm*ei);Le;Iq{~6f;uhFTQ_Z?Nyu83=3MlCY_nJZ}RdeZ;+Pm
zC%TiJ7y9ZgJP>(Bd(#B<X`lqScTK1b*z@jut=sfEnbHH-pOBgt@hqai>gy)44?5tq
zSs9U+?7%h0buYvn+<wdBPA-arxKM^G_pk~MxjFN5?pm{+J0#X1c2c_f;K>7<T=(i7
zX3WUBw(Z<pkX0a?y(Y=<<et@KJ-6y4h--Ab$vR+~*jG?UsubUzx6Z<1ZdGb`6(j>o
z&GFj{G6d`_ONm=QG+58UT*A6MczsUbdaxnxd~If7&W)Q_gl8nDf(wDFNN;V3i|5RL
zQLVkYQr&{3H|5N)+yhYwJ9!wQR;Zj@^U_*p_0}Urk_<aM?rl?TC<KQ=B*N3zj83OA
zJaC$+%<lZl?sS&!mKZh$J#aqWyJl}c#Nux&f4w@Ml^Yg?km_ZHNZos8W)BJ}C41H$
zP{A3j|C;kR#K7n3x0!#5Sa3%(M5*NG+)7D!CY-a-{f5$o8*5}MCY-&}+0S|OTE4x4
z#oVfylW!z1jsOM3nYiZ>n>SAlmzm?Y_sr!dHwvAatqT@JTmdDtg=Z!<FI*M8J_{Nk
z$D1y%4BxuqI>b75=g{SGSCIlvKfComBq4nku5I04J5Q$R*d<}f8Cwt4OEPQ;@SGHR
zIs8XBMA5`Ox!+fTVkJ`h4<tlcmj@#ZXjZIkt=}5<1D5HR$6e$453}|c*Z-`wAL0>V
zBl-^#HhvOcME_lldbl5)hjlFGR>4hI(fGwxzxlRh>CufRQWCB``j=|K(0g{)z8(9c
zx_^TlFjd7;;*$9H@a#f}A2vQHd6laB4rI%uoxL}YAAEV`bkrS?$>7{p6$#On+9$*F
zvitYytvkRK+p~xQ_B>XxuU6Z3)W1IY2w?^^f{*@%xb&R=m!p55>3j<b++=PkIb-WO
zcnDqp8lD{sa>B$txyeo75PJO!<UmMdL*zgaqgWeTzxCQzq!8Nm4<16h{$;Iw4RSlw
z-cqQ&d~IJ!|6Yx{4)O;yMZ-*=GylcwU%pF!gxvFZwKV-~)TRAA><czVUY`CXJlhlG
zpoNoEgqQ2TTz_p6#GYhukX!;8rBZx5z?9E#c^pJeY35>toJR4J1dsSj@oQBfZU7~s
z>06gTg6qV=3Hz4pkMf53u>65qUf}v<?_b*^>p>~fCntTuMs0!AgtNC&_JcFn&NZgB
zlWRAd-2{33MD#6@Sr!&Et4y;e{{<(#jSo^@U0vh*4IIqJdD~{~JGn1%_8Vvbst2!6
z%iRPCmpp?jUwpqo%mr8RNTw7vFI<+tDgT-pBxfZbJb7g`+?3h#O=>6a{c7-QW%@<W
zS4-_X@2$!Gc6x#H;?2wQH|O(1N|}&JcM?{-y!~eO*0Xi6^84$iZD3cQyt6DFoStr_
zK?2VNlu~a)9VyLYT06UTGejw<a#<dC%?#p>$865N_tWl!tb;a<R!)bRf8uB7zO(m!
zt-P}`{?x?v{TJ%huY=V=%kQ)MA|Vz$(aq&Qe&nF+i8-!&`*Jrg2SpUb9pH$<Y5c(n
z``~6klj3YhkWXw@#N}Y+=jy-As$aMNSzdSie31TMx%Ax4@ochEbCJBL<ymB~B!6>0
z%#+CnWi`CJt7LDd?YH%kU<Z{7V2i*_hF7T|13=~Frwv!O-!#t#OD#MD&aE&hbn{+b
zISu#WAD8=S`D-Puwx)K}d|kFLc?CFF9Jr^d%tUh2iRfGNy!)CjBZ3!PmBHe~QsNRh
zb|-k?3|(;KMKx7qu`7A+{WAFy$h}r3R@uMIey2ga6*B1wF8vPAK7G^f0~ZyLI8Nzf
zFMr^$amDqOUoThw?(_oXq&0v0AbA4R4BqOP2~LzJZqM20vNF<|3)UD2)_)z|09NOI
z&Y$m(zy%wBKF8#VCl8#F7nt*#m9g{ffqafL?qB+B7jOToq65nE%Q)(MSi#9i##nx~
zasK}DZcxD8JR$q~Nk)OySEK$@$>~qOCGWG?!MuE_>t4Uy&CiKVbl_mw_k`(!Qf2bX
zPM$NMU;!6Oh~S^3!tRU+C1`P%3(EhlM%({3n1P%KNm|fS31kMSO^+U&)&<%dR$O11
z`>pc#%VKbdflUGTKoIG`qiIq8W^?JLU{}v`;$J3h0VRnBF)dFcq^O#-{q6N1;Cde<
z3+mE<8aoh2K<a&XtRlO&)ySQ%?Mv>rvoIHeD=<imf~y(qrO7E7OZ$u4Z_a*vD!4K^
zzsSe{lqX~wj-??L6`<x3&cbNhZWW>f2`NW_if-f*0aA1a-+uFXTaY@)K(%eo7f$Gf
zvRzvX3P?~nbUNz#_M7D(_c0Vq+>_fq;Ss2`21|o`dXra%Z-)h0`N`7S*|l&kx8Jh3
zyRd+{ld$6T?Kit&MPEdL(bre7;C;;I9D6_QK6XRR?O%e*qs|q{{4N{am!c%7@9mJL
zsg`G+!IiJM-{7_(qM<vbucpxj$q%4j45CQFsgFCgPnrkKJBU&pT1ZyDP43U0ytl88
z8SH6zqRNBh|4HUSn(uy@{f2VU5>A;lPAR!*IHkbJ4U0dyQ~T!3e{uWG+W5(`zYQfp
zjc!m~QotZJ8&pVw-NzsfEx6yqLLORh&%Kp4e|zs<r1XDMh8NT{fL3D4;QWf+8w4G6
zf0z94mDVR`e7)TOj(te05;;-5-v1cX>VgCsS}5T${!K9UDCbV?+jI6MN@BBu)#K2d
z7d^Y8Pxn#cWi@6{r48<xe1RvQ6)GpeHD@#|AA_5@;96_qncSzMsP!A4j0ULfc(!hL
z8My68sxQE?wtMTBT5##^QSj^1_dMNNNPDNKZjpZN`)ilJgByv-2Ve3Wjrz3@+){q>
z$0h#Py|qi<gG>J7O_wFRU}k`t6<_v7ORs>opq3kpWFLPFZfZ^J-Ldc4#^~rNu*$<&
zB-{TWL@K$Bc{SIWc&2&3H5EAD9q4B~oBp=nHfMj~5etjC3upLmmaU%C%eLHDBv{{0
zO(LJgg3m8q<C)918y?d@qS@xZpysgEnpbTvTMTOKf!adp8rSl^fIXmmoaa~Gm%O)b
z5Z{T-ITx_z?hCL7-1{WI+<kF3N)h5a6^q)|itS+?5Hl>(E`)cKXSe<UTd?s#Nn6rd
z0f-qzXBMm%cz=!SBiI5+2tmzwGQ%-m@!nd|M_>yeA>>p84&@Vu&HJ48L~9>G3L&WJ
z6O-9MR-5HQtmgE)zvb>)-`ij_K#ugiefQRuz2GR&v6#CsV)i!hh*F;P#iO9;fyjZo
z8&Em!)IJ&G7qhqR-kMnrwmhQ1%6TKqe9N>8=DFqBm%kyrmU}A>+)XMvvmpPL+%>0H
zV6Qzsz+0>Mb%R(C#9iiT62F{YCF(ALs1=)YCZKKWgetHFI}8tB5D((dR{RPzG^NdG
zP3sk~7moKzw(Xlx6~Vm{>UDMFDR5Kr&KP`Yy>d9p1LnV(3wdCs6ehPV)L$ZZ?cOu6
zbCeIhte$=RaFPbZ7-?gk+WgNOzL`N>$L*J{0a2+m^R^2({X|sH1_x9hIIZM=20IO8
z==)r_q2TDdb$bsubnnbad{I5See3p0u&2PG1~cC>?ZS66{_MRU!KO@n@a5~V!%_JV
zeV}O1w^{S`7{tHejB&35Yz8RW?^Q&+?u9s-6_hbxW+b!K?)|vonlzFJsvbkUdYtE1
z)#Jpq=CGhX7XULIlpwwyJA8`?Vzr7zE%%2F+ZZ5bSf*Xr&cL6|{Q>MqaH`E^1eq~u
z=Uc<&pga{3-GCG(P=%lbh-3;lD8(MYT_W}%5n>9coIDq>M)v^NpCFg$9ylB|4Knzt
zVo@9UZo{;vkRc4qv<uUp@?}RtMh?L)(LD_sSD9j2bY_A6Y1wO=?tm=-M*z$WP(V(z
zUX!{LYyl+y=#;|)Ql~uPbP-ZOLQMxbKl0s%vQrSNIsNWyTCbVA32X+)k#jd4zNHCq
zBsl+Ar-IB#S(n3~bR3+4z>*5ZHlWM}kpyQT>(utG!SF#?=Z!E6EYmKOpW@424jQ2Z
z_bJ+v-cEu0?fn$lYkoJuep5d963GluQV1@KfLQ=e3Q#jZK3M(+?gMZHz|1(#^ULpM
zBFqAC0Y=bo=+5^jKsKZ3%mO4wf+GMDJ}Skx{f|BZm30v7CRs{>%1e^117{rUp*8Wr
z7o_NTGQ$xmt|0LZ4RKnBmWo9!Qi$V>(n&kt9)D8^Dn23p0X0(6HQ*6ULF6olr7eqs
z;QN}^YoVchyjODBzTmPbcrsBpo{|ZPjwzOTXAHj1-E<ieTcClNnG1bj>98=lZRPtZ
zb74+PK6sKR6>f^Ou}|&tH(MZn0M(Fb8gNtY%t(A?oeFmjIIaBLAaM$ugdZQ{L2(_p
zYy(G;$Fpsv);L23x4wX9I}8tBDL>^0b2zwgfcX%dHsPKCXCS!CAV~u5C(I0l<UjN{
zf(IdH27(6!QsDs2Kya(UfdV%J)sd(f$msyMm<2~4c-F^Q1m;mlE~n6u;B*T&1DtM&
z2}vYJY7|4V9X!P$;xynH@nH-uW?^PPidifn*`1Q7y?z9RNcK}*hBd$9`&43W7R-PA
zv*P>j59^Py=1MYJ7-wrImv^4LJl(pvaQQTU3FR+F(ed9H+!|x|{hcv4(JrxPgHON`
zA-Bd95I@5%amtBj{>!@?0w2uEw@-UM+h0QUTqVO|2h00)XZ)<^8@R1d-z6v7!}Pi4
zx1sg#nnMza3tm)(7;v$Cd3*eg$`{VXE-vf$7gV$S`115z!kwKjgxxy(<ql|ExVT+@
zbMS2S9>FH&b?%&x73V#6<WXRmy6TQh|AS)2Hi4$kZYLjV_Q>_`?qN_q@#yWruOKI1
zd|Y_z{VV<io5PRq{oV49Gr8sX!q098E833l{oVRk_!vjO^Yz6|A9?z#zdQd{?-7)1
zUw3@>+>bD=`R|}w-$1m!4uoj^imFxl4@9eb<1>x@hwFbE${k^FDlpYz=zmbXJ^O*K
zL+<^CdCsYK58UqmI7uSPy5XEO>*IwsRW^ECY8mF5a~(6bd3Tqo32ewY>BM6T-zZf4
zHk9kXTqY=U0OZza_YW<GhaO+}x&rKzT-Az05)TVO;WqDK;rnUAHi<nSEJ|$eiSC)d
z?~Y`TT>s^7B2b;7s5*5jx(&B%Wr*!(a!buTc)R~&Mc=kP35k1tOB{xH+VU1(Gqc3`
z4|B@1dFC0oRq%mgE4|{}?p!v131u7h*nW_6pWh8?R__s<*SzldZvN#qwL6U^Z09Y0
zYi`l-k>`Bn_D2smlUtrI00-CeJ-16hZYYMhzWAQ54aoJDFRJ$Z-n@3XemUD>_CUuU
zpYIDu^&5ZhE8i`9uj$G+>lc+F*R1#amFPd+dd+5mvHQV_w$poWe*u~F^uo-=2{wnH
z-qWqAIHVCf@p}A?I<8{=#|vLyd|Y(vT|2YH`GTG0ujS_%xb2YHA*b4tzT?hrT>*ay
z<ru!0exYN=G4Jjgb*T3U>b0+Pf4EaLRsP8B{*OE6Y!iRP0G7;xNwPkc)O#+snz1EK
ztp1-g({$_Nb^C5NeH2T{5N5L~Zn4qoHn>;UbNuO+RtfIKA9DIO@jrfhyduW$c6TAe
zV@bX5d8WsNk8w<QPVZ~_$TPiqyW(Tc<R@1gK}qhy%*Tb6xsk^XY1qtO{N@xWzNcR<
zO9RE)>AlgjKeiYidI|}#r}uKtgF>tb65mDdr)AhA_7qr_*m9j;r%`QkudW9gfGj7B
z)6ea$Rm^wHvUdJrqowT(cAe8|XIO~k@>d>`cv$q}OrXKMhehwFb%0I!+!Z6ydd=&m
z@~3afHhRn73R^aSog4IoGx<r1E7-X^Uls1WP$pPns|5~SZZ~k~?viW0y?9gd6Ns-(
ziy*$fdjjlhkUpO2#rnq?oQ%8e|MRjw5$t(ywt5e%<gIm0=VTZcZHjpc()iAZsprKR
z!Fi6a(s#ss-*G3EMdEChEyxM4<)(wOvsJN34_xXZI1@bGb^NKG@_~+Z?hhrG)U};1
zegCXblF<$1JpQ}ZHhSey&iR6!?+SOG<}g?UPK>8Z`%lNZH3-J`ANp+hmuGshx2W=;
ztLs08oAO<Y|M&2-{J)={`S<_0djH>}r}`h4%lwM{6z;>%{{Has-_N}5|LuvlvW&NC
z-Cy$SbKT1~H7{lUUHW<c_tNgqOS}Ist)IIlzp8fM*D(JV=`tcG&Q~o~{9-I8)lk>A
zgYz$sAlom==8zZZJT(*MZ=J&aYhvNXX)+G>Mtax!wHD65c*e>8@jZsWCtjbZQV{zY
z$^XvLepB3<c9sS5k^0jV82_HA+Sn$4p?{5dw~v$krnqg4zIXc9OwXMl@Ll<JYIeo{
zC*Lpo{yy*ba#iv9br0snf?ZO1-mNa|Erb2%dA)zu+;Xf7d#hl-x@^MyK<f+Vt+E^D
zgXB|U&QzOyQhuCtZ}Y_YrEJRfuX)_+o|LBC+uR6|-#g=b&J*`*-C)IdI1j47&97W^
zaZYvF=l3`5>(i4Kd|dAT^LNARZ9@O&tq+t`j9+Z!w9l=zrKVSl^QV-$_lfHku}=HE
z>Ux9Ko@CE45xk%4`rbBv;ks>8H+`>Z-F2%@ulRiUiq&rm8>;s?#pY_Oz5mHox^*qj
zp6A+Eu5NquZr=KU@Y`P7Ad*MkfhG6s0!uD>36Wd~kt~2n=Inf~ePycYQ;wfprK!Hw
zPWzl<BZK=VtPcpk_C@)L_LZyOK7Ryhh<u;G4^pzX-RcQQgDDq?=i3j_umr5ZL=!9(
z3Xz%)ky-|p+5(X(g-FeZNUZ=%nP`En>9`N}r~4(4yG&blNjb&xE?n0Z5bnHUwc?el
z0<W?-N+H6&3)d|R2oGMdTJy?Pkylw<rKYXBW;w<BE?lP;AMQD0yL#fic`cQ@3#^6S
zxg9#|u|u45yV65Hl{+0Rzb6z(3%zqX<m<76opZawLq3%|4K2U>3w{f}vpaOweaCl>
z?Q###Dc`AR`F*bJcID^y=Kp&<FW;TGtU6?tnq2gLW^+!Xe4&(kDkt`MPN<x$@LA3A
znQzmX*(@`$Nt`m(AW9uh0|r83#%lG%4f9$~RtdSi_MEX+J#ojpmX}pR$6kBRSg)RV
zU|tJnwUFBz&lwxl6Hm-*(X19a_QrF@X7$7i^IANsh1}kH&e*D+cw=5mX0_0<x1JL!
zH!FNjb9{ET>CEib%4)G^ZzE@HPfxrzZ<Iqy7zjRl>pEk*c;dadmdffO#+jab@87fg
z`TsiWH{0ylel^bRfB5MSYrEWd-S35LKYJ>p=icosedPl)*6LR?JpR1ZE&0p6uxd$0
zw=ZF?tdBp>bb#?cgt=xvlRxyMrtG4`UXVErf-(I<$DSKcJN9Dl+HXcoJrAm;7}(wC
zhMC9#Y7u|7?LPKmFVh!LWm;uY;lc6v^WLd24WOoj+#?2v13K2Zvp)V@+kVu-c3v^K
zp5&4+f7^EK#m70|it^%vZ;CzX73aQGoJ(bqfYi6e%Z?XA>RZ;wlJksV8nr+z#g{OB
zFQz*q^FfW}=RW<<W55+IsM(laW%C^Dz7T_X4=pc0wwx}Q;BtMjVKY~v&7tB;-G_^(
zfdrKM3*#?(C6`p3gDRGLX!-K!Lrbvh0uNTSJYOQ-{#-}-Kt^1@{eRKdH;)LMQ;t#J
zYY~$!$>{famQBlNj{BbaeD@>W8jL6TC*PAhRN3-*iFo^G9pwX(Czm&U=6LU^&-Wf8
z?aa*i(B@F>rS8ME(*z&%JPAHn+46shc>8~d;)UgH1@j&{@b@#vf=y$5{_Tx)@8!pi
z(?N<SU$6aUyT!z>pBo~}`drfP%ZDEqQdumRe{QL(7dTYe#&2t5S0c%H&cRM~PWq1v
zhaWpbw9dDFUp+Z<j-33i9)@(4`CR9WYhILg?(Y07Ait?@xiFGW4?9s*oz-uv_eIV*
z2{kGBz)suEzK_3vtyTUh@=Wda=cguq{rm_wO@TPU!>)Db$!~Y;dN0GY9;|HRSB0re
z%-i%=uIbpzj|)$86u5m_`M~CI`=sOTdf-qqFuz%s?gY0bq+s4dXSfSy%+A?=Kd~?k
zW)kD`Z_&z9%aQepyQ1p733dX{lgqc1!J(82(L2GP^SPwm6kBkRLELL?hcKz>Gmrc!
zSdbmMlk&S(1=TZ9V<!27Jo9eK(MXujS?Ao&PeBAXNUyCvs$Nlu6Cis1W}yZ|7qVyS
z{ZKt~6zrLvC&8eAc)h%^s^*0e)0~MlS{CO&EPMnFPt7yF+iE#=&b@;1AKWRkzF>jm
z)otx)p}z`Z>51ouqc|j(s@eoX`vqd%7)&Mv@V=0A3$b-8kz~9O;MRKa<Tk5TwpW3R
zPIEN08LeWlJ>0qKc&DB+gVd=N1~xaRUAo|48w61+x*$Cw@N2}yR2BzkNzr5Kx2tN!
zGCpTO`3-sRnHDrw?RjCuv|wQm7xR?2M_Ek*`XxY?P6=dvA(?i?CJkaxtKg|`UK~;@
zj`u+9Vfw;joF!+xtA`;&WAPz@)asjTyjPOlrVBFgriL(9wMm-xOG1oE?Af&USPR=L
z!^Lpt_RNAAW8iUf+O3NYwsW}7y#CyJ^3Y80&DCe#8!@#k>=G5)nd`i`u?oapkfwE?
zNmOXp+L?=>0t<Vz9L^i;e`mP=G)IG*QP$ow3M^lEKEIaxyt{`XLuIkh1>-a8!A606
zws=vlC^PSs-D_jq7)(GuTX?(s_#cQ*476_5?eS;+I^#Y#kU|^gCDz?du7mhQLNwR@
z`?AI=3uc3}HF5LT-?8t1@cCM^zH;}60HyP9IQ;MReE?|_)$TcTPKV3?-Xbtp5^T!7
zZ4i#ATVi@xn|glg4{$hx9B!YV58-ev-e7$C@Z{|_HQ=}vU64Lw{&q(Qr#0}#Ow&gv
zqm}o8Lz(M>@tO0tRUw>1D_)#&D*SG~aAJ+x>(z1d^$qqLcYYCDrmyTEu_{7wV*0ja
z>iOBfKo)k13RR_*R(%Rvt`ANkOka3DfBpvMoLupB(MbW2xzUS2=0d{l{Ou(mj>h6c
z8gI^6eSNYvI35~olFy#M4S{eHMat4PR(%p%4Kq|A@xzSy+hYIf1|)`*WE|bqZOpJa
zBL3^Iny3FNnc}~Noq#yaZsN}~azEd^od_}}WaA$Vo%xY!^Y^BHf~Af_e>QCotOJL}
z&%g+~%|Uk6+D{>|!C2MuGc|wtACRwlJ}ur*leV(v+q6?K7jS$&eJ|`MC^{scx+nfT
z6ZP}W+NmIGKrt@(Z2I245KB(=cYT_9^wYh28!p`1z~Qs+rrl;!o$A}4rwbn7nYwnu
zS>xBAKObIPKV6Wa_ertx)9(%Q7S`YU_XlinD44_aH<iUfS@Q0>&D9R)FWBcd|1)A*
zFtNuA%xML27WRDlyrCwoz3yAt3z++O{(hbY;b_?NpHAQQB0awwqE-v6u@}PO`n<@v
z`pe$ZRSR=hO{~#!e<wKM-f5<39~pd9XQ$s2o3M8~tBx{*lvZ@2M%lAc#+%i#2fzUW
zHspORBRC+nc#fXiW1vv2&l3TQyI;@u8YY0lwRPc*nc-|H@6}ttYF9DXI{)9J{tObx
z5?ymEi|nfTJ9J^G<?rV&7r>quedgL;68PP$ieuS@`Ez%+?=n7jVS;hUHYYRwUdvcB
z`9+^6*5pagde3O<{Qu4_UeP>_#atJhm%hHZKX|=+Mb7ROV3|GNl|k-5xq{^j&)?5+
z6E=WT_o0Q=&v-d+Ry!X;@{A}a*nwcrC@WUK_dxcHN+QHFl^17jSNTyso#Bj!-Bj)`
z93JmO1x{BmESq%J_<hrfd%G2;2{Q0bU27m>{n@DF&0d})ur|>J=`ZGgH|v0StSu=$
zj(y_Z>y99oom#;Ja@jrhjS!awyg9?IxaqqSL@gu*NGpO&O$`Bsma5CQI8KOKt_#Lr
z&R44+0s8?Ik@~F#)$dNs%svz&z^YdNY|GbsRU3O4l2)X&`pm0*>-sIOdSeg6ikYXk
zJG3_5nE5>}<^5i9u%AJZ@^_DTJjg*OUxd|xQeoY_ZvAP34818KOjR!oKCQUFQ9M59
z`c2yf=_}@cf7A{33dng-b-bw|J9_6;esuj7R|9w6mGjjfk3yWcd6BXHzJkz>6M-qu
ziXB6ODp?kMPwP)jQItFNWTts_%KNi&Ag6eRNwm$a-0c4C-Wz07HXnzWa(IJr{<jnN
zX4^sngt4maS7rV;NJJ${ls!8uce5I*R?FdhfIZYyBQ2i8=l0xGu7;^)eIfbk`QDq!
z;Mi%6yg1XmddK-xmvsRfp87AWOJC>v{;J$*4uv+auoF*ahF7P6xeaX)*KKwG7WWp}
zbz4EMo7j^#_c$bUviiX}c51`Cg?0B<L){AU7m7~j?c)8vWUIFxzvg|98RU`mP>(<y
zcMIXT@ai4@tK7J@N5q#xLoTmBl|{f=RXgR=nccRVzJs_9&Z^oVU*1-(eve|%ZKy$s
zH)fVsr@X%l4rfqJ?)-O8c{M1?PpvTEvHqOb|7I^#ttdE>A*M=-wk4+DdpmJ2OfAzF
zp0A(pK?C5>nipUfyn`eN(S~`I-(A1mtAzv)SXO`2cc?6=qH?>p8<MO_W}gIkTe9-~
zK2ZEk3gmntnf7GwZ%B>-#YuU5%KN+U1bVn<PdPY&o?2m$VDb4~_Zyg6P(3ygW{0So
zX8OI_z&+*8V4rF5Z<$kR?(*>-FT_QEG?t?9xh^Q5SyA!64djF=fpt@Y&h3$21n0B9
z5KIe!8)fgMnSPHKZuAPKFC4~N_jW@v=M?#+Q;grYX~6g)j8!d?qVMktfKs*5ss5l-
zGs~St-fx8#G7Pq#Z?$~-Fay#!dg`wE^h~yJ*Y{g3uzZ#1b}w5Poc~IMi{CTa9`4z5
zT@B<Va8YLbDQ-5TC<A*a*XbjS530CNROdnpwoi+v6g}I@c@)OiayW0G40l6M(78Qk
z3oG(PAf9J@G1Ht&<b5v_*u`2P*}w&GSx^J*$=*O{nI3owSuLnl*t5r+3*@|0D-66W
zKf5(Pf~keXj$0$xW8m1)2!N{vwd_9K(|~y8kjB$9(yU$EbLzp_l667)w725<H=z~P
z;wi?bzjebT!KQBKtCVEC;NiCY_?>s)5IR~9ZlGy_Ii}`tkL2vuyw|@AQssc!i<S0?
zQQ(>?aZ1s%={`rJx9ta)zTm)vaX`-5^!L%*g^*gE@x@HrFGp`XLsWt!<$l2>!Jz|F
znK-2=jlcHew+ryP*!UBSW8h`ExqV+n^$R4&z&H|1=iGFT-(&j)>~2V)LOGz=c(YLd
z-mGtsU~HHdc{y&6>07W$kmQ<7xFjT(fmJd~`rhVefP@WLF`LmUNZ1h4=`0C~s%u-s
z^L>AVi(V~<egoy_TvOMbe|`BU<Ee?SFYVM?njOSi{v_(lwuhOgKZx1PdptL?`FZZa
z%hMjbWH_I4!P3Y1^!rU;eM=kM{Q~n}$7Z~Y-MVij--i<~U*_(<JngP-gN?TR=4a<t
z2k^WP-+%1>WsisY|3CcX|NrM_d;FhQ`Sl+^P5%*mZpv$M7k=qChuMEWleYgi=f1_w
z`xdSH3x5^YJ<h3rEc5T!&+|WfyZ`of|L=V$`M2<=vbpo|ADVXc&$^f0dbm=-CV_dE
zsN93J7WTXTYnIMFao%ES{kdcFzdB@;nMs{sTVZ8vcYy!c^M4;dFX#VR{buLiO&_PN
zzF5Q_!<(q}pt3Ed&a-^G_QKy&KNM%Zo4>hyLEip5yPsyoJP{1HGr#?Q>$@F!{3iE{
zo+|ILEvY_zbw?iay4%eQx5xbS&a1BYI88gg<2G~I_L!eecjTCMKdpKa_V{i4wQJ7n
z^D{%@7kszeEOF~Lw`>&m+_m|um~E7FD}OI=e!YNEH;6%Q;*>qM7j8+tc>Q^M`<^^T
z8zt4s-}ASAJ#TeDS!mMs@0MG>NyapD9Z+`m%fDZoao2bUn`nc3#ft6EBcng>VUQ|O
zWcqNX_JU+l*6X>Y4eo7o?!`U75S3oS%f-;oW4T}LWX9AuUxv7i`<{P&$+poYk8S0i
z>oa6e?%)2-YRg+G*}$5A=ilu7b}c45dY!n#V%<&#8>cUOzFxakmM!~0aMAO%TlsRg
zy17qb_`q@T^R>wKZIO$!0~jh=UQ}kU*{XYudqE+OJA<5J)xBR?xz$_wz^cO9w}rt}
zy<X*fd(}l#4F;Ry#pNCIwk@q<G_mkyd62v4`|kJkKfdkX_y67T`d@#S=kNRf{e9h^
zKZlop`8xU9+7Ekw%3lhfGjaFBuods^U;Xknj7qM&zfV6(eoJ2O`?KN?PTVcjzVlta
zl<C9U-%r@(r~Ku4UMyMn*PHFZjNkn8|G&N9Uh^x(<fpm)(=+9UGmf0~H#~P}_WUFn
z3v>IU5|{4(5lN2gU*ETS#rYUn`|zIfi8lY&|H*#3{=xVERbA`tb49Z>INY|r-Wc)r
z{_p=+gLRmCAMA~gn0|Y2#GQBi2cPe3fByOZs}!9c=f`n6e(}oR=6=rAVKTo^z0sg=
zU-d?d67#>8pRfJ*`S1T%nqrN9U*dFnnE%A-<e9H{@HuStuYdJlMY<g>SLur#%Y6~2
zllOhogU?~%zahf))%s$m&VG5GUuq`S=pfVEB-h0Jqx<}h)0W5n{+j-x`q@`oeui~+
z532Y+ypCVQ7=QmW!-HqcdCwX4|5KIv@YU9zaohXy^$pDCyZ%aE{VrenSM_n({^PHq
zo6q+Y&RZY<Ir(|Q7QZ_ZyW{2l##HY4r@G5#-TO+04<8u&iyPwq3-SK=HIJQPzt}9^
z3O2_7uj>E!|Cqb~-kmtR+8&En{{{X|elb6_{`a%G_r~A+X8w~{%=W&n=i-yQe<dCt
z*z?=)*u~24$vk0o-;;Tqr2o!P5{ni6JNw0b*Z+#Y&ae27x`Ds$;o+>$-10%Q<9`&~
z`g5rL(lhaOtIz7k_|J~t(Py^5;BQXd!(Ffq{JTIK_)R}Q{{H{ltN*<(<m?un<?onx
z_8a?)c*p;I7o(g1a$els^q2FZG>E8f{L6XqcEexJWBRUnHBBFzCrZyxu=y_gpJk`a
zw(xBR^A*Iuew!rtO!&#7dvV<dYno!NTzfw+4@4I!JheELIAyU0d!U`fqUVQpM;;IV
zZgBP21#6!jc3=1|ujAi)_{nSM_Xe!LFIf8=0SRs7-+Oq;8|U{1roS)TR{BzrSJZ25
z_wC>Q|Ihz_eDnW${h#yi_x*esxpcw#|3<a<{`@{`@BCRZdUeg*^DHi>4miB}sxSHd
zXhMSji%IL{Q@RV*H!#1t{cp#u-~GGV+K%l_u2=ZX{QbJ1%=>k|73(!*Ilh;+)b3*Q
z3cIrZ-}<_sT}u7EZ$5laXKnv#qEcp})F#^-ZBk~EclO4`9jeLhkHdOod!r3X?rht3
zufXIS_nVA42X9PVJ16(`Q(pINMcdZ)n9JNeb#oiry@C|&<r}A7zHuru=c&oIBB^N0
z-nDa%h502zg<s}8E!kFN6>T|p?VNLA=e8w(Y*UW5yzO^Dx-aKxj`f>Ieb=n7ZB&l7
zye7REO#RLWQ@3rv)NW2NHT&8Ikdo@fU@E!*Oj+B4DQT_^%8{0rZ*A|BJ$Y*8wQm`3
zJi^Y^C~Bwgn=sWl{=})7`YBJ(h;J%-#vN@rjj3Js=BbTe%-);`>sz`m(S2KyjxMkD
zrm4xA={F{>mGORds4wSf#3He>6z$`aHh|2Zme2~)GVKdU@fy}zkg{w`d60srGhoxE
zeF95~z5q)t76D87HOk&Rm6(2F@?1s#vNoHWlAltj#K~^^Q+~cqo_p4@;xGCC{yv*u
zo)Yr;c$2?T)`Ra&9QBF%I}gPbweEewRee(Q+a&Ebs^K@h*KL>{1DEJ3JqdDf#LZ<J
zHu)x|&OUU?taWNGm-cOuux*-aa)K$7m^w9AOZ#?6*tV5xa)KjoF59%pH#K$ksZ(a8
zNNi1+y}$a~?;VP!b?o37j>_e{X4AQBwjOM}%Oqi6&A8!JaO&0Nr&jq-4V|yG+AcJ#
zcGa3+A(3BKZlZ;RY-@O#NZif9id6T9Q>n+<>h`ey`<w5D&RK5^t3eZ@doHuh-ha0)
zt6ACpVcU<J+zoSIgqJOfzu8%rs{U&#PEPOK7v^P)?%(LFOIH6y0cTC8U0I5DyKJj@
znaI5xffdQ_4||6)XHB35$Uk!x&CA;E+>k6vPCwC$lQVa&VtHBHotu(H>FFm3a@I<I
zx^MftRwv`1PPJX_iP`o0e?FI$yjqibe{zphW%2!O62E4j-~a!w{C>M_|3&vmnw&qm
zs`{{c?bn?@%RlALm*4;Y%WV07FaQ6(^1J)N@2>tYd;349$FshFecHkE{`K!!llHy)
zE!VhycbCkMUDYQV-?K;GUc3)uwjOyBA9c1KK8e34I6Ob@McLkuS7Z)5xp$|)u#$T*
z54WuDBu+5CX)`N1;zh<*^$Qc%%77$po-#bX;lxFdo^3^E40TFUw2xDtv#Ds4Wm{`s
z%F`5(ohMJ7d?q1gHEHVPvxYh)D%$F^lOtZ72<rnW$$2^h!ZB?-+L!ZmM*k-#_iaUI
z+$$F<M_cCnpK<e_;q1SU&D#GbZ2D^@xq(aHc6WU2H~-|E)13QRFU@wl6U;F0^>^^`
zHhoM9`-DGvGAhLJ?p*#R(N3L5_T;9T8Rt2j-9I^0gXzT<8<Z;-*q(XbGI8-w9^I0Z
z#i#o6<lek^c92e#+U)&Hn)|-Qt7MykSt)DNK2I;XFmcV*oPU$}tR`)p71=fi6P=B}
z<Om8D2z5hGVRNj5S-mH_?9Ee#L{o|LyHDg4&%OD~YV+CBwAruEm|dTlyUzG_O!~H+
z=SY-Ty>p-W)_T1*^Z#|9&szuo7Mpcz|G)KrR{mZ8?|%IM`2GLt=WYD+=XUG*`l3wv
zgO7eakiJ_vtBC)9^U_QF)4c2>wEiZn{L-wtjept=yNIOU37u~Vb8>$k%sR~<9NpHJ
z^K`@cINKZP>*d~T4(I=Nb2a<#H&>f$zr8wWSN%0%{$8&)o5MS6ziDkeAE)~5=ISGM
z)l+YzuNV6LCNv2{GR5vJ6R1W<NVvXySM9#72&6;%pGw#t&ow_LM|@P@_{cx$==>vH
z_MM{jLO3OEZ&cfs?3;6Z){Q<>sE%(ZI^RwdzOAgj&D}4jf6fg#%Z;{0N!3q|ph=vO
ztE^t}bN&Ax^Y7Pwwp#GJtXl3y$<6N*XQ(#+S#yNh-uV5G%D30!_p&R0wp-G6|J$7J
z|4PqtCx8C^;Pt*f>$S6P-}(ReGrxV^@3VbZz0Q7{@pJe499j7vA4|Twzn=YDE-Cn|
zbKSN3+WY2Doq6`{;|o&Zr}rlQE1q*7)B?@t`OG}I#^Tuh_04<Dc4=N!a1UP9*mtdw
zS9WbvQ(u^?qx)i=4U0?nIJm!#YVEuBkU@6sYc{J*Q<Lr3Ib}g*$_j7+)5C?zIeAL*
znS`j-q^ZVd47EyBw9{uKhrI9zJ7;iw#f6D$<|Ot7g0eYC$)>5vH0NBC`1*d{U%Pj1
z;fH^H;RH9aCcgXb?f?7b>HmKp>Hm8uKllGp`#pb@U({@0c#D1h*ImCZbV-!e_sYEg
zw~OH-NA(rkiko#0-mMEb^6uWfriS0IV>ByD_DJ8@v+OL_9W#$=Yr(Di);&(Db+vh0
z-JAcxyY9g|JL%50*7c7KUax+<aQ<#~=H2_BO)IazTY7SL{N>nNckeEdD%t)nXZG*i
zTlUUVKe+zixtq4W6F$%F*<W1t{MLz|ejE1`?+ey?KPNso_3q8>Ge5G={1$LLZ<hZ2
zd3(SSci8+4`<_I*FVf4ZFCTdKZsq=+`%hng-I^O4^`r0cy)_$mS68y$U3(z0{Cir{
z-Mh0n%gWaWzIhk7^u}G=3mbP=FHm0gaNda%H*G^EZZ6;B@Z6fS`uUql?Cn~S)773?
z=}kZKuKe9j!MyhOpYn<>)E-Y=|6||duu#_e+qOq1Y_677PJf>#y*t*f@1CCUp4erx
zx1aXNwA2e@e7t*A_0N`ftFB!?x_tHT8iyB4HWoa7eNXq<g56)QZrttNx?y*<WODiU
zmgDc<E$z8`H`=JIJpbg1?WO(xPu|=+Kk@V2DSL~{@+D8)JZrWucIO+x-Mili-rsQN
zwsDo&@x8|;ZjOF>;^ywex8=L}19t!9yQ|adY+1J1=i%(9@Av<I7H|Lm*}2|#&GtWk
zwd!t(ZhgBreYN^+p)XqsOe1>jf8JTSXnxI|?q|;P=f~}Q<To|;{PEM>PipMv<<E(4
zK4P`st-G|&HX`!*b?#m$<8f<g-QLzs=hjb`ey#JvP9=S*)q3w4rD3Z+FARC^yz*Ro
z&|LndR`On@c2i!3WT|Lgow;QHnvnFMRlz?GpSmBa$MtkpfF9@5t^hrbr&SMPRpTEf
z1^8t>T@ZFI^XZH*zs#o-!p>zp?GW>Fwp=jvbEM_Gsh2G|RcE$L@^SK1Tc|QQV3MbX
zr{dm7jgvZ4Qo{Ca4wwXCig_7vtIlklbjHb(OH<3qQ*5D1cfcgqgW6M41idzKs7AI-
z(gBOM8iX%YSsgGbRO6)9l$6jU)hQ{WUYod7BU>k}aq{F^nC0YYwoqkuz$9OdlWJ2^
zf*<KjNfGwi#Ho6-Ws=B)vV|(U11804oYb3=BJQ<`TlMBt8BW!kt&`q3d2+>9a;RFi
zOp<Z(<XfoH9x%yS<D}x0Cjp<dr#unx3i`1*SmUJTlqVuyMO><ut&`?BdHO9>SspMc
zcqQ*b71r=u#++GMLR;3Uys-1UP&;YCZxzSyo=xv2v)onZ+~qG+BA*yA55zwFSH&lB
zvVorWp%`UO-V7nDR+WHVDtD?U7kF^}@(wx``D?e6Cl^S^d^DpLFA2wHFhZHe@-_@>
z5wa?d-vjg9kX(c!1#znTLkj{57M>%Z;N=OBFR{5F5)}QHUU1`<1qD=UolA9^<M)$I
z?<TX}Rp;L2FIF;N=Y?J5h1yLEey4yXlzmh;!`Rpr3AWvZX^=Q^h{c?H@iv_^E}Jgw
zNn3dO1e5HUL$%Qt0yY&L(wg4%Wp3*v5ezF9FY!RK5Q`-m;rsAAAuvw^j~6Dh-gSSd
zNkGBE{R9-eT*ZPTh#)TRzqID^Jl6}gT?>ATIDXe`dKb)ccR9x{f5DRZN-yjn5**44
z;B4%QT23Y*YrvxD(1BeDQw2o34F2jKnz4@K^AshMeXcJ8x*SwlWtV7}*FG%?(^KYL
zA212cC0;XFG2Df0O@Gl>E>u?|%lZqJ$Uj}C0P_%<6x638>l6tn;L^sgV9L3UZ)=*~
z1+(5=&b7;5v}C^43%k$@wW}8V4srax5-j2VV*6?k*Lh`ATM#SnQZ6YkQIjcJ85)N?
zmcZGe7Xnr-SQz4nqDUn1QW&xZOhsJ=2iBsP%JY4-LF?K>JJxU&_iC9e2z?>K61zZa
zj-MjWsgvtsnu6A}OcDWG4lzq(p%4-e&3cd<Pl;+|Xh76rkqx~NFr_&bo6|vhA=)%*
z90@2ener{CsqGRA?@~@FFJY4@Y8e{77XoH2SZLztoC%h2&Bz0BA8t4H{qfdExX$`A
z%iZOiyZnVq=BvH1^Sw|zYr$_0+hSy{iR1Uorgtdn4rwgoMAd_)NIvn<bxebi74;if
z<e{1BD_}g!pfvAL#RkseIcg?%n&d7{x#sb31J})fcU-5QY9Ec%@PxU=y-^$HTx3%b
z{+P1b1MC^>va=Ta)@a|n;5SZL6UXm??|yDc7cZHw_rfmrLhY^vze^myzifJUnf2~+
z?p^*638u+!&_vLbx@QDn*9liA`0E}%8)7fiwiJ3nY=i4TcgCS9>)=kpsz^TZ$8BVH
z!xVA6Jal9i_u`{^XBt?17i!<#btz2qPq?DiDOuN@UzW;ns%nBgKV`iRn8xXqDcX*>
zf(T-j2D{E%OP0Io9J|g7l+0IrVds3Iwr#<09>?#JP4A4s68#0Y<zQ^=irf>LF}0y6
z<?ua*%Xp|dr}tK1+n`)b^ZE^h;7-D>$bH5ZUL<#8QKZaM(2nXkm?D9|HiNfvha!S5
zu(laF1X<NCRSn*;*{NvC?ukV?9zR9Bf-clfvDd~HJ}@IL)K1A-X1jdBZy(3+Gn?KS
zv))bT+I3!}WWMGLyWk78%fJ$X=T0DVv8Zc_evhUHT@lmb*|>~{D0R;ef!GG&Vspl?
z^MstS@fZPT9NLnD=|5x}<r6uqu?7NI5n~$H<f6oF_ETprGpY$%#^PnY{6k)=f@ZnV
z(-RZ3oHaZTUj6vz@AvzEUO&H|b8r9u>;C)y{<*t61aczsTkwz(_(Wv!`?deRZQuX<
z`TPHW+x_p?e1EK8_jCXL|KE0>-~aQ4{=Z)zFP5*(R>`k9+t2v#$rmRJ-^0tT>>el2
z-(Ow!Gg#%{kC*M|_y7I!NmJ@j{J#%Bch~<q{CvCohpK5y{O{LR{X81~=g<8A51-1{
z|2kj)aeCewbCcid(ii_l-`8H*@acF%+<#++ElW%OKY6}hjCBgD!}ZJ$pFZ(j`oWbc
z$*@BF^XppO1=sfn{EAJnuQimtefZF~EQTA~R{z!5_WaY*4SV-*6Wey;s_4ntg=r2s
zr(Cz$J^GuvZG)@c7LR)oIqd7UCnZ*!GUQC$Bl(@ZW9^F@t6JY)W!T{Q=~&Wgt8Hmu
zxyC)A&D;(-zQ?cW=B#IH(4Al|b^G&AuS99FZQ;xZ#7^GM)%tW<{PIR$?%S&w6S|-D
z9{pA`H|T~=hR~+#=j-f_JYJCEqqag|m51)Vw22@$&OW+TkNH4ay3g@t#cSKa9I=zq
zk(2o6X4~qlE0tu}26CI#^{R-N*G3?>*%mLeFvBTXe;kkGar-{s=TZFK*|BU5x|7Vs
zW}n-7Cc2y<^3#5~>K~_POaj^NAiC1E=-cFybyL^0fRqJw>uh7L+`QvjD#Hz>O67EW
z&*xDmA4N@vcy3wo+LXezr$K?_cCK#HxvgL`4v3wU?)HVL<9@Ps;^z5FU@BQZxg}rT
z8MX;*;+s9OJ3QXaFPS%0XI`l!gPwJ|l-}Ir)b9Qmwg&Cr@e__tE!CT(t5+n+pcno0
zYQakzm1vtMMhr18ekoONSyMbAr*Oh)hJuB!yN@pY+@JHYAI2%}&nbj+KKJE(?1RX>
zS-Sb0<))*Sddvq}*XfH*KWDz_s5v&yb;f;(2e}q7g`T)KCDrHXqk!p*3!Ku~EI(^l
zwQGsB@8V$)KOxrZ{X76H^}s2etsBJIwF6|>IUcd=jjvCGG(B*-E^awn3$AI`zK9s2
zI42|@ebZ5Cg&P7g{#l`f18a%lB<GjuiCZnMq%y3yFw^l_R<+%PL#c%;Kt42XKDxAc
zMHZOTXuNpx=htr|b-1P<U8u)=fb|@Y)bw);SCwYOur+YU#G9;Re%=O=S*H({0drc{
z>3{lp^KGO6R2w9m7OsLxih;~P=A21ZZr}=0wA^#;d`}w4=75F9%{AvLFE7%JK@O?2
zknn(n6gJLv@t+=#mbnJ+SabLTIF6GFWi9x1`9KMyq;}(u627ZC*f<jx_vY%kKimWg
zksTMl9({Dla!O?-Ye1u=$jOCYvR6mTyfR`4d2vRec<a}NB6{7<v1|?8b0u~3<~o<c
zI4P2OGOehgm<RF;ByAP1`1k*FnYryGS@&Jf_j@&JXNA9ffA99Y-2xXH3JM!<3b;5<
z*`#T9Bx$k7*@S18`L&f-tm0a>+x3_JZgD2BB3sGC=QDmA*8eNB2{XNts?ec5D}H%l
zCGXYn?mrM-d##4~uEjghWKq>3^S1gNwqC@w>4S+zU*?*u6{j5pLbs&Jd|Sw~e&MlI
zZM%3_3R%lvTj;@guGz;jW8$29LwQRjIUaRg>$$vRPn*<gsaHk<M?}xAKWsPUq3M-~
zs{zv+71m|c%{}%MgJ-)(kJ&Ni;vcKt*P`>{5jyAfV(9!-c2_X;fwSzjfV%b1z^(~&
z%|7;U@2oiIvnURj1vO@kr1{p@e+w&lO~WCcj4<wd{7EWn9oT2#2K#aIO!o8r4p??Q
zpg7bymaS>WqMxNw)2{~<e+}5j!&2z_`L)Tq@Cv>45OYlS`+-cA43oKf-QMoskGqfC
z&HsNpZl3>t!=GjUZRR}sB6(I(PpOCb&-SO`yID%bCLEg_=DsAJ{hMK{_oK+!zMmdn
zy!@)-%WDUL@GUCyj(z3&o*k$Z$KJGKk?KbiJw3t8w_Q6cWH}ynO*?XV#|{^t)yfyw
zLjo;(vuB-5Rg`Rd9Z34w^~{(UrDazGUtEWV_fgfPDA{HdNkN$8)+H+T|7_Ow+3)9r
zcu8;F{4n+Kd&LD$(^VfGu8;0~p&qg9s^^RAjkOaV3Kdnn{v+~bi<Q?`D}f`Tx{AvS
zD?PVbG5&?{(qqj}`rG@jP7YcJa+y$()b!~gtHY;5-PwEEd)3v~E-+_3RlU0E=y#CL
zv+Ip_OxknWeC@3~u*N@DAf=*QyJ2q9Um5o8N+~R9dv2}KIUZOW7PR#LzDcL#FP`4?
zao#S&7^icy3NC3+={j9qe*P@?^a+=D#67HA>$Pe(pH=^~372>5SrR2%1P%oluK>(@
zc0E$q@6+M4mtPfp28BL2CMRW;Zup60qt%r^5SK#C$$;v7n0%Ap#D6vOStKt$eXQN5
z^{4DS``2jIZ}og1o_n^eR=&NS`M1Pj(I+3y{hatVvoz&5$m}RbzsH}tvY@;O$GoUd
z@A^+`uev(%Ehvx@SS|aIcy+SJpNd|6b>bVyk>F$t*E%acUDxtte~v%cB1ld`=mh6E
zxK5Dws#>d(MZ;u5f4rT?|0>w^Yf)5%(8E^@-(MfFJ66CNIi>gF){o40E`vls$+2n9
zF_6s+-$6ES!NW7zFV^iLF+DtFHS-;Kh-k06%J>fCADBBJ=78J*@_Yk0C}zdCzm=_P
zsE(3-01evAnDqhqYYufS=GuSvvt#Y56>)sr{z@^j&+BAw9G6(9(5<jI`|<Ie%dZwf
z3}?0M1$i0H%Srh7_|D~57ATH}@L*QYfm*%1u+nv_)j4n~KD+)fo0$DM+qHeg@GP-@
zMcDD3@t~{-DgnYnRzHUO?zQNv-q47`kcZo=?N<1UhW?XZxgxB6Hz-@TX`e{^-zEQU
z9+=;>W0B|+6TS5iSpvMDr8o9JYTv#5>O+X%K!v90)mH^zzrb9-1I6`sV6K11XMe|b
zEnhjp_2yyh3!$!;m@eNk55bk1eqDNX^94{;9sE<Z!}8k0e+TwFh?v__C(FUat#+d_
zA+B&upVNLmmPS_NH#P?PmSO22qYgA_mdVYyF0=YDNN>VJ8S9*nA1+_G{K^DWennUu
z_hbIND!EkVSE&Mr&Ya}sjg>byTJit25?~QKxAF3UJ?|o9<-k@vzmX{1GGA_9*z)#x
z_ND`eEX$;3gv+gde0V*xBgk0ZGur)Ee?Gk4sIZ}+Z!X)jsmEV2e0=R75MgngPg?O0
z%f~HNj(_0Beu|J)gc_Tf5Ldb8oB+t>t;KIl4A$F(wRb?RxS{o6dB^grnval;J@FQ5
zEc0jM?U!GjfpYzrKc7l2een(A5$;doU^iSrk$nS|UEWyvbfZ=IZ)ErEsRf1P^Bak$
z8|Lqs8>XHQbpzO&i?<_t^X6@sdyY+YkDL3X2BK3k<b2(f;yBr-P=01YOy!fSk>`*U
z*X>?mvkn?yJ=*<ytj_*~xWRbCyuTLD_gI~S@-H9Q@#)0s&vRhbf)!stkrbW_m27@}
zv%lorYGoK792JenA=b*WJyVx^ezg<Ig~ZH>$DklIIi9!f&tGs*eEtD)#@UUJ5A69A
zAzKCVK=-p7g`lYMv)#wX(&$?J#sU;I$K{~G!ghW0{OUR3&tZIUFg5o>odkBmNfbL{
z9)Rq$IDYOy)wkxx&#w}od`P@)c?5|!NX$HZ0dfvF0e*^*H7S6GZ_N2Ri-Nel@5>>4
zaLVyx2RRRxa*i`Yod<T#=SHY=nqS}K=Qy{Txe3Y#JMZUCcnGn-cy^U>7laE5A(p!c
z*D9BMe#HUhLtNYT4%xLX-;rE9cTqLOb&@gX>w5CyWEVsEVAr0zxR;Nm5$0O<mvKm0
zsW=Vlx|Y{<7joicO^`ME%|h1beim8dW+aU_a^hsoP&Cd))_5F6<7Xs|4|3vUE#Mm0
z2UM<k)OGmRn|8JLmM`C2dH0RAsPx&J(ggX`J$*9wZ0t+S*7MB;oBaAjW<p%-T0bz)
z_4Ntf)4S~r)~^dYeh%cuMWs(HK)mM=o@JTL4Q(B{)vsQe^3Aj5KeKxE)svec;Vx??
z@Z;j<%F-`y;9*<3)vEd%JZyJG$=bmk7#FL1z0YFJhugODS5BY%T;KZc%+-&ZK~0~J
zMnw@OzkY76Ed2*IDaOr@`SYW!Qiiu6b3wf7){q#{@b!gEZz~hxV%GL80Q;l(%?9^F
z=NFt^ZJYs(2i`N?tFJ0<fjDn2+q0urUy0m;Bnya<JYXZ|B)8iN)$!%V&2>gNFGhEH
zgiIK?)=qW*z2B*Dt5x=Qs}1}{ac+F?=gM!J9p3&H6h4PipO_fvuM1la<)s9EZExJ`
zq_wVVb+~2jcMBvrP>CxZzWQ<*vhy-`L!758_TPY5wGgjtfu?A_+YW!W+}&f9i;{}B
zA-qz##_u*Xgg~XUSmIs5kST5HikS}GPOs(}X}#TlE7BpxNtbIy^{M3<cCxHTmR>$}
zdEe<v`y#LWe|&iT{15M*%kQiI{^7&N{PhOjE22dv1^TV|bK|ym{qM@v-&RE5efxZ^
zPow=bhwUBuJFe$_Szq&Sch0{T%XD{?u86+7WkJ%8ol@V`PaX=`v-jrq`=2*_KdN}F
zEAdXN!J_W{8(bG1Kj|eN%Tu>t%?|dY{(7sD_ODx;`$fMyygAtTlR0gp>3;6&JH^M(
ztukeo*M3(h{%yzeK3TS7)t^C1K5A|_`q+%C=le75ca1linIG@{nbmbyFf3q|j@+g|
z7pV(fMR!;&-+3O~+`copPxR>y?xH)Bo7x)-Gj}eM;jsKCdE>10`*QYUPp@|sDLi>_
zV8@iGz`orI$8F2s<h(67vvHA3xnp1TyBmoKF<xsm*q!?P8+ErO1t#pfqwl5JA|oR)
zJxKdhq4<*>&---EHV1-L9gm&xc%F5cgv9iq)joTa*L?k3_3L!I<qF2N8+e0e`<K7U
znf>TaT5IlvTLmEX8_vdFc{b0wY@2Uk-sVGQ<$<$d_SLBf)+>JuPDl^k``_!)D|exf
z=0cVRdP~FXCska}SGiYsJdf|x4r>$<KjzQRZ!DT}=)T7vksFbr@ApsI@oS>XpI#Tf
z9`BV`c^7Y(_wQ4r=F#%3udDmZ|Gs!^t63p7{r{WCufpZu|GIem>)uD8F!=jQ`QMAj
z%MYB}rulDk|LXYKBl5LRAQF#b?SECAm$g=&4w2dO+Fkzr&gAySLd~teuDbuZc-+5n
zZmIW=^LFd%z6#cVnaKP&2yR*Vn;z5OV408W<ZJhUB2sPX6?^+XFWsv@JwI40vzw(t
z@;3XA+pE3)|62b4U-{I1e=g;IUV8hV_x)wJ<K_PU`#%5v)%d0I`Nuz(KmO+TZ&~H5
zipUvO+wa<opVhbD`}=jf{eS!S_v%9Q=5<s*J$!q+|07pMkuLpMtM*6ByGyjrDd{P#
zQ_53%r&M?9d+<jQ!wh*3otu?24=qnRd5#?&`JJ4oa&zX3$*R_qQ<m3ks9ER3HB;C~
zHO1{j%Y>f=daAlUY>_Kd7fvgUnwA;4G<D)M)2L|~9=wqYQfsF@jSPFvqB&DRRd`8C
z(-a?n&B|?`Jts^ms5V}b(l+JHr@4x%5Y~iAl0im?wPq@+J`@x6ILV|Lsi4|@gd0S0
zFG*>fqBCI<Ymks$aqE;d6DElUZF2Go+ZhI9d7R|Z<ea|B(aX%^B%9_<1y$)KDU)CG
zKv~UGS_-U}q_j_YGhvc!&?aZEGLMsb-#H*G&mX6lgNhuy_&iQBYFa9&vM+hkFh!=Q
zUQv~OiAv3zmML>4OcD+%a`N)?ILWDLsi>;H<jIsf4O3WNZZu-_^*yjls9-+J9d*X<
zo(F1?m<Kfc57Y|H_{|w}gQYBzDPH*?o5?{xMMux+4{pv*n8@;PlFHnd#fqwpzf~UC
zaqjSEdN;Y@_Y&^!mRFp-4!vas@sK2tm?u^-V%i3?cw)OCx@%&0oDY2L0ybXdfnCsJ
z1~iAlw0a(>T~fk^$KF03s4I~i17j*n*CKoY6P(-t@mv27_v5E{?x-_=KY5_ms9-)i
zlQG-~m(<AvwFlmugXl_7Xl|Z;FzfV#&XgN_(r%=tXs{dGY;Rnc5}BB)b)md%3d_66
zjlWYK*h%j2X9F=$=rVyQBoQR$i8Jizk`?!1PL!`)z<|TCClAyv@neK*J%9ZEX8Y?-
zUQFMA{y6;nd6b9e1G}JqAl~n*5Z=#2wS7|RDBhO88On&;_>|3cYjh6Oauv*Hy0e_&
zyCxb_enSH;srgKI*ykL@staNk<KbO!0|OQ|{Ej}bO#8udksCk6ZY&hhP;G1$seEzz
zmCogkN3By>-UT=O7I|RDy2GFGo$_=^5QQXy#8loajz^N86>0{O4j8lliWHLXKJ4>n
zM6py=2S*spXS(BF=63uP*PZ1|-&Y=})hd{e&TRZ!#`=yqy7b1%!)~(QUT*MhkG0CV
zl-SP~{pIs&7U_~3^ACTMDSN)bvVE^*&e_CtKI!5c)91Hy?Jl}8<?uY2ZBI5RxARu+
zUveaHdu;n02KfcvVIEN)aUMw?X&zY@Y^~y#SXG$H?veU=>cQ2L4_RQ&EWS8j_Vvq}
z!!I9P{SqvkqSk=mV5phM`Ypopz-q|{S9v~Uu~nEd@0rCIca~v&T0^)oSolD4bwjxE
z0{3!<{&x*>cNuMWF;|tae|f?8;-c(@#nubl#aA7~6hu*-IN1=7{&I(Yy*IJQCYC#V
ze$k+}pYd%3^RF~E6AK=NiwT`P32n>=?lXKcXZXvTP|y6}KEp3_hX1?|>X{i7EOMCz
z9x$+3Ffj2gXka#Yz`$q0z{01{$Q<y1;T<#EJBD}04ZnFF*fH-o4`(WzV%T2YpvxJP
z(9x)3U3+tq=UW}uKAYZ@n+tw(YjWOkw-ZBB4QA@ydA_1BiQ&8Cfm*f#`IFC*pR&9&
zZv5@@z)pC_d35FhDN9^ZJ`e0ZyqJ5SR;^$@=bdz>?=#Vu@+)58lA6zXhuw?|i*+zZ
zF#kS})l7&@jM2%M)<L9Z9;jX5U4=*XKno5hGbE^4Jbm!i|D(y#8lmY9+lqtAy&F~h
zjUtOQ%oS7}e=$A%eO!Lu|J&vEe;*${FCG(eF6FDwHL*>bxYx(c`6$ZBv*k7q8cw;9
z{ry|ng1hT-8q0QXV!ieDlvu`g?dS!qdv4vn-6-}cD?gt->cr~3?y?z*>%YmBf+VW1
zfF#}rB1yOvgCwNSHi~_Em1HvCmi;@DNLIed`>oa6EiO34y}kR$fxB{Rc{j7}ldJc1
z`L;|5hf3()2T5$N0ZV-11xcJX2kC%Fe9r|-YzIr+Hv>u3-UjJ_O4NWQKAVCh&Rz#e
zn8$;4KqT0~60%o766FD42}iIFcGC;<o`>Ex-^hOJ^Pa5VYTq2H=Y{9qKO&cL{`0E0
zvu`lJt&H1RD_jloUil)Bk89Pd;2iA_x21o)<^A)Gt*)AR|K7&?_YUOeKiF<glJNHK
z`*lz3;d-{^zX1gcsiwg7Q{oij3~9c9?_mD>2iwa(+_wJlR`$;~zPf7m{d;LC>|9Sx
z8{(gAzrSzaZioHx|9|{=T;4B#@6Su$Uxz>O*HrxZ_sLyu-=7cX|NXsbzrXJPkJGc)
z+uQ8>|GB@vd;h0*e|P_Twp#!AKFRz4ir=h`-+#LP-<QL`kMFnpKKK8RZ#z$i=-)p6
zuVzktO-0=2DRt%hJpMj?%Mq8$x8=477XmNUypT72+1|4KU%9v3hHxBq$IfDUTb9YS
zWkUGxweg@7mj9PY_sP||*W#d@7rh^pbnpLSjXJUV?=^i;GT&YY%7!2_;9B<Ik|AC(
zA@ifxg6!XSRSRSptvK_ZhknQ^USNBE^@FR=KzZ-r>a&gCY}Pl0&jw{Z*7auKJhwU&
z6e8QBK;EwfbC~wqR&2Gr;1t(*Uv3ZB+XwRbK_NXM{K0m1ur}=vx0}Ofe~$uF%(_oR
zf4l{mF7}D*&o_{5+?A|#)gaqj_uw$?UiLxkh7w^~<$6|Porr1LUNM4BJpWk>6aZKQ
zkOVJ6%9;TUuv*W!yFar&zm>iiU-v{zDt{_}{&zlSm3zxVRPH?sQMsoUs&X$aROQ~X
zP?dYnLRIdmg{j<I7csG7cZzG$g?F`G9y&L7mTM_(OnH89b;prObE~&233->j`wNoL
zwA@r~tz=lcDdqXS4;@DqOg%r<Q?b}-S<4xYnF2=g=aw#MJ*l9*Rp-hkztcv#tGDP}
z+T?axh<BwT_m(y1H`$ziwP*WcX5A%E?)C9)nJ`J*`d7~L&D$3<zuj5#K7~EX<K*7S
zvKfl1-~GxKv`)EWeX~(4s0b|Kxx=+u*ye(h*E`=24%#0fG`HqX^Mhd1SopU*zqGer
z4y5;AB}nh@=U~0_!G?k*9tOQ#``v+CbLaMZU_Dj*TZ-l?s(!y#4N|{-7yGT^m;1c!
zKz8hY0#bp6b13|t`3;bfwav94T?o$9-`tuz^EZIpQJY@}lKcJ)tPqn!lg{toY>q~;
zAfY^c^e!x-Z|xq&i>IGy(*x~sLVD9G5A0roJ72Ia?nEheJYCqO#(3;4OF`+~!%PJC
z`Q>lEV8-34K4NbbmWJq*B6UH*O#U5{VEt7vAJTP&F`3iK!Cl!D24+c_J3L|0Z2l^T
zpP3%kQ+BqT@o*<EkLqbI?cm0J#!FPt`ql1vf@mVJ&h31jJML+A=#qzjBl^wEtk51b
z%o#}iYUNTcbghWayZfnlgioJvf49t<a{|(NhxYzc!dam#lnyauNMPdGUmG_uV03sP
zU02NmwFj8Aka~+~O!)#aZrr^^cAnSpZm~lv)4P1e+3OnS2PHg?PG}EWFolIL=*dF;
z)th~kyTpTpkUO*eF==?ZuV>=$NZN=X`p>WqFT8^rl#S%k1HU1?Y?!M4DI3sy2M+_T
z0{NSgvfA319bQ;BnEl&r(H;CbR}+`>NtfPOe%MU5?Bxc}c3Z2Qi|5x1<y=Ut=eu2e
zWA5Q+GT)wUFm0b}nR6yFzD`c-&8fs-zUrbIlMeUEm_7MBNyz<fnQReLO@m&fVy0rH
zVyEIv#g&fVU(`%|1@78D(MIa$Vs>q3GNAW`k<_?}-AI0l)X&A}{xbK!WsEzEwaW|X
z-Nvq9L+!O<bdXzpkKxgOm(h0DhH^+J8e-yI#<Po=cl}}8lFa*Jw&aBe1`RIFszwcx
zd<^x>C+;(RF>m<G+fdK^;y%M4^M?Pt3=I#q@H#XwOFUrUuxMc7JJ7&v@qj_VqJf3a
zp^<q9zr+sY4llS1+whyGL4*0uJH~A)1&xYqliqwu^;X-uS;X>_$)wcgclt|I3gmxA
zp!Sq^oZnF}fAO(S#yjcYzOTyc{;BwSpxIB*`@*o!sd3})1B=V?^f4DKnvK>MhIdZY
z3gmBSAQ^<t<bPwYj-x*f>NMx{;^`JQ>b=Ax%P3ri!^sUZA53Z9Zh!Q$$Y;+Orkm$H
z@9MHnvN@S@av^wdmV3&3`T8IK^6&rs($8-nUl3?H`Kobbb=<eF{r&cJ-+w$jJOADj
zd!7FW+K<22uYdCJbb$Tu@9F>l{QCP?KY!o94?q5$x3{zV9UfHu{omc&=JB<b-_HK{
zHa|K3@2}W@pO?$uv;X&X=leg$x1Y|h`Z+Cse*ag0@0;oIZ$p{h%x0K>tcgK>+Wjfa
zZ`KPvxWV$nM2uy|^!rnoW!4KlxC7=!fVgwk3ntX<=KEkI#v*a{VEbc+&(p6zGda-i
z+u)z<*l<y%g<=1cd2BPx80~sl8QqEnm};gTcR2?!ez7G7W8F>RVyOqu3=1W>nR=c*
zSXBIc9z*<7!-?koou?xN5=__?4}@3xJUN^E2yBBR$A?fk;ndGFcJzRZ`(Sh6lU9G{
zrb?ffV@(W;EqAQ=^qvz%1;oOeHfnlM=fpoX3_PWFVEIOc0~vfy4e?(7%xhrI*>S_@
zzVL(Tmo77yOW%WAfAhmMU)5r;`xIDyXifFG8DcaYs(pRX$tf_&2Z!o+sUHYmneuAc
zq@6J5{nDE1a~DPZ6_|R6VQ|U1og(`*P_%>G?zJpWYbM+&NM@r+?yrg3PgwUYB$s{D
zntJ9L+r7g7|GxHr{J!VmjQ>~uxjFy;>Ysn_pW;8`^+ne9ZC^I@eM^*on|}Yt!}?Fs
z%fFiYmDfN1V*j)E^DpV=yZ3*zo$%-CzQV}*$D95i+wQ+mf411XT^VA>UdR3XQ1*XY
zmdd?v4_qGQ3j3+tD|_hjC|BA~<=!_CLm4FU$mP*;9UIa7+eu1>8Q=BSxh0)E_jmQ`
zi4mKM|9{F-nfB(+{(VxNs@C82H@GF8^gB86ul1UVGwdQa75|sYR$*IPL+TvPUqxn6
zYqb7^!-Cc+dt{6Xwtc=SZzPa$e(7&#HYVLAPyS741hq`<QxrhWPku>`EfXd|q*U%K
zLef38LQ(a5I}b~g$4Mkn+v)F+wO}=^VC6RqeN$iaXzoO^^Z6wtLtCfZ0XY%PKB0Y|
zaJhBuop^|=zQyc9G8E<!R97{9iI~~2Of4Z6HhY6Q?~yP?{ed0lnZGQ0IZV5C8rM%u
zV4jt*e8Pey-XGrhZt!5L_ndU@%gzatP}-H3{uR7*;MU~aE{><6x|$77LsiZV#XzBg
z`6UnS+<S##j`Zkd#xs3%NfM8})<$^R-Z@`TX0lMj;*q?pwlVHzBYVtA^f@7<nIgu`
z){quvLn9Ag9@}c&X7x#lpJydbpSV!w%!fN{ZIe=4r>=CkF9~Y7L0ZeuMyT?34m=H6
zV|jGRhqaJ~=*a`MPa2u<G*qqdHISd`BYgU)^|y?vA8Ipt(`tOvQfYr9BJs2v6BtEt
zH#zw&x>=DMukh9;Sd_V~6h6JOz=`qu_6FbZ1OA#DKI(38)(r4sV%Pk1Vfxj`Wr9aR
zL-0s5PwslgxLellY5I61<+u*up2%8hisaD;wMcDT(EN^91a6P^zv=0lD!Rj;^<8k|
z?~n&}=uGC{Z@KO)kCQkRc-w`wEvRu}NP>o_gcoZY(=-nhK9W>W<HCl^VF;zDQXvT%
z2@k@crUW%Eywbh1{@VjK@oi19VF$0T*uc1ULokD0z|v)tn;gT+W;T_qRZvBkr8J!j
zWIKkDU^gil3*eFDwl<i;#j}*jdF6osEi|U<D^`SyU|xh-(luq_@l$L(OBtOr4+KaV
zOhIQhp7mvJyY%4hOt$yuOe)Hk9o%iqyThOP-Q|YgCJ*d*cksWNDP1t1?ap$>@0kZ`
zrO=q{Yi8h*TF&^Lv3-5)j`M=-aE%AOWl;^6IF7>iXP$BKKxtkAzuAXEshk~+?j|>-
zJbAT`w%LfL{JK;Mn%jlAOGppRAxO@1PZPu=DV7fM6_N*$nEf`N`=`q8@MnK_x$$?&
z13Ppk^VAevQkNTlA7ER`{Qc#DTC0Nje0P?kGZXIL#%d<SriA4s756dpz)WJCz7E|u
znAFPywFmyZ#jsRiNptdD{cDc`zdN(@bIfp>es@>S>LvfCI=Rl%F#7sZ&e4kr<kTnH
zc+5>vV*sf^vI&Wq(r6pThu%klPwegBx7o&CFdx=iVMAlGuR4fJDxKkbqwg_<L5Rsh
zXy56;y;5)w2;EFbzvzGvcw!K{&GH4eu<K&mGKK$vUBUxw9%5L;Q?Q%;#-szRZ4w@v
zE>G8;dF5QtlM|Ocrt$9D5307GBsZeXYd!{#Ho>f#m}3{_hjn@q+<oF-GZ9}$uKymM
zUQuIL9*$lSXg)Jxu>qdh&kg_Q;OXXVFjd3Tk=wNdUpLR^1`a1Pq%{Te-TNovAACl=
zkTb<vy>z>X$0wD^s_jM0K|)LP1NPPbdH8#O?f1*}c7JLPx|SDhjgAEO*P>6HDh^*7
zcjo^;qqCQvM7ezZis-%V`Fr?NcO7VYCiS^^{gci0_215~zi<EV)3ew7{qO((dHncu
z{gb=D%fFrtkLRm3-uE~6#p?L|C*adFt^a>~h?%f|>FL9DuLU!<Yey`&yDp)zY<Cmu
zt+!mDDNl5P?vru5@7uG0rd$3gf@VOz^Mj{b>N!9&G=H5z^AY(>pc#@nVFA#j%j^d5
z3=Iodi<$yRi}p{@j0Wc;UxEClipfWI-`~k{>vQFlZ`RWts_ptO)jb!=IR8BG*83Qy
zx0P{EYd=ST=0W!4%W-dcUODyq*<{c}$ftdkI-n`ad*=P%$q)7jkj>={I5<tWxy!}#
z^zKCO*jkYO@YuZj*K6;ejN=Mka6m-EfR)Rqv3B;^xLx^8JX=2Pe13V`o7oD?Z+{-q
z{jGKa)Sd^4PnZPaRO{U}cVvt5@T{05QZYrOVwy<B43UaiA{BE)D&~n)E;yh&seR&_
zb4I-yaULgYg;PKS$mWi0w~94gxDPt5G5CE>{r<kxVtw2B_P^WC?)_5p?TWY6+K8M5
zw&#~~afT``)>;xWb&l2it)ZU+g@TNNf+npKUGijKs|Bb(5#7P8yF?{M>%k9Ei#pc6
z_{PWKXv}?Q95NVJTwn@V$hyFZ+o4sgflHTR(*?(%xz9y(LN^2*bYguS@?rPW#xT3@
zHj>Tvb@{hE=XL`Le6yL_d|&r|_Ck5pA0IzDa96Va)i~JS&mMI``-MAaU5(8Jr#Q!Y
zw-4Np8pS?w{W5Nf7vSGAA^gI8!9PtE3tIOy{p)!keq{Tt2gmn-C#dY7$!47Yto1{%
z+o4)6|AVqvW7s3x`R)h%BS3L2@~6Xf0fhTz>xF)yKSHh`GwP;1n6AO3`$Y7>4+P`6
z3P?oY>jP|zZ&3#x9n5#i*?Q2>tMQx7y$3o=9JoI^NjnsX1%WMy^l1E6BgdMq$QpG*
zJA;{n&jd7;c;Hhrb1%r)3nv~pEC%`3#K_`8#(LHz5_7ynG8ES{XtFIA0%>88I=IpW
zl)~R{UH*K_!S3_iXM^>0;%e&RKHn~{Idw1e{GqU)AIkQ-_8+-@r~k<9C;dlmzv(}6
z`%C|k+kg6x+~ySM+-|HOl)v0TrS7N94<DXef8Fds(=gkAf%>WYZ}uOVH23%0I#6#l
z|A+F%l;uxO+|%ng^5x{Dxz)!Ngqp+b!qr;OaLyDmQciI?QDZTEl9*cank$<EPFv}o
z-m>P>CXdrny51&B+H@{#vOE1rXZ7cM=XtkGm{e_Ve!<Br?$6oHbI;$q&$H$ErM;$7
zpm~*R8K6WNy%^M!&F^IcP1b-V9*Vt<y$VY0<)O@Lk8o@5EDr_Ep4<xsn-*?*VV?K*
zwj7XN=}ln0C%}3Yr9p;*C0e{$!@2ZCVwTq$CoX7>xo0lS7PZ6iciJHb?(G@(eIzmz
zr#n`y@p<q}?ZYjhAAX!Q%USjXGu_v0d_Uztxz_`0)emzM7SB6S?v<dYa)VV(<GS#b
zP)@l9&Up=-a*dqx8ac&0Kh#fJVqB}YL<Lp*x7t$3tO=?x%v7*t>XD`>d^F2X9_B!I
z&(iMqB`kSh&C6N#O}=9BTl^a+Nd8Uz3koOuXq5}6O4~w<>bH4-f@Qw05NIS|vnvy5
zB*3B_tmd2|DChPUgGT}$%P{FKQK@<Q*HZu#g7rc!V0|otpdeItWQp3j|9OzdiDcC`
z+Y&d=Jy?F~fpw~Zn$a5t<0-!+vo`VYpXXT})0R0QQ7*+Z{bag9ztIeL$uo<2o&~af
z)@ZJra?s{ff?R4rgOP=Uq|5>yz5q6Mjb`R42N_R2V1Nqe%;7QfW0O{I?wx$_*vW*)
zDH-yo5+0{)C^WiZA-QJ;Pn-{%zFM>R<b&NO9~@2jkYrS02o-i#ZC*I(V8F?Q6)6TG
zMiN?*JX3kvyx1VZq9-48r4+at?NIvps7ofXm1ms?TewQI_N4F6li8w9tp59R2B_SK
ziZb4^B`qWK?1f9S7A`e)_Rembc8y1O?ep>#4)Ixy`>rt6u4Mfc#QkNd*b8sn3)7<(
z=x>EeoL$N~%bVL|x>$yu?uD4B1v|Gi1P2_@)Oa8wQozM!!OA+PiOJ8AarpwO3xDw^
z!3K-{r$py8arrs2E?>|T9B@!`#RHL$0xm5JR=99n*<JU2rLD2$t>ISMdoD#joO+IH
zeM#7h{?!-c!x!4GbE=PN{kMbbZ=vX~$68;GhmtOFqcofvXny=kw%zXtpqhP*^Yafp
zm;UgR&Cii}`GUsa3kNha9*CF}a7kIP^3G`@S$MYvt2<v2+^7#~vCZZ$rS^DUf8e`|
z?QbFPug444E_ck1ZN9dPZEY!U)N83N*Gx0kW?zW9zF_M*u!PkMvFr;|uP@ME?-(A}
zyl#)$<>~8;m#CCHHGOd^`@&T9hfdD%&24r&PZdmjC?&6LU12D@X9i!K54--dX7S4h
zyBmGpB|I+KP-u0-LN;d(pP3)K^z!E3%Lk9W1PdoLzInh<TF_u+;UFusfR8VLoqa_!
z^Ob{)ufW0!ChlvlymHXyRf1fpLBG`uciA(G`JM%`e_jC=Zu)L)RkEVw!(6K$ezG;o
z`St~~-(T7M{>s7fR}ZX9Kg@lwbv}j|l2XolYOlfSki=Ft3tm1b_)^^aNyomD4=xL0
zH=WLl-4+`3-)o_gzsSsACH)Gj3zu$n_Fi3+`l9Xa1>V~WrMEeo=d|bD;Jdp)c2}Zx
z$>B1vgtjrGRHn7brLv4AZ!dV<UN~`^vvO{`+bzD{EwW&VQ%u!sTAqrAg-67&|L|k3
zS<blcGQ<7MhW921%B3Dy^M087;HD*v$%aLRqo)~O>818Kq!);$H@s(&K7nB&$QGYD
zjJw}5-d6gc+LqIklCBc1ymIc+6BCzD)R8W!S5!S%KB=D-#g%M7{2o8DmTjC3Gfw4Z
z&6+tM-hFQ=-><&sx9!8b?>YY-6aLk!{zcmVh55V-`8EshOI$mFDOlmO|6@ztV~E7V
zi`EP8qlm!u^Gp>L2@42!j5Ix1KI?(C?}xc+Km3GimUHgA%yK`o>AeY9m{D~ePC4HX
za}$Iuz{;@bW|*}hm_0x7)I6`Q_?3N2Y$pBk;8LlabaImOPDV}6OX3>$?f-rFdw<{W
zm-6y;`)-8t=ku5Hx9_s<J#zo$sfFw0Ufo)_PX5)g&%4&w*Zldo`#698zc0@o@}J)S
z;o-;Mr{ibF|Cx9mbNSc#{}apK-`@(F9Qtzh$G7bZ*Vp}3`v3DX|9koWzwU_tdwf_g
zbpEw-p<Fqj^(%6jD)-jynOL#=i`%2Ox1>9_cjv3zTeojw#qK|DkKX>0?%d8@pmI;I
zZKA}M>fI(n-evE2FN4;xZ1+*#nDYFdNiS#}%WaT^SKphtmpy#u>)hOF?yqc^@w7NK
z=){}}nu^9wYAt8li#0P7jZS#!a&KL8d6Um+E#2-dYc6hban3ryr2Bfa&iPGN?=Oad
zYTw0c9k?|sExn%SJl|~Zr4DN4xW5Ds%xn9CD(U}T!60S(FNuIE>GdY8Q68Q<Tz`kp
z0@w0WmqAvs#Di9-O+pf_*1L<W<>X&1racTgIJJqJ^?GLiHYVLCpX72`qjoq}ZxPLS
zDE1cQxEQvV8;u%g&pwdt4Q?F4S|ac^Q&VphsQI*WLW1~=1u^~s;i?r3Y86as6)b8M
zY-$lI2meFI*HN|Kl7A?Zp{V-sTN9$;g<<xjP-gV@km`rIFlCc%dN3MOyMFk&KTv};
z<~(~h*DuusHGU9bvo<C@LiIa148Z~NEoK*n8c^G5>M~H<2_khU{2s1=ocg<3ueHS{
z>`<Px*=@<1<vja>*^-qG^BFm>oWD@*^oI{;Hk?YG!6y04aazf=8ssLD0aD8eqltvv
zasoGzAdMq%%c<?#LPYxsqltvna>8sPA+?-hCc@iC`s+>zhMj;lo+|4vc!T<}^REeI
zD6U_C)IyR(YC2WbwR2%<A)z##z%3+);>^ZpmzW?;Ct5a<kj!@Y??OT&?DOgvY)vGj
zmeZ@$>$Oj!LG77}rJE0F&lQb(x#ojcI)yDHlr~jtIjAv4Df#{9)T|A!^Y9}`LkZkw
zqNIrgZyY@k+0q?c@I=8J-rCB)WLhzK-ND%<y!V!5Uy#4Pz@G0`6=n+wrRmh1w~;Nj
zl6Ti9DM$;+sP?6mvzHUN>BJUWDWqre#Wdr^<kdXllIuQh+yrhSy?k)A<U^7bsO2Pa
z;t56*39048E_MQ=iG<X0;*ff2jow5;YB?!a*e`Z&_d;zVAvJwC<9@%yYz`r{rgAr7
zwx%QuWo0JTZFK2-;mz*Pa!Ymhn`^1js$bWOXnk^-xYGYSN03ldl=YS^r^4Iv&|63-
zO(!Ga1sE+P<fhYFoejsmBH|KDTaT6^HIYCq7HG?fbKYERjVPE3;VCJ2r9*z<l8!25
z`{B2tQ3l*5gBb^FsvVKsa9eJJE>nEciDMpRZktRe-HPmzsgydo$?>~*kWh{K&xj9m
z(KPApyDYdv5@y__v&T<yzOVDI_+EWz_kH1ee<3X-l%`K&?;LQm2}Kaz9s;$e<o2Sq
zun<ZEA{`T1OGT%IVl<JE+CIVok5HRWNOG51?sJ+o;?m8tfYZA4gV?e+E0_G3`pV;!
z^2+`t4wKYp>R3*Zo-j$_uI6RGpBvUYF~2pNi5KfWxw>x(4`}c+$OtsJ>3tG3-l?w&
z+DrkJs4@Uaygmq$SkDfYkOS+0NObQ)9S+q`Vt)J6#_P9|*@b!M7jHr4J`cTDd<LYt
z^1LBvnC+?UaUSrXsEjdqFtqp#$d2}jpaCm>WspsBZlLbBZ4b!4Y6-~<#q|%q9lF~(
zoBO+1p6;E<9UGs2Fa7`OH1bgB-`RH6FXtU+kNSD%b7u568@mhh{O@df{t?s~I{z3v
z0P<(OL$%)B`Ts>h%?Qt+2Z2EkB7+_z20h3OdQcejpfc!5Bhz*dIk!z#r%e`t);hdT
z1PvDbt)9{NEr#o&(*^<UGy6WD++Lqu0v-x2cl&<n^UYxWms_&7^KW_nGemKrR!T_g
zlvR_0pKspgW#{GO<>uveX=~GzJNu7<+AiPo4cS2rv5*5FwF~}m$?Ru3z8;NPGqVwG
zAXGGpA!T9n607r?5vvlGHnnnHTgi6vhJvKDN^)~b&V~L<3*?tN+IuzEO=0`1!TT#v
z>dRu27w(y;5|X^XjHSM$o4h!mabf<&1@?;_>)o3F^|1X#NW8c(|KdXX#m@C^?f-fh
zKk{{yJM3u&jeau!3S|4TnCFGN<b{5t1@fs5_NN-^rZE21Ku9n=W<T(Q(V&i@N8aHI
zyTDgQms*BEOlCw4gV=n5_bnCbN32!jGMHyvU^7_Ao8TmUpvA0#GnYa57K7RrMxTVA
z!V&*irr9?>^>4T>obiN3%CgbTZ^1+mbBP6`rk_KX@PtP!ODq~E`!Yxg&-um~_WMrt
z+n<)Xd*2xTI&I>=GeB(Cr=`#SKIXsw|LyMi|2{r^etKg$wC51o?y|(XcRi@%kXQO%
z>25{bcciI_CH6Z14}lk!rPh6Px5Kiq?7#cHz4h1c*L;0?!Tj;<`)&JveeM49+~0mr
z{h!yx@fG%(>+E^9cr`iv-qIkGHD7Fk^V`czMJsA|Eor~Cob`!Mwc#TEt^VB?ymm*l
z%rjZ-x%q;PZjAn)Im_Fxcbok0dF`}~f2;m}wfS50`#(<(dvy2QR)^hlvm48-uQR<Z
zTgQ6qZ4CF8+dH>ik4t#8Q0&tyCSm@q6T-!Bl&qL~zO`oGfo0CRPd>An$!3-R{Ik;F
zguWinzn|{^{MYz&oBox1xkzl6`!0?4cN6CwpCx|ugY6|(+nWB8jjlV~cX{+xFL`dR
zKL7rln!4gsKhJ7DH(x&I{+yz^;!{c6i~CD9KHTYEWq0*-rP<WaIa<$ehMeELa$b6{
z?fGR@^L)SB%zjmAcJ*`4D!9aNquJolyOX=;{{G)v+iEJlKU<x@uQu(;?DqWcv)|SK
z{-piy&vyBLkEi#)lCS&s^yA_6tVio@|E`SpK0Dw3k8N*x_s9J@^54#jYhCxfue3W)
zwqM_*d&{r;x4r*<cxSq<C^GKpr%kK({4blo?(prir4hICtuHDa-9F1T@4bFS<y3CF
zY2q~(Sl6%S{<miL$J?y&I`?k##NS&Q+qM2((A|u_cb9hj*86*Xz4+hu@ACgYH?6MQ
z9P#JJ;rRc*zPrcxe`8#Fw0Cc0hkp1wP2qXjXF1m&K74xJ;j`0gcK?`kUjC<~&eYvK
zwozK2xr>!9Z;iOSAvfl<=T_mhYFGU>&j|S~xj#bfTln8JSFZI{3s=___rE`V;A!an
zPBG0LSJ%9|;<tH6@bB(>aTOP}W53LHf3$o@8UOuIv)tf<QpWOGM_KRK6^BV~-2dwD
zyVd{Re14o*&YrjaX}bL1kX-$B&*pzywR=9_{;Njk>ps4|wZHPo*Z!?%XRn|3D*s-c
z^vds{7vDaOth==P{r^9wAAi37>e;h(|Bu*RxxRbJ_bWmdTWf!O+qZw?{ypW>?e%w<
z=<k2`J*K~U{l8zqc4{XshwlAf_2zox)s#E+t%n`z_KMxk|F`~s_V26VqA?25Z$C5L
zT;;}<vbw!SA=-8s)6Fbz(Gz)c2fv>R+bMh^@0+#vO{?dAY485l|2-P~{oeT>Z~6b2
z*VJzBc=xAa|BPRE7e(xh-oHZj-SyAn5ArvBnDG8TGq?KBfA$-09J}|QT}-;`_kRYp
zhnsv~|FhqqasK^(=I*G!@9Y<3PB57~Ij!iC0GPVubM0w}_@Di2esRCM_FDCd_6qTU
z_4D;ux=RywpO3$@d1~5ioqZQ_W*?b<_0P5KpRVw3jgbC!s(t(P?{)r1#qA#l{3)5}
zcho=pb;zG9*GjbNUS(T}+MAlq?V4{j+wZ8gvbu=<*H?499IGp<e}upfRyZwvWO>rb
zbEmB#R5Xb4J2~;R^@-(4zfw+~JDq)EdD4Yb&rdCxV5u1Fl-A<IF_Zs!(4|8u6Q)Lq
zWkoJeojENuN-Q&SacXDVl?kj-*U}^BrIzlK5h`2(T9gN+W)-Yxozil<+A{xP0H}YD
zo8sL5qA29>Lb0GvAS&z;$dIcb-FsvZl<o95ze<ZMPG0z^LrZtfIaUL5#hl|{N=BxB
z1!!?zrKQC`uq!P71yt+p-4j<2QiO+USzl9W{2!zRN?FD}1@S<X_IL51Per><E&LW!
zWjO;PyanP(EEMOZ<W#0vvl>cOv94Qd2%OjOTgBkJ@Qw|fZ#J>)b8F(wXqxNPG)eE^
zX7hteoPSh3tu8-z@?yf++abSm?*Zhq9#D6KM4F=SLV>NlQ+Z(b$pCf|1Ck(&Il49v
zwr~$~Z64xe2W5T{s4}E&DKKXL6-|^4Cq{_1ct!>Cn)L`{U^?I%ZbsMTLAKt=qpZtA
zTA~ML1|4F8D4P%8P=i?imy%wxW)0Tk7{I$z<ZtAMKd=*(@IqOGN3}J1AqL;IcWe-S
zvx#e;TPtry>s+RSMlI91B0QTmofcV=&8o@C16xNYvNHs8IUQVbW+NWS$Qy`teXzB5
za1RCPGhkb&2QhgGk8PM)f(EaI7jxUChJ_|*Os<?f&@w&jtMUAq-!WG|!?)y4dl}Y+
zdXV*T2Oef)uHl17NfpQ&^hu*GoHJP5`1;NPxts@&O>Pu0_h)P}og@|3#apR$;>*(4
zJer))MS&_Yc$U<iQNkmsa}JMlDxb+~TVq{I2VRH9u5}X2GCQ!;a^~-iyk7X0>h0T(
zXW`ocKb))htP11{Uc&6aqKf^@eGEr2I9XX-lU;L^Pfoy~Rd09c%`nfu+O1tSfhn&p
z+cr&M0WCH>5zYppu&g<}-1z&14+9=ars_2^pu;e5ta+=*;m5N~FQggU_9UnV=Gi^?
zmg((%jc1u&gQ^k6aUakXd^`{A9&F)-+JSi}2o?8CA>7xW@_AzszO{0__*TPJe8IPX
zFQf$D0zT<h9IN3#r;arKeU4|JR>N9DJPY_9O!LLFs&K{z9FAf*!?Ijv&tJ`X%VzjL
z5;{@l_bN|w!V^z5Z@#B&OH@3}PubUM*8Kl*_<Q~DcgyQ*e*C;^ocZVGe*53gufLbC
zul)SA{rLC#e;+@dJzxL(p?zKD_lF;ULwj8D5BJ;so8DiaWB6%$`O5v(|KGixy?)+*
z`<l<+ZhQQ?Du1u$?|l2eAO3w@f8O=S@$K<#JANeJ|NnFP@#p#%|L^nO-~VB%-sAPB
z51*I+H}BZ9#kamC+0|6vm%kBzslNKH_NPPHwdYfJeDBi#f8_RZ?vvpU^;gd<k6*FB
zj=%58!>>*UBjn-~XS9cNt0fwg>-W93pBSe*qvv2vrD0#w$@OAB2NU+~k#IjaC7gR%
zl0kf2&*Fqvt64uk-Sz7eL?UKh!FoX++m^a=!@j1SSM1gco;i^4Ze8HD`lLNk2Exrh
zKPAbq8pmtT`0~{G{Zoj!m0KeWMEX?rM(Ix8Fm30~weAP^+^an(U0iI~*HpPRN-a?r
zKl52y|Bp`zd#3GtcP(C7VohpX;^CUFg=Po;d`$eETleST`ue}WA774--fejB{*Sk>
z*Ze<lH~a|qqVQ<JQpYDAak-X9u3iv793IVGsQG2;hpS>vG3>RHHCsak#2w?A537E-
z=TTt(Jp20Uw@(YSpIh$?zkTBFj_LY*N52=Y7wI_K7+6zdwBKOD`4v}=@4s-*_W$4f
zh-=S2zvq2uF7vtGzV64<_Wgf;zJLGk(`Ea6^?#DZExw5y3*X)>-+8t4gR8{TZ|`kt
zZ*Tv8^q2Kp$-UM8&c~dZ;X22^`L{*>%O`K;tKOa7r*mO9_ng!P;ve>Le0eCE|KjjN
z-r8lx4=m1I<*n`dJWo0*?q6!W*oylXyv>g&UHH;vZX$c{Li#P!zQ-|lFD$o+f81vG
z8LFWBl+uMiUFIh8_b#MYWnfWo|ANwmPhI9)WbR!^mzs^H;Dz?x3*EsRIKE^WaBSK4
z2kbK2med8)*KKuO@Kw}!yIk5k<J{8Yh3kyIYo5D);!gU$H>a7to~iw1y!uQn*B4Wx
z?=$s(Z*s6L*0-J9?QmN=?cLn!E%!6$XTGxOE1tU3zi^-1Gl*N$-z}Y3Dwt7!RQeWw
z{tM%|+m08m%X<+#XMLgb{GXfjxv%8ESh~AH@I}Q@X)C_`7shYTqbc|@HSfi%o1a8p
z*q)!Z{owRkwcmD~`4euwKXTrsYX6T*vHNx$duaS|X6lDj^Aqzs{p+7KwThX)$TZmD
z7^r5qIdfP1p52b+u}gPnUjC;QJK^#pEn8l;a80lFx1n7}YNozZi#)x$WG2saxuy5x
zm;KyQW8cemUDK;$`T59zhZ<L2m)9(f2=8Czy{6n|^`WJ2UlxnmyxAA=H?#Do_3ePi
z9{<1Av)(UD|8ZY6ZszIo&TzM9v5%%^mQAlny>Lf0?(M|)LhH9_mUo7$evEw-`m=O;
zh2D|xzU|j%@~*!1Hq-1*;O@J@i-YFG?TQV%89Qfv;4a(Z;5e}r(;fWl(?XeSS*!dx
zMH21!uB@K1+<x<_q<Ktxmv?n~J(AVsJZhb8C-UBG!@`Q~kz7a5`|R|8RMKB@QK~FF
zZBNkCvf9c|WgjL<mhX|Mw7&lT+vRrofBWa(`~T_Y^EYNT<tMiP-V?D;d*b^E_M7kA
zsh_-kvyxDLx~s~)b6p;L=88_Nu-0DmwaERV^T$5+)<<(y<-5w)W$b9LUN-k}s`kOV
zwl%+}KKSML>+OS7Rf~$(f4=d@=Dkz85U?jXVPQqPqSME+pIt`DyO~@bz2y?<+^+4Q
za&H~O#ERWacXB?@k1X7N-FjNSy!ghN-#a><-ahV{Qux8Epq&3ro$3ygLwaFN%MT`~
zb}-#dJrUb@@2=8r{x6r*cY{-^N!0D_m+gh}m)EP@^ZW0yXYRj=GxFcv^*wxg_U}UF
zzyBVWRQ-N;HvE3gZkhkj-fln0yRXvXPrUvA{qO&O0v%F%|IDWsm78WiUHD_>xlR6$
zG7fLrI!pQE<+YJto9~CU&W~SyRPA}#r``ND%Zi`YeKbDHJpaf=<~Ne3it2y8Uo~fT
z+2{M2^9!9d|H?Ogsjo=<_5YoIpj)6`a9K`%fyzFgmewC?Ti?xO4>ZdQwye68Wp?kz
z>nXjOCysqNl=J7$BfU7^-BbU(JN$ih)RdOn(VHWM#Lb_CecFBg_PH4zd*-eV6v|s3
zJ*8#$%QAnVdkM3wCw;ho|Ie(f&+(tO|Np1C^q;`$y1j1s`+j}TFPhMSIF9GG{QW2P
zH9!A?j$*BU@lwzJ?7ZbKw^z8nH#4`de)@b9XXIMLiFsQuxD+Q=_vbG=WXpZqZPToE
z=9B!xKmYn{+HL+?>F%qF)`0T#jQzW(?C#k=cg1%3T~^oBF0JK@<t$xos(5K_UeXqU
zx7Y8?p8BGE{;pY~7rC~@{>uy7a9eZhtb-R1ZLhYwv-!hag=gTUAo<faeA?17HGy+?
zEKi>P=b~k<-RECzbK7-wZf8mN>gv_M?>@bIch>Efe}A6Z>a}0`<n-eczn#ALynLP7
z{@voMSs%KUSLaUqZgAnL_5SDYOIEWgUedjHZ2#52@8t5%Zp-)@b@znF_FsE^pYGqK
zcQI)DEbobVrqh+~&b?n;pY%>Wa*I`-?%&zD-*V5b344-JzOUNg{${27U*6Vydw>34
z<z}Vp%SCtYD{$SHzP~`Z^5P<4TZXW1zYPld;&UCg$FMAFttoeR{#eG!Iqm6FE+L)r
z(=T`4G+3ARtoO;inLDSwm1mfk_to?|_lYv2`#K8ycQdZ$mV6l&ZydFuOyc9bqmP&6
zzuyd6Za@3zVq0Cgx2@gB_jYcW_EuQ&(%N+e3!+t>OnUV{XDQwjDK7VV=eJ-*!p%)5
z&whzt8(=<3|N4L3^c!08di#G>Eb*N#zsKs*v(x#tGMTTR9#1;IW%~Wq)|a-O&i^f&
zx!(VU^4qWO2i{+~=eOnQ<!^4^ck}Ffb2t37=N9(+c6p0KtG_P1xN7fizwc{qne$$r
z|M&Oi`g?0`{ms1kPCsVrd&8^SRPURKZa<Z9Du3(2DfhFQHTPd-3$9y#*Z=2Qvl*YF
za}Azuzm;&xo&V(DE3x8hZ!;z7-nP52IQ5w-|Ec?(+ruq{@~5vjEBD{;<XhILFfViI
zoA=kYao@G&zIE1H24b5|#^X#!>5ENbq7KnzRnsfB>hj7}taiC`=VB<EtKO;FP4`{5
zpS?9Z{f=#CxpL*f=A_j;aw5^W9~RumYGywgCT*kf?bhGsq}9AgqP^eFE&Vrd>eFYu
z>mlhZY}(H)o6cmU-kjpqtzV<PvwYFI?<;QauDsryy0z-A4xj#vHPNXCk=t)2Y|7hu
zFy(Gm^T}P;->JV2llHl`)@;U_=v;%y=3fu}ZhpSGBV~j6(c`lHv#Z0}XNOBecDyH*
zR_qFrkG6bu`0)NK?SI~0{{Lapw7%0@|Aqd0t@ZiK`~G^ZsO))nE9(D9&pxX5K$QRM
zzNWXIBK^}I9zI>YzV7!jdF!=x-DYoAMY-(GjpbXlHb(s4A+=l6&42#+=4)^E_K#TZ
zm0iWZ&)Wa(_1$t%#-{Vz)0F?+p^qQW@!0zB^!ooh&vf2ri?cJgTl-z!@~Zg%+sFGm
z);-^BeJx>~j>+ww=!|XO-$tGl_rIzPI>=U~?&sE@K7T%Sf0_B?Pu5?px~eVny0)*s
z?)vEM>(Yr8zm;56_N}?w{^)I*xlr@B#v_yFvIqY#-k9=yX@-rccbT0B0(teRoIK|;
zU+3mb73-(XPf1-wrS5SOda&)2qrx^<K*zS81s`I2y1Z@588FM)E6w91k0$s`+t@pg
zoOQtm*$U}{DbRVgn;g8vJUn-tft+UxI>k2fX_TW^+<_Yl#lT10dU);#;SJj42tU|%
zc1$|xh}+7C^V*|4JUO<553}Xdys4-PIpMabUjf2uS#JY7%yz=0>F*{u>*77wwleb{
z=;&F$le`|D6XdjoFI-_+qm4Lt2kCrU_MT5Hchnnq&OgxVn=oDVgQ-xCgYX*>p(!Pt
z^Y(Izc|Oqhyfo7ee2xnGSmz0<9c<h0`wnuvDtPQv*_02@mT0eZtmD7nEz13GPBcJH
zsr5&9tjYtsO&8g5ABfvgU$W-Rfm)OiM)>|=qXPK?ReW2X&%A?dd1hcUve+THW*g5u
zkG8YfZLw}`MFxk9r6s(kiH0p>s!jLsL>uhvzoUdE0w2MY+|hrg29KmoKm+pmxTxEb
zgO=kv^7aoa;>g>tCO4dQkq;aiJ=F(#Y%R*EK1c`rfSF5LSfR?m+p%GX{XjNppE&m-
zBC-+NUS`M+=MDGQ9jNuIbNM(~EJ$d_`LD;T`L}{LA;M0+Wt7Hw67I?awFhMJjmjF#
zMLtf5p_A)eA#3;gCh_3J%Q1=N%sPv`WVKkE*Ss-eeHrEGg*b56T~8GEF+}cZ3V0;N
z$}l%=Lp&<FqyGvwo*`sS=l-c^TZiFWmO+E0vuEQOByF@UMr?3K+W!k-Hi$y@7-Kj7
z!3Wa^cG#tOckqwyXNK=*#@s#)k&@lPzoP_wXEjX9pZy*4vwVz^TLwj0nb&;Rl1BG3
zr$aYC<KD{*+H1|ua|b+f`}2SF?p@Dz6e|CC7r$|-`b))+dTI6+-zzNRT{Y*6T9>a}
zU9@vs%FJn{6T?<*eRJgd>&+_Hg~Sgeu-R5^)b!$IN+?ddaJK*0!`~)+_m>y`u<Jei
zJyT|%|6_wdsrFlUEmAz&^)FPgsGRq@zggw;m2>lyj!*8jNjzLyCp)F&huyrxRyFf6
zHGim;YJY#(0xVT=gGc%1!`~YYe@|?Gf0*xnyX-!G>l*p8A9im()ZPF~6dv(F=3-GN
zvvNA7HWYR4uE(*O4Oi5kyzl@a6@O0>P~m(n0hcEr79C$WZ6h9^CbqwSERcvhI1&$R
zaMmo(`2FUhiS47w?y4Vjp4*geU+(ZJ#mN6eVc}s%PxdVhHm4c4zkOO|nDOKxgV`;)
zT)F&PzfXSLc6ZMGEtC15e}8`Y<dYY={~g}{lJE0VJ6?Y$F83C{y4jam*CsEnd#$^-
z+U5DK`dt=p{x84%_UioYvwwen{q^YE>1S(q$BM7aZOXsBTRkgj?>swCZU4*D4;OcD
zbMn7*>W^nsq>ZQc=^E{eODE+&(VE5oT6FU~`+~Z6&ucVQULSsO@qvLD|KW%q?SZHG
zei>AmSoAzSY%uL#qKNQRLA{vOzjVH26o`fKZ{G0fyp!QmsfQoH0<+p9GyZH2(2?sY
zs1U0%vEcq=!2M=^YmjSFD|e;){8qWz8TmY$KNQ~nyL)wZ+GhD>?|;qD;+ieDVct8n
zjrXgpL)8qWjxpH({<wYq^}C;vzR&*iqCfxE?C)>O?%%%oP$b%Y=jq1#yDgh{-wf@)
zmM16X`Yf-lJ&$e2?7NFj-tawEbyIY+v;Nkkuy?895farm6ZXD2)xU6h)KA6ln^sHr
z?2Sp>`({=5!s$_^ir+VBbNB4E(P25e_f1#(!s$^T6~1rszI`lCPHgY9xU!SAFP2Wb
z^E9q3^Xd(6od@4emcLj!tp>zDf8+G4Cl)fxy!)K>w?5JMzRBDBSX`L?srJKX<Sw7*
zIdA{Bw)|M%qMzwg8e}9_D6uw5uCVqC7xvjdo#pSmU%L|K-}v7Au0BM&CAjdxQDK$C
zr_{GKXrC))Y(Ll~8Qmrtyn;vjnTceWkCD+DiLa><hCHV?B*jcgJnHb(iv9JMBeLEb
zvfq5Z`gGr$dq<nvW4~U%!DqsybGN^$;O9xJS;sSHKUesje7bwyn=Pd)?i}O^o~~ET
z=2`Yj@2~IKkYz9Ia+dDC`a#q;_m-3Wmz4%*e`)DIKIHKEntt1*yRS~2Fth7)`}M;p
z=g_h1YZqO*`)blDvwaNx`j68RAHTj9|1z)CFil$i;N#Obgl_!UH8pxybnLO4$IRQ0
zPoKD8<HyqMeI?s>F>LA0XYAKs?3?`fby)YyywaB$()Wer;@!3!J9d4o@#VX(7F{;m
zCu*~=N2a%Tee}X*yRSZ7m0QDWv#;kuPw)EZ+sk%eZC#gJ!_%*?tSEh~eEVwdb!M@;
zTkc$SI_z)y^?bvN?0Jd7Ym1Yz?Tn|`iE=FZ_wtRvzwhVon~B$^R;ccpE2yI97;Y?~
zVX@fiL%{ph405MtiXL#@dX0}~lJk0|)=P2hQvS7EB02)H7VeE2#Z0H>G#IHbIK!z?
z%#q5s@QmUV%NC>dfM)`yWSnL?Lj`9pK7$8EE;xe^Ejkm42Q54ki4}RCS?IiAOOoib
zZ(F<0aV%f__F`Jz%eyB({$9FW?cj0m_aARXE=pqQRQL6{@=I&KxQnXN>knsY=P1=&
zj*G3Us{ft(yK;l0O2m-~AOA=w9{$YqJDoM{(u*#ICmzSLpIR^bE~M2Tyr@F%^WVbm
zzvUMla_sE{qV3PQuSwrCQ~KE18)sr~rR98_6|iBZb<^1!XKJ^k<-EKX%4%)%h9gOK
zkCa>)%SOI9UViJQ2=?`D?Xr$*n!^tt?o+sSaP`AZSAo|Lu6{V8)KU5&t0GD8h*gED
z%|@`cc@ZW_le^qk2`R@cOL@8cRD^Jk*R+E>H@(`f8K8C1WI^bD-^L)rl}r~;ZhW;}
z^TLjGo{h&!eYs4oWkEO^#cO`$GHrRaT~i>n52P3@z~wSC`D%W!!YP^THQtSALaXa$
zGX*}2_<CEU!|3>``^y}rScd&IV_kFxWCM0naB30!8ZFvkwA^v#<ppOl1D=^^6iZF9
z<UKWqE!D3XDx5gQvd5@B@R`9W8Mm2-HHsxt`4*o^oMOpir0(PRtVQ$090S&w!pjtj
z4~eAs&1gEq89c!<kqat$YR-$TOrjtcGV8D|Ix}<OnT_|D=YX95p3x8FvF{A(4zFzw
zfPDW|?g7Y0Rs01Y@9$-|U|n>^(ez0})(OU|6PQ;ivWGbHt!R@8;I&*JRpc-{B#ahH
zjZhS6`egmvZ~tB?)$Lz8(YA8KM2^Z0E^P%Iee+CY?Xq+O%@3*h&+?MJR&Z?JF^i4Q
zItxBpii)r2@;ehP<+mu{%e-k4>ao)<O20DZe<wD-|JuW&?n`>(zY9J4v9;^mo~>0|
zY;T>}8?&rh{MWyyMz?;Se_Xz<Cw`vN+!t@cqqa_8o{_&~qTl9!UNawkzG=0mWL{E*
z-3brzJ)AnRUjr+=pLtuYkU5zh*Dny?^2l}l!zGVXnKyjXo2wBN5vL^MxQeN^#n-Q~
z<dw)Ai49X7G}v-%r-<Iav7mj^EDwqAQM=YDNBnyv@Jc57M^9Xr{VloNXNS_iuWYUT
zam8-EK>Xv6JH;#)J#guJ@i9l^M$U&HDV-w01(!Vg7CB$NDxPSpytZ<>$GrHUr*f{#
z&ulcl|8+%Uy4~zRPW}3`yO(kP%)VE;*2Xt}iA(VHt|MK$!j_bJ$7%~5d>u9M)82bt
z&xF-XKb#SF%6c%9zu?LN<NMoJG=3LkYo9)8f@q#fV9W~7>y8WAjGS`5R)vH_WUb{8
zdTz2YrMIB4NHE)<SDm$yWBQV|Gv?dhJWxvg60~|*kawT$oIrsX9$~2+5-KJInl3lI
z_P+S-04luKU3tCFYODU&E&9GkO()E}S9LN>N3En{;|zm?r;ob$3Ktm$UO#pDi))um
z=~|EJ$+6l&ax1c3yY`l@eGsDS#wi=uBW$%tM3d)3lHtO`-A7ya^wZds?|3Xy5tY(!
zVM$+7Cv>TMQPdWb%~K4z&ZNZDtKHQ}tKyA0vrTG8g>2@7N1;m^yRT1(yDTPt*J{rS
zKbH?nULQ5xy=I>1>z7V*wM&hgLRLz7_3FH`^IEITX};}~=C3~wGOD-C)SkbqKkD6S
z^|#K`woOo+d&lX-gcUN5k7iE$vEa1d(JbF~@ztindt%mJHBk)D1_$<s;G~t;UPVn5
z%8k`N6dHN6D`f4<wO3MR^6XJNpYq_S&*H<~S7-6*hh<ysiCF9Up+;x2-#(o`BH5C<
zmSWkGyfJH1O$sKaO6@pd$QzR~Bk6EwKlh9Ky_#Z%R(m2&x+irmmFrXp{o3*7p6F^d
z$*{Qm42#5$^;a#=)}&T|((zQoyW3ZPPwn}(_tlP`m9yS(WT!0&vl7*><&D|%#k63f
z*rfwUy*!x3oBP=G*kpNQc&wy$%(!AwV7N5%f!!;Q>q|LxTGwbut8Fp6HiOgeRZh$1
zV>*&%It!Pq_CMHZ-r#=nv{JFlgbKGK%YTT>`B?Drgi6H0rb*8N_PZ{X@GPk?oHpaZ
z)6h^~;ZLhpt(&_1Wp|0#>!^eNYf?i)uXjy}dmS|~EVN4d=#|BXyHC2ei(l^N(^pfF
zjq`D|+OuPo(IHl$n^`W`vX4woUu=J4>;AoaZ!bNZU%PVqy_%hs_w#l;-`zfackhdt
zmoLu$|L@b)_phffUmv%o-mdoNBSAxDxxHss{$Kz5`IDO;kG?(YyL;z+nZ23z?~ZMd
zKJn!G?UU>0-#U4}tbN{`w@z>M9JbGYudaW4{oAjB_b)!?f2;Q;Pi=baiT!_f?A!P2
z#y4O2^1pA>lK#v-`SI)3-*10@i~ipI|6bnz&F_yVZ?CVc-1hH#xA}i7+v=@#UvKN%
z@2fDj{8zJo@2wcWzC$J3e!Z?a>(04K{*C?pIe(M?UVh2(;m_r_zQ6xG`}JyF?Z1V8
zfBkuU_vz0n_Fs$l?x?qWcl}qkhxA_S`}gd(ecj)Eb^c|8y*u{JG5@^(Oq;!ZW!a}U
z{{y_4Swt8Z7&sVy)pYrW$UhO*$Y5qL$Y5sRXJBARPs~k?&rgfb$xKVttH{fld3c&e
zSCjMUZMm<f<lf!}N4@)O>t{aU-ZW{=+Pt^xP94xw^7t^{hxf(H|Mw+(BwlKN+{&tw
zH9OXt_u0N@^BC9NR(VyuJV8BdvF3(3(Z{PYmcL2#I-7Cj#66?PZ%+kMwz=^COxF4=
zJA1Qn@BY~tb1!@R7yaIUd67@sJdUHk4BFKL@A%F(=CpEIe~52$jliUduV&}voIKW_
zXIQRwT6mt_jyLH!a~P%@YiBrb);`3o@%Z@952p;eWSnoDJfgI+NxxtDm%xW@@qg`N
zpUzjz^LxLtxp0<uLWH@zn$ELCE%QUN1&u3bF;qX0TjG%axYbKx?%^y0&(Bv(&U37N
z8R>nKRdw1%*AFd9x8t%87VKl@ZIgVS_2PuelDUm;8wB1dGzhmYxN!L1Et9PEjtkEn
z;@H2zB8Vq&*|&17L`!QPJ1I~0IJ>mx|Nm&!+pJ#qZF$$T(<*_EX-%&t8lU3TanTjo
z^2lg4Tg%NW3Jfy3^34B*i&m?gV$Pp>qq>%Vr-$v8Sz!zc+4C7!Jw189Z`1qkRp|wm
z|0UPxwWU5biT~!mY-NY9g5JyO`i9+GtNpj_(~nk-NMJMA(79K}t1-d<z}d)iSB$sW
z%$E$ln>Um9zT&(&?QSj763=T4vi5mCmvs_OY<irV^5(JhPEPF!4cixR=g-WTVk~xo
zIqSt)snx3wf9-s6a?JvTnP=lFJTG(H%(lBFF;(yC(H6rqDbMnzC;$HNqtTt^C+n;q
zS08*W&C=GNbg@-DFypGHTY254SL>J;?Gs;`^yjRbsXAB8oZypd!#5ng?{MSil{p)}
z`dTj!@RwVD`E(?c#V?QV;g@G^z7Y|6X6qy_^ZKn<BY&<G)!X^PD(<LX@Z>d{zieZv
zT>aq_`@-BEj&X0LzjL)0_+OU{-pcpCmgVY|v*lZA-j@XGuC`u!b>%ygSDoKE3r~DJ
zrYCUB<kryzeDQhCf_<~MOVwXFw!V}-?{?;iIRCT4yHvCuD86~EDSM7{c7;gfbIm!r
zQYUxW{#0Jwy+|PLpU5vk4fz0eyWOeflkLAe{QhfGe$s_!7Y>>K)AfJCcXQ!+-QK#a
zs|UaRco?`QX-3Y4Wvu1Aebr3s&dfCD(R`@zU(c>vtG8mkj-y9!$;Vfc#hbUU_#oQq
zcl5!Dk9Km4pOr+ZY@2#j>d5Rm*?BDOEtTu1XY1el^hiQXn{~-o+g}F~g*BHsn#eq7
zbBQSp*eSbNZ>M9?Go93_ZsI!&jC4}A=6>ZW5~?uBxa9LH^i8FA^A#C=bDPV_sS_tB
zdala3@%7Zt9-%tUSp{8zFOt8T*H5uC=X#hjr}|X$Ce=ITo(UUfe%t8n6_|Nhv~j{d
z&iuO0-_MV}VwkBQd#+Lb%%+}G`!0Lh_f^%*+vRmX|4r_*zs24xt)ImAFHQ3OXjfu%
z!+qh0x-(N(@0MjLxtDGELjSVdnJ~7A%ie#pO!Zs#W1)R|`u*Md=bvBB7w4X|$Mxm6
zo3cCf&$FEg(A8UA$*O9|^2Sv__T?|HsluwWoNA}2E1kP@GW_Wgo%kyguFanIC$>;O
zQ)l-TDG}|J;Y>5u%SxAap7`z0-COCHUfdYddc1O>VeTsS>ay?(@l<Y&*=tMQ_3!4m
zZ@?DGf8k@&GUHj>m6T<|>rP45_iWFSYi>Q$mco5iJNM}0X_bxsE9boTzb{=V!*}+D
z*u4)wwfFygX)P6V{fMRI{BOLm+aun$J!XEhPE_{%k$ny2$7^+$sw9{BipsZ?@vho_
zX3jFdW}%7ZYdAe8y;$`rVP32CW|3!;HhknuQ!&>JTOV_;`TD%1i!-F-mS%WbG*@P|
ztFyToZk(A~8<6Aq<Jz0=+{zQ1t~&_2bn8tQ%Fu5LJY+c|Zr;Cm>F7??n{noSJ(Jls
zElD@us<`CUZu7&}wmVy9Dx@9c-y62u`?y%_|HEq^D_38R%<lD0o*8-f--RbtwSD)P
zt1kL&wEU&FC3VgMZ_~n!4=09M_!aDs>b@v0*mKo&ufer-KdQ4lE2kQyRh|-CVU`ow
zv&d!f)~7KE+h@E=>~cK2Q{a!7mi?pWTV6M$Y)-nj<=gslkwfQS$0Wb*s(*4MCi=&^
zf;sEH6;@7IyT^m^-v^NsM;@l;>lB72t9GoPlR7in_LBd_#0}TpZxmSFQki_F#_98;
z2|rV<)~$N6NOu3vpnu2GWtT1BdMa6el4CA|WDN5kt{J5kOj6|ucdpNz;5&!E^jWO0
z0`J@S4}4SWg{Dguy!btRL7DpGCdIe!ZZ2IrpY69(i6xg-^UlCRlPk)b=LM__tkGZb
zEokzQ^ycevy}>5w``&YZ_jNvWu{wS2=hsF@(u)4fWptQupWk)*Nj>56d)$89cN+fQ
zVBgIAD%tIBv)#GX3~IjW<!6sLyYKvz7oRjOG~Q&6uVt8s^DLQ4jXi(Ty=TkpG>-L~
z#k9Z3<KY7C8xut*y%p{d3uzJ*dD!_d_~@_STjp?zOb$tA`YOl$&u)_OZo`(e?sRdM
zO9rp*tJX(Y<ZXNARpcMAGABIGLuh_&_+P>NH_OET&FWO_NvnA)cwm3Ml~;)5!8t0+
zlP?_eu)FOMzp!ZPlckRngd;byPZ8z_l<ySG=U)3#iZ|nuMANR*@66`%om!i>??WrY
z%Xr5V6+fHq@UOJFcXD>khpUIyTx@joJ5j`a@2w1TbZWbgpZwvMxwlR3Yf4w-X7nF+
zO0IAh*^}VhR>deIr871Ah|x8x)BVnOe#{lsI<65u@56i(2P3yB%Ywu<GspA0N&9Iy
zERZSQaQK7U*+Ve_PHP+WGnvhIFk~l4bICpWuxaj}(>rUUnBK*QC@?Dt*cA0eUY3iC
zUw<i^*~ISIjV1cUvf4|hwCXpy%$s~~{;!B17P&=_&hxqWZ4L>3TcZ5tMUJF_-{XY#
zPt6{giwpL|e+z2t-<ba7aG0X8L51QSt^QrP_rl6vJy{m|cFNkTGdA$M$QRCfYX9tE
zx%^V$ywvzVhpV#g<@jr^us;}IGXJ=ORY%Fs8*_K^ZA>{)#{c)}a+6(?S}eqS?@yX8
ztRWl9Fy;T6N#^>tQ<hHM$0J>8%CtoLv&N>A_bRT(w1=&jQ*&6Q)_k*xa!z<bWc<%r
z4<&hLtSNML)9RA&yJ*AI<rwrL{n(XlCf~BXxWZW1i9Rp9ZG0%e`0?ASn*zd;Q#!<#
zR4to)E&b!)T`kKMXIixX>AXMbG<(dgwdvurmwi3M^=110xT^ma)%iyYjc<6KnY+<Q
zCxnUPu6f?<sVb8nb7yp4bYCZ8%FE)h!{_L{Z|4M>r_Fj_tFcDUu~dXZcS5#e`TeHl
zJ=)=^PKzrZ?YgjXq0W@NM(<jQ3!Wbs*4xRh&|Lki@741gyUyOaSvOnQ$T#EBCB?+{
z35z~??A+n>?aZsD!%y!&Pb>HO*Hf7OZ2ru~?I~xcElvBGJ9`oPN4FENW|zEZR#tkI
zE0CGlkfkkQ@iTj&QVy5ZoT6E?SI(WjZ+oN96MF|ntz4!lLJd~Q&P;o*?q%h?DyVlw
zU22NU+N2uImonF;9^BtxY-h_csaVx7)u}4yjDv^iimbVlrJFNu$^Ce_zVp|Of=Bgf
zF-_BTy*@_-<rTZBIUl)R^OybludLfC%yWI4uYZ=Z<KbGxncf~}+IrY8LftBA&A}xz
zMMM{B=AKiyaPP0Nb+ujb*7dqC+K=Vr^YXp2d>LrD<WsiQ(hjAtc@{SPyKXeyelul@
z(5?A;`7)9}*A=v`aCAIA?RQXD;pyLx)`lrrd7mxdxRA0#sk`IHvB`__3K~l1+p%~S
zUnn_bpuJacueiBK%Zl_>(#DCeoIY%p&aj)qGcWOL*zCu_>c8?0(vt39>3)|cSnx_L
zDb45E%-xgTct6v6ntC&eWs`G@#2VYQg*}-|BF;SZD4VjWzIvs^@rCmvmvt-8m8#qx
z{+#1#gKCKSzC5jG;&+<w{&8K_I5GHJ)q0NI{ih%C+n?agh!?uyw?rw`W6`Wyofk8v
zF1uj%t1`d-YX3z4CDYd0xb)0b{GRakdG(bwy(S?~GEC=kY?5#bTg!0qg1LT@sn-U+
zmmeScmU;<r)TMna*dQW#$ox-Nj@ERibT$3cuI^8Hb7Xg(Iq~Y#luwq&w%tg7yZ%vC
z&6!0%YPP)W-ncCOF{gY*Va39uRTi^9G0#8gq}16S$Sq}VA?=lV*-0}!Fl1XQOXrO*
zrDAXTtiK;stDNGIb(~?96cgJX-9OW$8FIB>`meIT(0rn^KKnuL4JR3Qdw!-ZhxpUQ
zSK7st?0MdD<yaAmfT^wiS#t%oy3j|nwxzFMdU#%eOr_4pe1@1`&rB}oukMz-EMT>>
zLVWwupsIrg&260qMS^pMuRGtfyn5}yjn2Twi96iw8lS12dAM)7ftHEdow<q!x}*|2
zMWh$zNh@_mK6H-c`CdM4^O-&E+fFV#vpr{b)ssD5v(`u_e0Nz<&-%4}SM}xA%fcTW
zY7~xqIPu3(o8#94)vf!)F1x=t-g&!gOZE0AoeT||7Ydx-H&gF>nPGFbVpm&Psx`yO
z^Iu{VCO!SbdoAVS3h@oIDjv;z+!$(i?Y7)y<Kr_Q-q(y@n9%j(htbYIiPKa}Pj`N+
zJ)5lQX3HJb@%7njKGRbv(w7+@IWOe;q<`SgI*%g>D;~Zu&tbfv^P1~fl24FqfTsWV
z1Ruv;UAJa@RaRT(vf{|Q1+kqH+QD~gawomrl+W2OH)+|)#oZgGY`s6Z$2Za6XmQzs
zS2|k1XDM8JZJ43{rzLjs(yGrr;wx?+{TB8vYX1Vm)$@clURiTCq3iUfyyJ6U3QF{@
zZS`1uT~2%tziWEd&EDj#%s%mr{|)DKS8=+D-+%m*;mbaTrmCE+&t~&y7W{cFATqyR
z%)0c3`~D~Wl@kMFGo6^P&NQAIGLz}u`WGJrHns$)Er|Ayk5cttSpDDo_Nz_bXR9`B
zs&irU6k;y8F~8HDby>3%-vy7Zx3`ZS^?uVf>l+V8*jLwQ6+!bd)r1b*$+5SdBk25r
z!@p26TOm98(kW*h)6R{|8Xad;w}@06(~O+EVB2GUtuQIqOVz%@Po{rsf8ng9m#v{(
zs-M4Yj#m`>+GkQ{DmUjJH1n7jcx7qlo=J>*t3O7((Ru%P#$T7T|I>KlU9Hxf-py3=
z@oB+i*&i&A>b~}^-BDMcX?oqJy-t`RZ_%?YqVMmpPd#kVXX=%6|FOqBAKqoZ@3d|_
zlyjdwJLT<}#Av4vCz%2}qGRiR2Ug_i<bQm(<5gzuBH=wcf4|!J+6Gkyt@tdJyieKU
z!<2Ht+lGw^9c`<BXcal$GxWKZ6JYlIai*NdD@ngHs~n3js;^XxqE1%JoZEJAyZ)=@
ze>K7j)`?Uyt&!2rzc~9$wvn6Ol5JAg<oj$Ju6(&*cx&>dMu(T;w#OT-%l}5n8GP%h
z)IXn{EnQ)=>4lu;jE(jFofQY>`fx9PR*+l$`03G!8f^QzZ~t$4tXZ<X+vMvK(+7V(
zJUVFjKS_{%@6E8}gWVUV#NG}(`fy`w(Z)U57ulrd?a2N5>v!HulbO9A7aex|{`AZ9
zSi>LpE>>-rwfWQ6byu{wjvbMke&%59Kd%=_&wtr<uKe%G6Hv{}WS)I>r`|W=qwN3H
zlD~FjJlU`FXroNYNyFox)H6OW-RWyyRoJYoY3j4Ga#_Y2(+j5*R-UZ9o@}}7fK<S;
z-Jz~dQSTytl`PtL?S!}U$31SpzRqZn)c@KzxBd6sMGuT#`DCoUnD%4hj}^MSyYBZ+
z*%$I){o=s&Ulf%ma#kE${9wttCP5bO<!cnrzbLwL^sZ68zr47n{ltt3pC&8bP=6IK
zUUTR4!Wlu&N|pzI`{`*A;_O%xxGbCH|GTaqtkRXtmS02fzF;ixYHcaaW$d4s)A~4=
zOKC;90)w)(z51@5lj5en)O@*Nf$HR}BPvHu$ugXuSe*POJ}y9LO6~c}Pt0tN$!o3J
zdFhH!Geh}No+qu(*R%$ISoyJyv*~1&?2_5b^}nR9Jh6Ay#O#}=cFJv+7tHm_Prcp1
zAo1eGPwSO2M+@F6T(~}GM(??K_w|Gi@H3e#JpRyEVb=F=|IAeyx7aH*p6*sYrXE!;
zGxPtf^5BU2-jbVnB~Lk6CtVay{dKd-N8{%m-N1KYw`8Tt51KugZ4u=$;oq^dT`b<B
zj8=iVoR{i8zT5iWXkLx++W$Y*HlB2Htx%Wz+F+)3_{0omeYvR$ky|D#&<f-Tzqhb-
zm*<X~Pa9?~Pu<hr!gJf^u$;#!hDBKikA3>ZZY!MqBcO6Y_nZ&cf_iUE`ts6dKkxY+
zp6iTT8g3u;W>tH?TApu?RmTg7b<t6patGblI=ZZ0u=?|6A>DibO!()V&YjTv)^bU0
z#k>{yua2#IutQmiWygw%X)HpFu_xp+O}ESc4{kG-;5u?uGj0B^zLVPQIyP1DxArJ^
zXZM~q_j<I&{4<l^|NQoAVf%GAnmMV4yS`rbHuUDLQ@gfk7I7BzRUa@o)%AAHjP9v(
zDpMxJ7Dm*q{%yDHgdj)jwfiww?RsntgbY?{`QJZqT~%C!N8?b^66;&yDvu|u-MZRo
z7Ng>~6RZFKdHGDbQ7Nr{Z{GZel07H7{&D{juaQyHo}(P?$6;f{Tad-R$U*S2sO!O!
z+R(d4!sPCSb_)r=^XU09!B^?igq!ceH+Q5-&ysO__3GRDT@Pj+<H`TLdui;NmV*+}
zOODRT>@Z*P`)ubLM#dP+ZCgx>80z(ORWE)F?=`z}Bjm@2L#?|G+x`&VVl>IAQp@{=
zK*dT$jd`4oHcN{HulA<J)fUcmxn^|uhoPaypN>UBg?yq{R{zS}CokT#;q>&dovw~9
z<;NGz+!3_-W(bd@OUAi?WAY1%8tW9*%4@CrE^gP4u29kOy|!vma^h>|zYJHs)*P@*
ze&HV%aFVItHs7M!U4WB^r;Sm0(ZPhC1O44kk9~dUko)!A+0R?`8P;|les^(=vG@Er
z*S|Cin6Zm6eYG?-*&dz4WSf1<_S4MjfXe}nr#u{%9bq@W>N8=V@Y*<o-t^UP6E3P*
z^ZdFxbN07Kr<}Lm_xkjxI{4&2vzLngJU^N{)^;hB2roZbncH_hBcNV<NzKxh2g^J(
zJVbZ9vASDrny>O*>M<whAC5&^Y8|V3cI|!S8N1cFT!)3}vNHGF&s8>+LB}VS_HFI+
zPMo=}dlO5RokydZanwv7*U4)o{%4fG-Mrgx!lD~eQfe*sT;g5b%i@1}Fbei9oT@A8
zaXVpUWRld0=NCUQ{Nni?y+YyKh4n(mrk;tcn&juQwdHfPpv`iRO|r+cXJxk9CSClQ
zHtl=MUR9?vzt0HHC=C5AG$TJEjqU%A?tJFP{Fc-QfmfXWeSPNV@5eghZ<vH5^KTzF
zzIjZJo^$0-rHYyKl^E`9KVkP$`2U>i`Q-<>Qk1^tIf^F5n%s#gp0G=Dx^c3}AMY#G
zvP()mchCK*7{7i;RC`Qt5W5`9CHcJ7S>0dv6^5O=cp&39t4CX>_LfI$f;Cx`4;?=D
ztRO^stM6-tgOfbZOo?h`eX1my7A#S*^2X}&Gux_`GcSApINCQ;bl35Wd!n`vR0>=3
zHTO$<I?Y|^xtINV?@_r!mCqBy1XWa(JwAR7J#%H!`&Vn%m*{L_FL?E_y!af);e4x`
zdj)5#KTspB9-$F7OX7dAq7ct?|JhQC=kwzZUi~;BhgtgN%(?7!mqh<;c^Ny`XtO{Q
zzgzmwyD2|s3xAfdX1w}bbOqB!$MqK%U09Y>cKe8x%m07E+oR(Szke*ZZ);A*GMlqZ
zb!v-t-3)P-sC(fh+}6+PDeUKLckqZv*~uwhdU;Dvtb1eqYSp597iI^&44t^ReL}e7
zBva8tva<_$+kQ^jUv>7}PNvBC^8YrTtDYKh1ZxG)nP$!06LegDk84ZdvSUk~GZmvn
z+K-9{A8*>&o%wLRWU%O+e2wR-JhPnj_&ZFUFJGR)tTE|+p^4UnDu>RfuI9Q)%y&IA
zCJ21fh(6o$t48oa!DiQ+jT^tuW>An}sL#FGbofa><6NobX^ryt&PyH^sL?T>-Ok96
zT$uM(tX?7KD09tD1+~{5p@Mr}-ZH-GQm}W*E4l8S9j42y`g4}KZE20Dy(@R;-qR)D
z1k`R<bZlMq>|>8!(v^wNy|0{&$+~!HTM9?)litqdZF}1bj<MFb8!2pZ(9O-ux!3=I
z^Gr{TulUd3uDkueC{J6Q?<9R}v1y@jcYNQ3ILS||40&J2m%mpocWSNB*?gqonZYM(
zfm6kza<1A>kLyG}Z!<jQtXI@wnr)pYu-=8YcJ<6^hrKsKx9O`jMi~COs<%>6-DSeN
zbKCvO*QaWR9rAkgZrQ9Tc2S-lKBeatGds6F40LVJvokBxUVKk(k#Xm<-$7G_izdwd
z@4tNKOMwaJ7j0Jh5dHpwGUJcARoXnx4L3csLT-2b_?3S1_wW2)JKoy2394z>Z%_Vw
zkV$#%wESa-*H~+^-)?0%_3+n=v)OmgI)AB@e)pm5iS7c!53~1p2Hj%`tv%?FoyPWO
zih07m%_0ZqZDRDBuusE3`OF5r@UvO+i56>AYIJ|RP0o43P%nMmVy)vLMv=&Qo6h`@
z2o0Ziz0SjnE2WdA=in;gdw(ZC4s$yDTydW6{1<Qe<}coQd{xSx{KgX#M5EiDTHA<E
z@=a*zaj1BvHND{jm)WUviJMFxzmT+iUSaq2s%uI>KyvWTzgLz>{Y_xvt~hyX?zHu9
zg;s3jY_C^Vxn)qRwc%**my#7<r5-1~%c;qEEw?gF{>__$qu00pY*RevwKZ3C^10W~
z-hB)5$jb^h&MCD!(mkPCE%f4oX%hR^ZB@T7_n*fxcjdzWUY75r!kdq{95}6)|3Bhh
z!4l`^Zu3uMF`TlVmt)X9%V5&yPj}R+PtI>X{bxx7XZ+NsmsMO8TDJ!F@fuHhw$Ifm
z>BZJ+WzXfT5B_WU{9&K&DJy#U#_oons_#BlaV1qfXxpjHA2aRB#SpWvk~dB{Ds4Ib
zvu#=CulY+?ayGL~yu82juF93N83twgavEoQ5Al6kkP_S(v1|Pj(^VngEaEzDoHFjb
z6sgcBv|ih?V{*T->GHUI)=#xgdFxsPgI<5xapb(EUw**bS-E*JOMMpnYHaw+XML3I
z1G`_3+5<7qPqVzIZO(1I+PH&LjZsVE@3Rtt)!SlxPkyvi+5Rz0MaA8O%f$Wiil!ZH
zYTe&#|CXH0<T-TzdZ^>nRefi+Oph^SKeX0Yz)Y`bedEO93?A=}=~Z)Cd8|(F-DjD-
z$S6B%dcIt#fcguWkiOeDJCmxTu2eEA)!OV=-}pu2XZ~kR+pg0Jx#ji?i;t?Ml)Uy@
zRr%!cMcZ{3kG5Z^j9qZE>3PA1*Nrg>=Z`YDFIr<iQQ*0;UgMb`3dgKIeRa4Y7FDRU
z`zD`8Tbr5Aq}H3_i`Z7_b4mP2XZP>fVlNz5TF>t)B=$(+U=Xj}O-Yx_x>0Uxwg>!3
zeQK!f^z-EMIl<4`uPHD8@@0bDI`0n6gG*n_K4N=dFfX<1)AqRf2^*41=Q-b+rEYmo
z{K?ezRi9_%^xT{pnO8OW$FyU23$-g_wWrB<2t^hcdhawhI{HA*)bc_Wv)Tp$p}c<o
z(BO|%@^iTsGmAZ&Y|XgOtk<ge?zOe~Ole%ZzwcYKM5x8Ns9??cxpQP1rKTKuQ`2gk
zbZ@VPlFRn$m{ae=4R_4!k$isjna4z@|EfP<yvW!i`to|kf{gi7pJY5!StIt#s#i=T
zd+T3KM(6&WF58nn*Qymg-tKB?8yIi5@Nw)GQ=Y6O_xsD&RCcQPB`nV}^S#&D;x}>e
z+3ClHk4cJFdUAEGoU}aUW>?e~_gyt#PaXfwqkk;lWcsBz=0#JcWi9`o-PpC@n~~Xt
z{+7-A-%RUX6=ZGla$3>E!@r95-G1@Rx|_*+%VZ5F&aJ_6-w$T01*$y@`#=BAgn4mU
zsRxg)NfB8t%_4R${_EK%Z`ps(ySO0W!L8%(G<R(Y&XQh#+rY$mu~L@Cn)o%J6E0=T
zTgIBd?LBI?(tTZprL*V8I|f_XRVJKxzwGlu#y?d{UUs#|boQS5nt97ai-Bo>M{Lv5
zXMc?^o!x$M?)IvkI|X*HO}wIBeYCb`=Y_Sc>!xUajq`f5XJgHWnW6Pgzw5Szam)Yv
zSP<>Lw%}@lNcso%0)M+ums(Nh$W@8I<=U3HHzt|RN|kc_q&MYGcTG<gHw#B$NRQAq
z(OrM%3R?8=8m(@s>|K99<Ay%>j!ia7x96THn#Q9R-KfG}F(s|IcCB>C-QLdktQpI0
zw4F2U4*gfoc3pO&y_qMcT#%Zs{GN?3G(KE77xXQFL0+r<wE2%YXVrw}O*2`%<M7Ve
z()mS-lik<^qV*Sj`_adrqJL^WM@gfO%A5=jrar;s2Lalw6Jiu<o=)9l<`Cp`a(mXK
zHGBUFvAtEdxpg=7WPHsR^MyrM7M!V_QfX}|zI%p!bza41?#CCiRvnpSB%->jwV|Em
z*X85+tx<_qS2SdHq%CW7>S;Ef(eqkkj!@{mkg`0ltCy^tHi@wvT{9<XisO!F(d(zb
zJe-m9^}Ez7wn-@uS2vt#IU-#&d&SxJX&MI?P0stD!~EyKkD8xx3mR94ygDyy`i8|w
z&{f+g<;|>#cB!|&&W*^@GQ73(@s2eTQ?Aea_p2k$^J-3qz|{BZ^%W<dZ2xrD+@_({
zhUaI$^)andu`?bEQq!cfd-lI(eK5W0nat`mV_xS7g96b>zj*%5-^b~4@ul6v9}j20
z548CjKapL)dQIyi%c3_?3aqk6*DqUnY^~AOYjgYzPAtA;vhdZ!#5KZwy+7(0+?bjE
zmp%9teBd|Z&X4kXnSQa0tXHScEN;+v8~bh_S5n=w*jSy4F3nTi9X{8dES~h5)pY&W
zi~5fhGuuNitbfB77`f-`?C4Og!iCNzFW8^XUi3Fwh1c7X!Dnm2^sfoF3ClgF?pOb1
zzGQ*gPV=2}S2|0*o%rr^hIAflqs4<aH^lmW&fb6b;d{AtHEYY<Bd+v3SkI!E=D8!~
zo%habdzUL+%DroU*4jxzd?tt9<g`_1j!JKzues&?>YSNZI{Dj<o=Ws%X!VnG@zRje
zJ0CKubI0%FH=fAGDY3*&GGqUlu34ccXR=>B?DFT8t{eV4@m+5X`mmQdyktXaM$PW8
zjMgdJm?pUhg$u^3@OcMcOWIrzIQi`SHjh^!X;ugS8{}Qx=C{UUW?a?0jgrd0lfQbL
zu>8T!JkM(5LkZC(OSu*%Xt&$h{Nij=e$d4s<`w1lX1SOh%d%rL+pKh6J=}AM=l`{k
z1?Ssuv~^tG7~68>>*iBIUMqeaPkvUkX8P2Z$+fNb7cS0pJ6Dt_v()zL(%-MH&a>b3
z{kqEy!8Y&N>waG}toKd28f@pledv&J?T)>&SI!%zeCK{^b|fq{>t6KjUrNgkhA_H6
zzanua;M9`IQ`t71?4M(G=0o?ot=BF_-QC;I`|Ewc1p%SGOT-f^4VoWsz1&r_N@yvc
zbl;s1VNX@(`G{=@=9_b!(d4P4^bg^u0-COq?)*&eThYULlY7tAKaGs`VayMWo0-M+
z&0XGmFYV|}54raG%Fl0$?+1HmK8oa8|J3U0(W)|mrz^HEj(={(A>aG+=*=gId)b0b
zne1B^u-okW!FyuCtKdw3lgvji`xl+LSs8HDHFnwFl$L)bAIte_x@y8d7=Kt%aC5z1
zxsFrlg(+3;o77KMq`j1pe-*YsAg{&b=w7ePfPE)qSJu3072VkNw4Ln``x{-M5EV;b
zF`oLSx-CMpGS;YbOZQpStZ4AGQ2io&&x<Wl^1%CP52mO|Z(i8bf5<rL@}HmEPn7b6
zI_unXJ$ZXmRKxfAr=~SHq%MAI`9Jc}ukSf8#P3|!F`l2uv7xtc(<krkA42PI{P_F1
zP@%qP!ORUEtGxX;-RV419&v%kH#z9}Vc|8^75Ass2}XA>VpZ09a`W@<?R9b6)>ZpI
zzjXY`q=W>GDIL{sR_^VWPPMzT)8gKYg6!j`ZuwSi{k&$)ybCuBuN>8snz3tP(D@g;
zw<eo0Y93ouxSspzc5cN4wv2_EqL0>|4i?T$I#Mffduc7Vaaj5C@DHwEEN+FZVbxvA
z9)DufyQSKCuGedh-udla!>_;2%Y>uv;>&B-n7@3VQ}rTMHq=mPR(4caSC8~DqZx_H
zGXq!meP#Jz>N=<0U&Ag>b6HY-%(aH4Z|xU8|HdBB5Ye)kCAetK=Hgc|!SltepVTkP
zU$xx)3(ui~#^={KizTdP@y{zrI@Tusb-}dlDyKfNyB*EyUv>7dgL`3P>(lV_-9L<H
z`^c#A?}>@5iceoJI-{OfE$4!ecYkZj$MPu&WtOg6?e=uAEcULNe@1N9djCsYc@pUd
zcKKyLF5n9K<*@(A{%<ZyOJ~}Y-nsj@-`^!axam`fyi~=?-wT{|&oO#$-TtEd)u#M&
zZy!G4x_2RXXKTgm6Ta<}#h6$g{^_-`f3I`(%86se2{X>`a+p0U_#5kw?BxesM71yV
zWVH4QHK%`e*0TDlo_tz;_q-1q!d-s-oXBuKuJo+(sd;}TjF=-TW=&i9>y5I$(>dlM
zw*O&ee_tmG_Dkt54&vRdRaPhxSeYtRAYN%ww#2hyu^H#mq_o#N0$lF?e)wNylh_K$
z<ekxv^_RplJ%8bKWA=hg=^we6l+UUMHa|MbAQa^2J|)F2b5@hizZS36hnaZuKXKm)
zo))>+!Xx=#rSRQJ=S5b3c$YUT$?W7TYxdr?y6SspG`3bBtKF<x-4pzdmu=p=ud6PK
z$uNBVGwH<qTk4OBf(_SR4|XvV?s2$1V_u+g#UaQ3N0aKGY1}-e$8%Xu>P^w~#%DZp
zEEvVMN~ctt?8{o{VY_epqfC!2=d9Kz_Wx|*aXz>B<h`rz^UW0(xW|_9Dmoq&I5uzP
zag8Z6C-kNY@=5mcFlU_kx8hW`*rMx-6*C2b#eN5BF3e>$G+{b8IkM}r@xDI`h5N7H
zKge4!yF|B7r0C3STcIa2es8K=Q#)^Ef?(oX)(yuC&e_N3`ZA^IT{>p_#Vz>i+rtZN
z_e=Ggg>~r6%wltr5ii&Mugw~H>%^4+u~0Js;h7s&+D|^Bk~wYi-+iT1_U7w4CI3D6
zhI6^PUapY%kw?4SY`^TD_4oq!v513xE1%j=w4T9hD}Af4ss8XxuKVA*PHKJe_+i0b
zw6exN<@)=hqFI;L?b|s=p^4|w5u-1a5!}qRfzw`pZ_kQYD|qzr!SV$SJa?uFZ`>{W
z?f4I;vH}Ob?XzS(Kd`Mh&lzs}Yp;Ee)EnmXrHeTt9irr3uB=~H@cW)>$&1(Q+ZH=0
z2CZD>cgyvP(Dcgl1{;O=q&Hn#;pt#@pDjwkN?xk}lGy@&j#M{+zDZLr{HzrSyZQ0E
zc6*AgmGp`5v?P}TK0$-8%0`#mUoJUdG2@YukCnaW&&L~VUT~d?y0!U)z<k$TMp0V3
z7E60x4xE;@=<?}5pP6K4mRxw((Hg4!r09-L2zTZiOTLn6%0gu~R5Wh?;E<i#cXWnO
zqT{Efoi?Z6+fC%NzqfSrxuuOu?k(Knvn@Vt-|JHwy4PFnd-c@*Sg=7VPtOCJ>e$nl
zI$pfk&voRN><rDxXW3@0t9RlpHg3JZ^Wg3^`J0|L+E0sKM`-Sf@V_7@&a5b<S;?y$
zDjdAhu+E98;c(F66poS#ExC+iu3axI`Rt~;@;|?PrAp?K+{u>bH`KfDuA0swQ4kfX
z7O2Z;+<vldGsn!^IbEyErZXz<%i;1qDH_;%#M$MXPT0<<(6r~D=Xd0O`04$NQR<l3
z-KB@xp4x5`J9u*4x$eBUWgkCV33AsISf0F}UB|KW+3e@O6`Ni?UGTGF)<-F>2V5JS
zqH-SS|MpVqWoF8h|8Jqrx~Y5jbKX-i+l9AJ{g=6;`rfVV)lr7Gxb@XnuIW=(XuJ1f
z<FW-Mu8S<>OQr-1pD#Knaz$Tv%37t|?`C~3Y;w+7m{e~+&-G5`{+)$uSA|K<Sh&9D
ztlzocHU(QF<{GET&s}mt@1mRP+h_aQdbU0LGV{j~BZK*Gyxp_<_?Mo%{6>+n?vq_D
z_w~i5$%-q&`Cd=oHn(}&j)Y5U#rM@dc|7gTu(W1>qfzwi&S!qf`=>f5Oya-TS9<?>
zf!m1_h9M%i{i^kjFFg0Iiu>b^h=NAl!e?iv$jM!dE_m=<Y@a9d$Igiy-8bBx$8Kv0
zo>lh$Tf|jwj*izH&%+-jpLz4$^2iq9r@yP7OyARHa^d~7YkmJ%W1eVEzRTNxkHLMr
z$kw#e3O`fKojvszJFN?6%T9W+`N7oF+yw_G2Y#0GN|)Zu6TNlL><gO}FEvkhPP!cS
zzuTg3#Xk%7t$A}6c%73z?W&@cb$E6X%W~z)+@;5qJp5N~G&vx?M6GbS-n-HXzFsj8
zlC<sDm7jCZS$-+8Z_&=h=T^#Vt_i;SS3LSo-X_LXEG<<Ly{qO5N@*VJ-*Vt<mTFzZ
ziZ9>qUHQYey(s*s>syV8!;j3`U2nFr?X<EHT(U%K?Y{|u8yIiC(=Xk=*1ECu?5s_H
zXK<!UFSWDz%^a5A|J@=*Z%cDk^8NRxCt7E|iZIGt+QaJIR`Wn1fB9OwSAA1LO4BBs
zHd|4}CT$h16!75I)b|YHMo}xK-`bEmxiWuJYr*-s&vQ7Fc7zFR`IaiNP2k$|&f}s2
z>(55<?08pt<)?yk;estI3-7#sqPND%BvHy{!Q_a5S2qgo{%RH3_jhJT`?l+|e->}E
zb6;@!;R8iwmRsxdKPBJjS$}1!_!hZ)J&L)nuf3SOt-^2j`3*{UlitLoUSHiS;OP9+
zt@hdGo3k%*F9>H(TUBw6OGVuK`;3LPzhtE=W7MkHLv$q@|8hRrqV-lezHydqg+|2h
z_Y<21KJiQ}`C|TvV^!|_TMKXgT5w=_(nB7(xVcMRKRw;#v+$s_fXBJpky-1G*vP4u
z*q%4g<`&LOI@$HfxH{`yRKtwfVoL%I%$;?9II716Md$yzvbD&%taC?3mZNam#`(ta
z;pZp5GF^TCc|`vGI}-A?-X7xK5B&-jNloy0ZYB6RZs7z*2F0zPj;)(EFLc)drY#rj
zH}i$;*i+u0{6%b9@rG{w^@}w1w=kPWn)a_%a|usBp;OPSkR+AFD%)$lWWif~vnt=f
zjW>ArtqS;EVB<TH%gStt{xvpvSL@Yp)?Fy_o!LBxqi*w&3z`Rmrhd`CJ@wcA@~-`D
zq53(`MIX&x<~IFghjziTKVBVG^CCSuR||S^X(TjXOsmeeVU1_#eG|~&aCqanno5DI
z|6a)SzG&F<;hWCq?lbRaNMy_`^z!!9+V<1zpKr+f8MZ8m4Tmj`%@DQU=34yM%XZ7x
zW9NN~_GI4rdZ2VML)4N!<y)3p<5t&PNoTp;lQ}DC&FWQ>PM1EO>R6-nq4LPdq%(=J
z7wlI&T>t5n{GSceC72l2l&LM6%wuh+{PM=qts5Tv_~&+brjt_HS)Xq|U6+|JUYLC7
zr(v(Vv+?!9+;zs1!qrJTo~Ewu*eS%W`u_K|{qho%Q$K9}&*C;?qS}W1#haL}_WVk%
zeSJLCY4?#En@T&5&iD0fIs3J?KloHuC%>NhDW7bXw6_`EPmDMN4{prae5!59{-8Sx
z3>jWDChN_1yB_6ObUwDGMdDNZ?{{0;IT#OBnSP$x+IYq!{gK7@s`W0)%^9<EU8NF5
zJ?Czz-CmgfG(oR9`Kf(g#a?UCsh6Hza%B$n+fcl2dbL62(RS}0waPD=vqJ5)4K}s^
z`r{n?oM*k?`=8<Sb8ZQ@Ij%^Vu}ks&qW@8cAFWqNtu+X-T5;~J2Ge{#-A$Uzb?vpL
zmA>T>tn53?{_XU*8lu7f;AWXYMY0yFYghS`|0dg?tdV<R8magE!e{kA!fdS5ix2B)
z1t!n?v+sLV)+49-#(SCb*L>fyvMscHzF>1)@t4i~wij2nR`NT$oce#@ht|yraY=W6
zDF1TFJUPEB^W3eo`{wVx!F2Z=uU${A#N@RbQoo1`1ik#{ER@CnmSv97g0I`m4O*mQ
z%=T^E>$tmFa+hc2iLJpO)b3^;y>P3oxr&?p&F|?i=T4l!daB}R!K<H5s?AfDY;W&)
z9WGd?wV7eY&xN-0h21x<($;f+R{!PHk^67gOuEw^J@L=?*w?JHSsW(h<%C?B7`{e+
z-lr-#o8WY(jctt6W6R<jX7rSA*kANKcb~@^&WH(t=b7>nI>Xu=c{ty^$<yASdCDtB
zuKjsc^1GS?4-WdByKf=z{^+XIM6>r|tEXEymA$b1RVb;uWL-?vn!Rq#3w$!?<)~e`
zeriU>wJ0-*RnJd8ojF~VPvGje=6z>U7yO%At~1HVfa|T?!yGlS682-lA+@i>#rci0
ze&uLw{CUme;E$*K*6@nuyl-Tm(aLPUD@9^;ZB$C|F*$*n=*wlfDePP}k(a0cn3+{~
z;+`w#$vL|%JC^ypypqS?_F}>{osc7*4_`02*L=H8gei*8qkGqf$@)Prj3x&))~_g=
zJ(aU<0pn5^VYci;aaD6`JfzMy{JeBHccyUR^4_bDr=8jNtL5AZpHmvm&tG(}kL_8o
zY;)+XyZ#Y&JxfmTyj*j{$0DWZiNZOZpOf`O)N%|1S6nol_`a%Wh0z`3?uc2puH0wT
zkhp!c(8<Hl?UnSGf6G1DmmXmf^OvaLY}`D-Qef)t3#IOCM>d$)br#*N<h%B0;+#Vl
ze{OxjCNQnBklV3vrsbcbZGZNuZ4Q-oxv?y|wW!N-*0SKQc8rEXigt^p)@YdRl6&@*
zvoW;ts&Ute?Ms}S{N`jn`t`6(eeRZx-py4DZiR99S5IBJ{FtsyWyE_~_no=ibK6sQ
zMCv~d%Vs~gd>6ZWSLU*5OoCiZN&o#-=LPJpG2mOWHf8RklM^}*C>G~Q$FgPGZWllD
zT!!i2(ZdP9WwLwo8<-7G=SLouV>~v)B6QoW4~u$qBO@E{`b|=CKH?O2UA)Be{aFQB
zw~!rc<IBR#on%%xRa<f$<#`>yWzoFdb8ltK_dJd6xclMZl1&FYk3XqRTBjN)GRw57
z>z5JVuZ<J#XD1vt+c3>%g5k`B3E^?Nb8jdyzuDG!{DNSZ3|ElcYUhnBx%77K*&S7$
zwKe_w({&FTmlbeq+_wAQ*Ihf7UU|`UzR*AW{i)#47u<h3a(B#FB2%;2X`i9}<*bd)
zmf;ilYw9(kk3O~G+&-Ccd8VPB(#_LZcUB%b5H9mM@XrzV@9X#y3pRb*s-=F*ui>q3
z?DMZY{x0V~ByBl;BVmb_&+)uhR%@p3I3Ut_MlMN7&|<}$Q>q8}uIv^6I*V)m?w#Dr
zPp#dO=;#{86kg2z@aPV$Ip228GB@2`^>O=wIcwjs{aSYT!tuw8Y_E7^T@Ji-Z~6p>
zgK34+ZIeD;-7+IwbCzd8r_$#u*E;1sv)+og^*wHE=$m!hR*R88#CJbG`_>7qUXJ#;
zalgzsPA$3qZM*q$H^p6tN+<nvJ$l~qxgztDZHJWu65hW2cV|)Q?UY=f9d&P;8?N})
z&sO_ot@lmUZc+mK$_ZP4*gWtRe?RHX!s;vKb5fWj7@zD{ZSQPp=AGrFabe}&ic5VP
z!g6l-m8NR`6AzJ^*raNHX^HcTmp2%$wr^z)D(h%ADRuT~|D>V0;EUbobDb`-lG?9}
zZe6whkkGWIi`U9rV88CpXXhhCdGGk0Pujk~*~8`I^t`@SM#n2h{U&fPwhC;Gi0e5p
z@$QyavU+OSVUHG@P53JMgu#Dngm<?1lt%I3*IR!X@rYC~FF3!n*JyA3p1jqZTfap<
z;d;Y%OI~q;qpVQ(oq3M6iz9<VWfr_s@&9>W*?fDn*iEZlVs8><X3y^5bR_-q^w*LZ
z0t<fXT++9<yW^if$>!;Nj?E$lnFpTzT42e&(f@5kkBg?RM2&b$kc@x!luN5TcOTp?
zkuh(}flT&kTa;fG8}AF|)ehzP>LL-${JFs5=gr^S!=CxroB3_=Ijfv|RU^x6lEdy}
zF8{VGsf$=ndKTiG`ZMUWd(<njf0O2|`26U{yQ{g8O&;b(DvuRPzn=doW@0vzoqghs
z?#L5QqjtR3D&sX={9199LRgPe*41Q%%Wm@*ZrwH`{oJROVlPish30m-H_X)woWA#P
zrR|y9j~-OZu$gUX;cU1NE*pEn!$S1@qz7xW=c>+U-n=Dm&9$t{o|CQiU6nRU>+aZ7
z$T>M~Wij(^rJTaWTLY#1oo@A9oIlO~!1usCnIHY78f3O9#V*~PKKJhFXYc&EW_jeD
zZ0LB?veWmRSa#Jq?QcOp4;;_mtn=QE^H9u3hW|&5``v=yFaI_z>EX4@bGIkF{PWK7
z_?(G#&CMA{yKO(IoSPi5k+D*88Dp@N&)IJC1!t<%WMjT}u9R>%c)&A#ZDg>5O>dCD
zto8-w<UF3R0!_zu-yfQr-%h$@%XYBm2G74KCm$ZVZ`qQP{_W6)H)k5GrmlauX!Y!+
zPaeN{Ehllh*=pj2r)3-|+=}yBmOrRop{HN{wt4a5P5TR$MC`XeS3c{FW9z1e7mu|*
zw%gkJ#`N*?m0YU3PqVrH4%!ubVS|%z$ooUTC6;~+bzjyTYBz2BPN9_bw)c_`7x=uW
zK4w(6CEfF6z?OR}T2`7x?=eZ47}e`2vvTS&vn`9}o%s9t`u!7E<<xBpyMIkx=w}cf
zxTY~+x$Uuso%t88s!lz0>M9qvd*u9xgC9Ff>cb3rroU-8WHxIK?`P48o;;m??Q`sX
zoqoUEkj*|jGUw2i(p7J#iB4l>O5c9Hs^ZVJ(yclv3tK!>d6bUFtH>PQUc<k<+3iyG
zN4I}w|C+?Nt?pL!k}8j0XE&oZi}U@iwG-Z!70i17=}E#1!>Vg`lIjk1+ZXpb^YOMj
z&HKOSS(NJgx(DkG=NvEjt822f=Z!>^Pd7*0(}ks9t`}s@%jf?0@THG*<N~qAqg)+A
zMV@Pa%r#WIY-)Pozuz*uMTVy(*S_-9iBZ2f>-oFmi|v>U?X;D}<_WOta4Z$8%XoX+
zC5i3inn__jN6z=(O8tDjmFeM~ZvO6fn@@Abgl81|Gc9kGQMjac=E2(O_v230_npjp
zAr-Hup|7u1Aid-ohvW%n(_5_>??UZFfBbT64qRLJU!bA=Rq_8vI;(g8tDd)Q;kn@a
z=?6pvzy1`h`~7xuw(rT;-9aLJ&v$btY+PjY=<1t|%*>|2Tdnt9OkFONX>`)9RO(9W
zimSV04)z#r%U}A=<@4sIi`F(rYcK5G{7&k)0>=v`H!sT~-gw8qZ-x3N_bp4fRCaRh
zIjdiaoVz&`b8S{WzT?%wekE`2zGc@dE*=n>o~@sl8S|$qPM7Q1=J4zB|21F6vh*Lg
z{rmWy@9e649MhREtNPsg))v!fq|f!bGkA{7S570XnG8ZsyAGeSxMlqP!Mi!aTlRCN
zS~$lE)ylu{unns#3YiwpwM<%cm-4>3w($!Eqt`#|Q_!;J_V=^0W_z1p8u0btj;5<j
zR_rV??i<xx(pGb<`u)+*+&$_<bmXz^&VN&Fcy9B^*DpMuecXD!@-M;lb3WxhI`zD~
zX`b2q<<kqkGF?zgo^(jXu665)fV&GC|5s%E5cOKiFqKtz^Wv3N=R!WckTVE)-=3ze
zW_rMF17GCiHPehG7thy{GWaQbYt8Hww$EZ7Pvpx)-QU>;EvcR(5u+E*(!43={B-VH
z2j5C_Wf#ldu$2pUIG(x7x+v>^UX=eHwcGdkjMkm)4Pjw_x2L#g5kvJnu};3g)4ib~
z`C5-=$3%NZe+azYyz0<37BRnDcco7r-tyOer$V>p-bFh!I)1JVxypTi)vuuUZJih0
zmOtoNKb!03s?N;UI*)!WuH3nxd&+S^Q~Qs~e8=>;-}L>my3KcBR&4i@mv&mhN-{UA
zg5%zE++WJbtmjjCW#QzO+o{u+g!%r_nR<t*Jw$xRlaKC4RBo=)e8$Ns$+1i#&F1ax
z$GgOj-#V0Nch_TAA#?iwWXWTl{Wol$-n0CEK7{x9*Pl~PGjD7?(OxAwIgbB9>Gl=Z
zbKF1jOqrIyR&yI;llLo@m;+52QS$@x*jpSAuD^fPKeyehDoy3)=OS-Yfpn)@PmLw!
z`^%JiW|^#b|KYf2fp(v#q+*jSo4QGSLD<*R)!tQ`t7^m)ZPqT0IWfh(X#JC$QZt!8
zB^`DVwAYz7^&jVNw?aw&U%`4$U!OX7fctjV$CEbAF()H+p7twS?Tov$dReG~ZPVO@
z9~*8mEUx^s!l;{f(&J0w2e#}p*Ac!m&3EEN?(SbklF7?bxmtBS&gz_VHdkMi{aE(V
zvW$CNMXQ3Z?K9wfmHd7|>%Yy#t5-{0&5qxz>}>Mq$m(-dZLyPk!XGYZTM=<*ZO74-
zTmRHIw=Vs^g6)aZgDCc6$3iri<{3_L$-c$vzR$e#LYGNHhmYC8iW&0Z_XH%k&l+9d
zYF;b-ul0-i{B6yB2Wxt!vi!KO6Y#I(wZ!{v_P19|Dh&&nvPr4VS=*`F_!lRq!W-6=
zcf#|N`7cRo%+i`;eV6ak{cX*;Z_b|#J}_avO?ug}7?#v;m)gx_^pD&P+IwrdLc~R;
za5v5twS#fzbYIL#Ty3ZMMn7n^%8?K@L7|WSj>oItE#mpU=zh;(kC<PHkup*OU)uEe
zqBbsVSa9BP&9<*glcprFAKY9Xn8dz|xkkaV|H}Gowu=^@l$7~h*U!1LDOhc*^rk85
zb8gNyeqt$-y>#*-#+)3Ny%(15nt5%fvTmXm=bBHa`X^*vt^1#?tG%$|@IfXs-?kI?
z6PL#cD%Dr`{5<`Jqv)w~Wl7`x*2ShCEAA%5#rbA!UR8T|-hv;h3=bUoI>R?w^V}Ez
z(a*i}mgEiYs|$MHufMdvO~!KJV;9fa%y&f2bGv%7G0)pmaB4c&o^!LFDTOjVX%eq#
z(Td-d@M+0<_cc%YToz`qtx<ee(4~9X*Ct8p53l$M;i|oIWp5039A00se3#Y7`ljry
z2e1A0F;R;zUK8D}u|vM2ZVhwCcc$3A=Gl`RBf|=3G<mU^T~;}D|59gvPvhfcseNhJ
zZrWY%lUyXm^&&!Z?$l{K?>Rc19)ErC%C_oLw(QphZHm0x{K`cA{1@H$n)0OQQ<%ax
z)BG~dH6O+H?p_+t|7qSAmi?X^7bZ7MViQZ9ab?%Wejd?$=Q$5@zrR&<d)Yf_lk2pi
zwytpF4d)W=?Pr~KO1|3C^JU^=JHh?^4^^Gr`VMN|Dg4J5{&urJQ?}DNX`j>cj(s|M
zHG{p(qu5LF)Z$S4vnx85ow~8l?;P*r%7iT)3@H!S?Kp8}Zm;r$6H%AKx7|GX&&pC~
z9^<mM?llK}cUKCA+lelYl5W`X{mqKGoJ>t!{9m^0>bNZV<kte>tT(CC+rz{?=Cgmb
z+GjE6^_{(@2HeYkgj7duw+T?;dA!Pf{=a@n>kn5>KKXw`*<|J3-=X0SLZSuM-|q7{
z*V{);d%-NaVV-S+?!KAPz1lmcHK$Dbz1;uYsf^3l1XpZ%?sQ?g#;U`GFE6PFF5X$J
z9WWtzCD(7Zc%f6xaSB&053f9KAGh|vr@dVkJB*$htmZaK`?s~_Sm7tWWiRqg1xg-&
zP<(9Ayd?Kq?8gUN*KPhJakcVHR!(XD+1ZN}YHszuwfwTYV!`EA?<f5VUL*fd+>yCE
zXVs>g`#+qX-n-6sLx}ZGuf{B`lgi~CK9ObzZ>h~zSukzZhs8%H%r<b2`y_U>XaCw8
z4M(cE*YH03v-vg4aqZhrg4Vv9J89)p-S$7P9(<0g>dp8dtdeqaXZoVsS8v5U(`#w3
zNO_#{;q=nGd(Re_Ki~1?O8(I$-6y#>i?!eQy>`d8+i%{ne@G5~aBbG1zb9|(H{12D
zeY*Yyb%$@u8K(9pZQdXLuKdo|n1+1??TJsEo=EqG?U|`^G~8}lWV_KO!!wS#$+xtd
zCSBl-o|QfSiKN(f+lB8<8x@}H_m9yLwQJnhIVb(&!Vf8iZW#*4R9-w);g<-1cw1B<
zm^sL}>Zy>EpLFpP^=-zJSnf^#cuBaa`!xIVqkKAVLyt%L-kCJB{L!qrJK2<#H2xb}
zeR<lrZmrVk^|tZ3>)Wln)*gSgY?DE8jzeB|(euC$f>Cu}jb`S3+1kq%^`cpSy@~eo
zHD4s&d@yd!R6JJs+d^#jOP_gN`$|5u`xd-p?J9i9Tyy2)JgehbZd1h;^lgcrz(3RG
z-HL|YFN$4f)%{e-VR=|{ERuKmf0c>RlW(kw%{jDQv)=YnL80}A6qTCA=T{vu_Yt(U
ze9r6kglqZRHC?G<l6|?3U!I@TIj2~)GTY!o&CdJ=&$F!84LzARthrOAb!uf+hM>W<
zT_XOuMQs~TxmH?qSk;<O7CK#XRjPBTmAD?qzH52{{#V5(e-od4FjYobs4nGj%=+bX
zdo;Aoxt{dsov_=q*W(+rIn&0foiD;!KIBHGu=lLonem|Knu@%{nOBO=+4~>fGT@U+
zWt9BBM0~~F_ov(IZTaTs>g|0ivGj|x_|4txW_JoKoEG=k>;05H3zWG8_vy_05PvI$
zS=VNY-paf`?~eSoXE1d*wO{Sl&n3}|UiI~_(zEw-FuTc{)4eRgqVxCS2QL-66ZE3W
zf9~&hmagKAuDOvJEG#wQ{IzF_56-JBck2IdzHVxj*S|CSLR>YD?DT1#`MO_ay|u}b
z`%^+qzb!3Pe5MwEtwU&`wyS8x^E;<~Mb*xfF|B@dSunzcS35mv<5F)A=d_D=tD6`8
zoVBim>(JvRiMjW@mM|;CYV^!7cxQH>ty7^>bkeEM9$Wlsl0K|{n9^U)rTt=Kv+chG
z&t}~%54X%!F-~&S<UDvT_?~2tr{L$CNw*i}1jm>>$n8wy{=ei=@boCdKh@Dp8|wQM
zq>8@;g!0R8UZ44>YI59XBlq~fQc)8&Ix60@d8ev!RoC!$dKr&%*T=bf*)bO3_si}E
z=bqT%p7P^e{x^k*%6IP{df6YaErBgs<MgCP|E(ck4n(cIJ8jnTyq<kry2)Gmr?Jmz
zW%krblvepI%kcBNt?jPs5yJmN)PAI@e75%IYhh}<TkBK&w%v3ppWx)FiUHd+pW8+M
z;`yjvXm;iDY@Y(A=taf)@wIB3M9*5E<gA=1s>*!v_sNU@%C8<+mDzjib<S_y`8zds
z{=6d@u|qEPLFwV7Ykr@34Q^D<X|&&9HaAvcv#k25rlf5xCpB;8f8wlkobhYxgSE+3
za#iQL++)<Ws@!_l`!?6JEZOW)ex<(p>nnwy7Y}sI$_sXQRoc1yX5p91vs>?PHdVEd
z{grY(z;(`#>)%a(bgnV}_Ws+X3&En7L=I0>n-|jIw_^q4oRF7%S7%&QU-7SJ+O<Dn
zi#2**O^n!IYuw_(ag{Cf>1ulo?!JkibXFKIzaBq-djw+v|0ZkSr!VVg-(rhR%X0tK
z`Ssz$aOOChd6&J!kB1$<l&QN&N~)jt;F<4VFYOR|xg^kg&GY=bu05GsJFaB+^iP~7
zDYxvpn&^6wryN%n8JSHJXsW+&xWt<ymqWRT+wvpx5+2W^Miw`J?cVyQzs+G?Mu&21
z0-sv6=uwd~fqX&V+P@vy`KZQQ;nez^98r;ozR51y5e#uI75ZTc=~b4A28VtrL``;5
zUt?XgcG?!Bii_;$G6aRoFFpu*$6VARs(Y~cllZr_Hdp_ptk`sLX4Q?Ovhvy&CE^e0
z?0D0>!gp52Hk+5yD}2PaSx%5`G?bX{y=GVM%>Vm*Ucb<Mteu$=wmox;%KUZxQnTgm
zFd7#L&7Pax&Rtu({4$qP?uwL&(X)LbUvw{@TVs%I+R${2X{VQ7h>7D%*4Ju3O&O2(
z-1F}f`*P>4^U{mO{0~hYbL+J)%k(a*kmo<XS8Cs>i>b^`yLTsje*ScKk8^C9j@9|y
zfnttHQ+|cCuswY8V`9p>HKKM0KC<{d6t`=+)W%!<^ZLX|N+wKBVU0_7tvQ!)BHqKh
z(pmbNqVKNtOw3%fXWX7|lKWcymer9o<3q2Sa{d1_bLMu2t5^gZ9F5L6K6S#>N8GJp
zR?TN~!zJ$JnNQ)-sa+@eJ@3sqX{~n$v=tXODxOlF;n4CTGjVPCqbW{TH&mU^)Zy%(
zA^G|GV$Gsg_qM<CG(Mrt&%V}Azf-<R^`GyL^V&LWe%+QKuO-U&E^fG8$bb2%t-Vfp
z{u`&up2vfY!sKiA@2+1sx&2D{E3vzLOXb9mUog2Pb51H$V#SYBvz%5(r^=iYc`?Vz
zY@XEVD^5q>@n4ZW^JnE<QA7WC?h|4qz9=_z$O_J6E}Hc^t>}xRkHb3k840KTr%zF-
z%wd%JapB>bq)Rt9&1&^7IaHbVW`F+YMWx5~mh~m|)T@e`SI_ESSRnJEXzl)kt2wU;
zzV&~^eooKt+86H5X9;3cnKLW3>Q6*0zv<w(G<@Y=#z0HPCH8C^Gz1piyb>(Altb}h
zh-=8<FR5=9h)g`jt2UQK=)u?C2(6#T+_xv`FevWce6D1hW>WR>2_B)#OXk0@I&?Cj
zW?GLwPr}}*WimyQTmijz?<6}^`%EjGe!2L36!*jJt`!eYsPvopDqTLy<`L&`<Ry=h
ztz!;vGOM4KR%qVlR;9@uNw4>qEY3XEcTnrr^jFFAqkP>hd8gbzFYq#o_ia{#UVvrD
zi4I#keh1mO-05Yk{><P1q?zgEGB3UQ<C&B5pDS-(2zX}hyY(jR$pU*zx%$-$7Tzs)
zo^fb;&xyw?Rm{YA!sqaJZI)ih)3h`H>5Hjnh1NYe)R|fzR9r0Gvh+aEir%1p4~<hR
z0w%WnF$-N>@#E29r}<4U^;cX_F0KuY{d#pqX5}NZim+}^^O9)MleYXzgF4?W{=HIN
zhf_Aedg(S^jps)4ZgNwOC%F~=)<2x>$#d@S436!4Ob_WO&no+#=HamS1?Q{iZPnAZ
z$TUuyv8*cU?>`mh!_L`Hugq|mk?8o&oJG_xtXA6Zz{CA<pC$Xcj@_Jc*13CoAG6c$
ziC2;j?dLAGJo4&w&Ej)Rm)uv)&~m(S!!;ygLU(Y%uMZ~{uIN+xD5|_+_uG9pW4c5Z
zzjW&`*tX)ELTL8vA|~x8J6e5TWLunf>23)8C$nYh*UHo!@uy*%_O*+&Y<qae<MDHg
zD7iTii%tE*?KeHzBF+E5^+o3<2f_MxfhRolt}3|7ALdLs?)+{O^XD%=W_@{aL3-)y
zCDnxy;g;W?eYL2V%%OAVSc1-m%L(nS{&!UtNbb|Qc}I7xSF4ZjuhZeHH>)Qlx|X(j
z9_j7(N{;-aTvp#N5&Udl%=;+w#{Rt>=EjF-OEP<w9lWt1`bvz|{6LGko(-x>d(0lb
z`uJ>KTe^s0b$oKk(P=MpUM@HLzVXf;Hn(!)myh!m8CW}AL-*F}CW)Q1p2Ax)|E~k1
z!<Si~%Jw)}{SKeIe6QP2DT&G{7L8uqR;7<`F5PVW<B7%W`wIgzKF)ji<>l-ZiU}%L
zzA-61(Z04au-ET-{qqMqHJk3fUa;vQALH817amyhC9zI&vSm14ocwvhlg%=5IyWBi
zyK#K@aA5I)b5rYsJ5KFMtG8wMHnMm99&*oGb1(Z6|Mja^=uc0%V|VJHfbeI-oI`)z
z0#;i7KNSCyIbe}krcGR83fug*ERk;$*=DFUi&_UTD7&$>D<7Nw=gKQdi-H|{rCPO=
z=NCV#)C`!&oVV}C)&E~y4kX^6^>AnCyi+%Ba%yxL+?rNCFFn^mDNelPwQ^Ro%1<km
z-A@EcS#Bu%>YILkXRGkdY-7c&*hC5AH#Xq{Y^P^r|M%Z|u3`J7n~m2WOn0^5xOaEQ
zGCfxI4e#FRIZex7@%4@8f$5*5l1pAbI~tey;Pu3cthQ~@$5s{2Rqc7=`8<nj!k0;R
z)~)n(-n;LoPjr8V^<UkXJ*!W>2vTnPuEomZ8Q^4I-Zbf&?)4>mEYJUaQhBjBW&IJ=
z+NOesR?l|WuF2Ba@KgG^*ZqWze4dX#b}%e@5tlP>R?#ii#zbD%H_ePXp6^>OxBTvY
zSSRi|)8<WGa!KQ3Y2ST;3rr3QZ_DIA6d64&y~0Rm57!O(NmpO-KQGg`{Be%ly4SxK
z&R-YTs_=fDglX4Njgmb|aXW-Is0ROUklFZ*<Nx*pSC^+B^Wbq0UE=g~>C5Qi`|~Cl
z1fSDbuz3GBi_}fMCqw7|k^Qsi{MB3K@&`ZXg&W_#6dK6j&z9|OF~PZj$7$*2ot~RB
z#9Q5Oe_%WMU!dp=$Cc&RI`jo!uT5aSGR=Nf(7`C-nj!_o^Nnk!=t_k>UU0!L>BYXL
zy;nkRHY^c!Q$2ooL5Yl@;*>(I`Rwa2r(L(W#2A*n+(=YgyJM5lrStD6|IX35;;A3v
zROfo&aH`uaPd`8Xkn|~n)~`9WHf(nb(fDv8vSI!vuH6&cn*yc=8sC4;Z}TX;jZ<jx
zYqbk|o@f<FTej>KYs}R7Jzam%AyE!f|CKuRpQRoO{?}Y_Vsl4C$)`^(cF)<azVmHK
zUF^4fk^Bq(ZTiY}%{Cw01e8_zznVM^$$6$S<HfdQhmB9=PObZ&#P;KGQOI;HAN8qu
zo1GIbyE+?4*4<in`s1U0nQcLKdv_&DEOe}9-L;>~;CsmRN2bZ18eJ2$SDkpV&_8$g
z%+eJZ$G9}pwoTIiE_SfXv&3)pRZEFwziY35`0#d4<FmA&db7{R1Rg}LWtOQrCwcE?
zOuyo-^_rPstt+p)o?*yRzm;s%Yq9d`o@*JeE(JQ6n$2gHZVlny=y_`&AHU85CHp75
zX8t#(_T9-?Fn{CW4&@Cl=ZcMkncsBvuYGYe;&fAKOZpi<<*UE+e^>9=m(CQ{qT4vV
zL9C<ACaG*e#DBvpSB~GzNMw?@Hs{OArMoP0KiSTx7v42>v;FkISg9qRU)=4zu1~q$
z*x+(hY_`yB)3>RP-*!Z=30~7#micDN@ivBqziPI4M{(8iUWhxx;Tp`#vb088@eA|Q
zhsGC*Phb1>@QC#FUXz3JQ=a$S&^-U|-o~eblJ!MawO3`{Nw?pg(I}Cq6(gT8_wC33
zQ<r|=4m--QMkKdxt?rG@iySi>rk>i&99Ox_eNtw~lLj|gNyZ-ARGvk<wpx~$w|15-
z^t~6nq{qvvwfgqKXD!$Nys-P>JHxrt?$0?pt(Egi1tphe?=zg=zEbqF$4MOn@vZCc
zB}QAf@Cw|VkfeUO-qZYI%B!Dq_hnr2T)NBP(f(5}+;mSxY%)0?98t9-khdo8{Ki+|
zH|Lr-?8|aI;V4qq(68^dz>>F7vt#+e$jz@5Otzj_VK>pjXx8WS!$mV3&wk0ckh59;
zM6&m7W20*tDJMQ|Z?bD=?%Kc3GwN-3WOtJKJo9O#AAgrF+_|K7dGp@9(=XjuT-~zn
z>UJYNp;nb8a?{MZXKr@!Fc-gWqVPlQxKY@r(9jtvDke5em#Uf6=04+T&a~P+S#AQC
zzO%)nKATnRqUwCg^86M?cdV*;GWYs@rk<lL{RNVi=KolH1wW>}wq3$$sn*76vf4uL
z<KpxC<_Ju_J3XbSex<Q&vgENBNtt@H`jbr(ujl&}y?qdoQxRrp;p(KIprDYJXkY-M
zjZzH^0y-OYw)3XX`*&%1v6$0E_O?Ge{Iq@FdUd2s<erk(dot?Hr%f%hH}U)`EK0dP
zYgM{LLc`NVw$uL3etmN*d*I63?th~a1!sKEI#QOzTmC$>=z{75Y5A8w3~yEX%uYE!
z>C2K8!kO7;O+(iT1sO{<9krPqC-`0cXR&p~wXhYp_3rd9otw6~dznX=mg4yb>Ggs?
z4UFV@vTh#^i_bEOKXd*;pJUOU<U71ZerK~bEH{;XJ#pJ~U!^}EPwZRVf9w&P%iNM3
z7m6PB<um%%$Nlo+nJIYj)AZceZ%x7k7KG=W2{3pT@Z`s*(|uoKHdmL=f8n1eY?k@$
zL2$gP!;w(gmCu7e<i6pT7JTKkRoKp7u`+fWb62RwtxtQBm+ElnGP<;_cq26TO_7m}
z^OM>Ie3w1lE6=;%x@lUbJCWbMeERXM!l?P)+tj2lUrck8QsXk4pnuJB_tu8S+Zm?y
zdcOo^)OwgM>R!}4F-Jr8-lM(urDCS-Z>eD3tdaO+`&avY(WNzur%s6K*%5YKV7}Ke
zjl*X)iAJqtsf=m*Q`mTPcKecBmoBqJY`9(*y^ckA#l*&Y&!4=VCRMP+^|7GYG~q3Y
z`Q5Fn!l!@LNxpK-{gk(1N&m8oe~$66_Df_Om)Ln{!{S$p&sBR*-()jRbo&3_CfnFq
zY{&M${Xtbu6T&`qR2PXa%UY)Dp5!C>A=*T1`>EeQ=1ly-lvJ#optP=!<#p}us=|#f
zS}GZ*XKBTS?sNJc@`ytxF!|wMfkzt0CHuP!x9A1_YpdMXJk_b(RJh~(m0Iu17V#%j
zcb?Q#|5{>_a#VWKmW|CR^Mpb->U;5ruR9lKJ1^|Sto*>=zGBmCezy7C{pN9oXK`}j
zLI%w}U$sx=1dFZDS2tU|PxI&g30n$po6Zvu{C-PA+ng;ww&dCGKfB+=<m^^@)+j9X
z)cBWRwSK@NZQo`MV{s$(O@V(``#CketPd}|^Z!$XLhHq;e4knqH=V!o<uFhB-bCwF
z92Yh-xUFrun<q1^V0J*<1GYooVz2)@f8_p&;+<7ivsWywn50wwX5Zh#jcfimsySZM
zFn3N7WNlz%)!)5TcF8=JO^<CHLwX{f{tD{6@^Eg+EbabV`(yp$!qnSSQntIayr0#x
zXVuY)1Fw$ky^`oHujs<ja{hOF|6QXEnKthgM1HTnd;0drh}JyY=($b&FHV0lR?22g
zj%C~##i>{Kjl-Ygp~z0>)opQQKhGy-ckej%NMxSOoO>#>)TW;QxwU4U`jH1m%{0%n
zr@Y_6t=keR&`~SV{dq3S;VMQ|H={G9YbIw3e4Z=6^-cee)i3g@Wy|eOl^zhk7V7q9
z^KnnJ(iZRPhpekPK6*bq{y2R4>*!>zkKZf47Jk{_^Z);sg-#1ztWI`6Ke6fK!31T`
zD=XL{6b)8ZJ6;Hh`^sXav!dd`g(Rl6RzJVUJZtRXW9okPecOvOE5CirWT=Y$G;N#W
zl|voZY>EWTezSP~?@DDj;b;0vT**$`<j#VnVit?TRxJN(=zRK=ec~PM<KItRp1$$x
z&fu)AKeJZa|Ck}Uh5x3iNbz<)mgGw7$7fq>irZDrGoMVKcuCf^XIJsOBL98PF7gpI
z+#V^nZ`B@e^g7L@FsbQ>R^YGC^8N9LpK<@Zkm!Esd?nY)9HA4IW$K$BMn1K8EyU26
z5Szo^`ziSH;n04O6;m|N|8GkBb0K+-ds_}$YTk;C+QoZZGhfV*y8elo`+VrT6*I40
z2(ps;aH;;U@zir(vv2>~@Iu$F-v5$<-ch$XIxCX)1tz`NBvxzrT2uIw;rG)&XX$h_
zt<L0I$bJ6Y?^WM4z04jtp48v$l>0cWa{^P+vnvS)m+-x061Say-6~L3Jg)cspI)W%
z?Mt6qe0m->MS1ta<Lu@&Ie%s5r0#Lx{d|SP_R|d3gjL^L47O}ZeEC9P9q(B$PnLaM
zKeDGk&@J#vwYgPsEYaZQX4Y@gQqt!#KKL@RRH--YZh2K^JL|sq&gZkYtd;uechfE8
zR`_B!+xXhR!e@<obw{pcZxU8`eCChi0*AvB6{T*go)Sv-k+wZKZ9U)R4`<5`C2db+
zyVA5}(!Bp-@vD?P{-@527iE@Nw0%j#k#ggkdyXonDNFv%*ih!KcDk&(z+lz>f{ZDx
zkFU$MTiiQ*QuM`5ZyxTN34i`H#BX$)W&UwzZ0)%@!VZ%0)+ayyjx!Q^rgzHUyFtt8
zk^c-;MH`#NS@Rbpn5ZgGT+f`#t#$L5UjoOCNdN7&y7RJUvAy4S=ycfMKAyz#RXv^C
zl2_>p&bYh$hsyhsP0s_w+bn#9t-K%byzp)mXY4z-Gka?2*R5v*vL;49J5?(1C6_%Y
zA$7XkG6RviK*QxlUI#n3<~{7o5P7xe(XuqPs_j;@GI~?a#mg*6ujAg5Vx;oN<Y&f1
zvAJKhxF+muep})qcOzzj*!d9kd5SU&YYe?}zD<$h$O-Rb`}Zj&E+C}R*Xb5Z_uU=(
zZ+AV{kH7A5$I4@oWqbenW1Ekri2Pya^GNtqal-e!d-e;))un!?b_jm%4_7X(eIT~x
ztJs^P7p!Yr8xJY<{`<FeTgtSS{>N{whMh<fFY?~|_D#TQLl*z}`?mMHDvB%n#7~ab
z$auX&Y1Wqb)j3bouRn2kb0TTF*;%eNj(7L3`ul!b-ZdHX{#@6J7Dtv=*^?jtXLGN+
z;4$Sn$Lo)}tT&q@!uH+Xs#oSa%_8RNcS+W1?;lKeJh#a3b}0K>*MRu_GA-ZTw%MsI
za(!0&(CyftWsj?8n|66RruE<Ph=2dzweHpNDcja<l&H=5l(#T1acB6}mh;-59KN1%
zzSTdWqLH=w+k@nn5mQ|%kNnGgXp<=SWU}FbOp|rSYm-X_j}>fre{}8kcBRSlHWt+K
zvnSL!#Tx(NELm~-^Xo;YBc=tjq+Ptch<VHQ*50aLLWXk;WUpLt?ak8{;#*<Hy02TL
zJ-1pyzv{;K&G``r-7VN{8kwg)**E+5xq`)?Bz^u{P5UeI=A!M|kEvFk35y=?Ka}`J
z<lUEsGqKCtR+&FidGuoWR+p0;y{|T2P<UkjZold24Ef12Re9Uy-MjtT%WVaV-tODR
zET*X^+T|~)`@QWte6jx7w3QECK3LyyKYPR>W{tmhrKx|@;%`o?@*b}FW_Z8S^0DEA
zITIx}bp&;An|vzkVxJb8vchfKhLmbY!)*n!UuRt2(8YgwU&pmwj&=98-ivQp_*ZGk
zM*~HJJG)nWl0SUtujmea$vbN<e2w~lJ*a5nlP7)W(tE<J%rZ@CGxT`>C-)t$2n+Qn
zbQRQ)KRsXCzxV;`mM{LgDS-wnv{E)LyziUg^SXXt!ltOeIi>nq%htRq5nZ@{FOQj}
zt?tGR7D-A{2{WR4XCJ=sKEL8l@as-#mY%IAN<L4ki4yf{G~`h-pYSKJjOD5pBa7U<
zi3#l28V`B?O}D6bGTNZU@II#cg)A?}#|3}pdhUL!&0_wWl~?@L^W(mEZ@aKCgev>g
z6)fTZ(x9>7Z{e@Wf!%?68xDkZzO(k4@?U<&riVSwiSFG-msGzdx_>;GWop;FW3PnS
zdZ$whm>2lkr=HG>n(4H1M_WS0vyVGsbe{aqa(%HTuCG8+|IBWNDIP6L_wO<cvE^sF
z^To`m!Z}DHV|`riI-7O&9Y3yp@nJJw=d<?C)7cIllif`oKD-*?$(<O%*pW5y$irgS
z^=v*JkJaBqYD}~`wR%VB+Jh4v4!-afkP+{X&55mAQri$Eq`<iDzq7-ODK1MD7AyK(
znHOk1bJ4RE%be!C*(G(<q-JWESeX3J%H9QMuB<OJ%Hz^?G3fd~lbbIouwWABnwx>U
z7KVQhyT-IbD!n$|f46Y`)DwadhSv?WpU<;t>SytqaLjw3WJh_$>$E+_|4)A}oqv>X
zM)|QD;dM+aY%`Tqu6UG9%n>~qci1WM%eRE4f^K={l0Eu<T`m7r+?Cx;I@t}%TC)$G
zOzd7Q>Q$zBaN+u}E8Cv#vGTY7E6l_?`|9nB8voy==!RLva&PB8uqdoZmNzzbT8`%K
zT9%cUqyjl5)J@OM%bhQ^`{VzntqM0yHS%J^XLXew|HrMgw07oqXZ8>GD<@pBELL)|
z@c46nOXYH@^~cYp7rSZr8r|gE9L=G@`SV|XfG*eB-H!8@KYb@589KdcOYvdZREM|f
zRToxo&kOY5Gc%ugf@S9JJeKg|XXaSv`mJB__gvw!zdx@?1W(TU$?kbFbiQUIPm~yM
zoYZR_{}*qJ4_NJ3r>`!sz&rWwfn>Xv$1>I4`JUe)9XS25#F^#Ie$_cOt`E#}IQIQZ
zYdWf2c{lI%)uw&C>M4~*76u25^+Mb;6{3ILS*`wiHe;pta)*?ipU?PgnKh?is#2sq
zN3&GY?xcHC<(!L_*7<&x_C5FX&3gtPqd4X&6<)(55^>j#oSX9G^A2-2c_zVIZNAa&
zn#_N<|9q!*FMP+BD?-KpKe~t4$X*L@3jI-@GCLz-%c*O(q$*=3-jK5SUpG&^;IVjB
zlK7`Bl|c*ZPp*{|_ucq8p_ZxqH=ATx%CGD{(`OczAL{#G-*->Ic;YXHh!?Be7p#f+
zv*_Z?pwhP@fgJv?4P+mmJJh3V@?r_&T7%0Irq8oB-ENS)cT(25xW=G^I~(H;6hGnW
z{jm7BfSFM{Q}^5cd8>9@UVqV7ZPs(<gC)vsTF#-JLPh6-w(XPN^LnqHo{+Xc+-*@#
zr>!9?g;pO4|Bx%QyJL~~9^cLBpKt9iJk!0V;<|`ac=A5B(Br>2K1heh=a|mg!do7?
z>?FUW!J?4ga+W&W4;Uq7<F0%cefevR)YN194t1+fO8fM{B=?!>j6+9s-86O<OjPSy
z_cP$ajnbpB8|M9N-K=%xqg!9guSwG{zTn_l>BuEvJ=^HnkC^_YQ*zI3elczN+@IGw
z7Cp!>@?$twmCdAl?b%{~%XxK@Wxmmp#w?Gd*DkpKDyr*<!GmAtD%Lq#d@1_Fpa0g@
zE0I~xtSa&NwIhOZ&yrrm8ZBD(<U-@u{>{fiFBk53SaJAg?8?BsOuVb_JiDEueS~LL
z@QYI~%lUUP-r06>-S+0`54laA_?0#mc0Vb1x)^LRKj76RrwEad?CHT4*`lGRm4Dqn
znbNd?pK+tZ0vqqs3QL;rCB6QWe&zm;hWkwCG}zwAE4E%fTwY;5!%&7#Y1d`-&j<fG
zuJGfUb#B#pj+OkIO~17NdR~8M|F#eFn`1b%Ru)?B-1EnA;!3sil}XY+f2_E6e@W^5
z&NU`2f{ypEWp6I1-!Fb&?B43fx22EEvGrfQTX<&HC0k#Ekhw2PMFg$}{`_1rD?|Hy
zTExA+4foUPJ}K;9bW{H0=KJZ7)NVae?Yw4Ek{uOqenU`HW$VEvwr8xSsl5k`gA$jX
zY5JA?EUJ!ex@3Fx?D$jumt*74S08vXak-k&f-`xWZ4VY{b<OrXDD~XmR*Ioth3l~S
zW1E&V|Ft%;3=ZnWlS8<hkKStV?2$NrQ||HYONkT0mrH+Mu4H{e-CA>T+QX`2qP{;q
zClr@v>lOM4##xGPpHpY<y5FehTU3tnoy||JW54FC>P-IMws~KPWJHE<^oHIj1#4aT
zuaS!md4DX4_^~R|<x58O0_Nl_^`gQPUvj>#t=jV78uQC{-5d!?`!c3fpSM0bM|~Gx
ziFV?)9eEX7R2@S2rYx$uv#cO`Ki~FDwb@)O)}H;#KFGc`4=}v%+H{Cz>)v_CHRaZ{
z_wZj{x6paNzhBD7Pc>|--Z>vA_S$jINQXIa8pFnyHCCJ6m%cL2-paEtN83Dc)`u+j
zs8SWNbNwaR28ZW%ip6bM{I<=}c*eqqOJ{r%=<mCjxm3{UiEXgT2Ale4=Gwu(l=oK1
zzWVq(ns=}1omcxDIk!)gzdG;Qsp-|epB6}TnUo%1DG<LczuRheOLRn@<>KX>jd48g
zPbZ5?#>f2NZg_L`h=<+_8_6RD3Yzy{{pUKiO{n**x|zbs9$ORN<J?N=OGDf8i*i`+
z{9Mhi;y*KrJw=W;LE}PpO!1^?>upR|?c`l{{?5%D_Rou6$nPqxOz(0$d@*gh+^IEX
zB5NF4+NUVFII5;tWF3gAP7o8XJigv4Z%2Z<9?P|_**}^d#7px(RET2Dy|FlXhIG-H
z$G1A)UEAyFJbk4L--#U^E%W_~XGGn9ZdqghXhOuNlANf5xe?31?~SOk;O4ZMzLxF$
zx~GCilY{oV-rDs)_py(7Ko_@nz>5^^M}70dqs{%&O;6PRFy8nr|L>O7?fVXdeL7gR
z!De+qWu3^%V@<+oN<Yfv^R9nCb8%MPcEP=8w>EvT($0H1?@DxXtnpsul4)wud`_X$
zKCJzi;QuFvnR}C;gKTSn^vA{T_!85Vll}RMwKWZeRBq_3FRh*(m6)I5E$(i0{~}{y
zsKvMLHHQzhKdA{i=~MK%O>p77Zqt<K3o9@DOPX|YbAZcD{V#mAMYfAK2hPe`a#vYB
zdBUxlEQN*sSzO(}a*FK(Z>pYstRO#s0!!LUkN<(M3^}J9@0_sIW8yroFV}v4`f!ln
zOk%=>T~2>l?iz%ieZ?7|+GfAXAvFE?6di-_5f`^9`zeZ8f4no{tibV}-$&n>Opt$O
z)tjWg{=kGNp>KO$9LUM?z9Ft)xz~+TcJ0R1-`1a8G&@nGps*rOM>j=pP20&!JAYha
z<gx0QV#_JSGkIT{U__u``<kL~1DAc#*FU?zX<5s?<`~1J2_hn&7r$!MWw_IL%c}jI
z6~_XJ-}QeU9CZC3CVhN`^R35U@?HxmUC@=aI3WK^RLf(@KDUI_>5Lpdydy5p%z6K~
z%xUNKQ02G?9!J+Z<-r=KRTn-pJD?n;&HJOKE2!PozWLtA-&fnRAD+H-c6;RS)F&P1
zf3N4eyxDE%=5{7E`L&r-U-azV`|(bv=~Xd<{i=4CR`5?e9qA!)?}p-+?b{OLmdivJ
zdAjrIFmr^NyjZ?=#;ddcF1DTSe3x`r(073>&!)NF+lt+L-gq}OJh@xx?Yv!uZ9{BS
zWcvE}-47z>$KPu8;@x&vlkKiki{zRLC##L3UO!uTx8$hG8}0C_X{&z|6~(i$&b@K6
zxl`=1=gjxl=0AF$7hDv<);nGH&+C~l+P#0h5ia|*#_r6uGM$XJH2-C<EtmdZbH;W1
zyS(<};*)Qz(rDjo({T6h7u&P!N~UTvPe;A{yJq>x_dJ)EE&LG9x`f9d!eEPp-f5{5
zvX9>+b!|!clHfhz@W%X;*8JwnCwc^IH^24elpSw?$IsO(EQQy&>xHQ8_iucD@`?XM
z{!2DXoDWQr7Kvi`G}(Mo;<uHiT`Q%2L|l5MxX~;6z1zz-`>(xwE5T`X{Ak#-&%eqS
zSZBZ4(R5WuBviRl(I%m!z-aTHx~|+xO+Q8DIqyXkc@JN&PI;l`vaL_RE9ijnnT&@_
zQ`kjk9Fo)jX8z;&`p+{IxZN2{e}r|gKM+}b!l1M$QcCXB?nhD9Pqubz-<Y|gaqpcF
z|6Nm}_i@?_)-vz&-hHEf<q7`@8`tM<Ro(4hBc3)f<LEkt-d8D2%lVUTUA}cziK8}C
zvhle1auK$E!x#rsFNOL=`<h)Iik0oGUly@6NaB{pQkTcBoc$O5C;Yf_#E7{!-O1@*
z(2nUT?bEbBD2o<29guexF%?{0)#31y&20OWe}+?2xL<tWK2|MatY0&|=aY>_i+<7h
z3kkBe$CcT7Cs(eRaOTE}qZ@8rO?Xq!v8u*8C?cojNAqm=8>OjSrQbGveE;j8>t}{%
z+<6I)CvBO+|EJ~Fg0t7Z-||13P`=S1^Ksykj_dhzABx<F@DR9k;Nm>rqvw`-95pZx
zo1?q@(#>7zeFtyPj=Oot`_Ilm{)E1n5&w=$DkYS^UDNff`oR1=GvTAZZtgtHE?3kl
zctnn|WzNCXHj9L;g0F<N+D`f16Y5mXyQd|0XROeaIqcyAiX{<01iXGP_1JE){q&!h
z4IiI>FMGGj;_`>L7nK)obk1F%7^1g&4_`R*hoTnG?ORKZTuN~K6Bhe>;`T3Wl8X;r
zT>MmXcOHvO^}VJwN1PpV*gBk6^+!0JDE2w7UYMJru2S-$?&|kHGbB4+G4k8aJl)i^
z`plXfM{R~lmFthG?4FeKvamfMWXfcV=C+)*;gdscjo6mGfARcRy5py1j$0>ed^Al}
zap%fQKb4-IU%Z2(QR-E5|K+2iYmWTkdN702cTrGqCF6mbiw}K_S4VL^yr5vkY}36)
z=*)tkuxt<SsbUIQ1*#t!y!U@{{}OSEak-U2QNWR)ASsO|4{hn(l~V*(DXsP5;nvIS
zsIuz{`)1#~;<@{_ZGj8C-sL2|3Ans9wdHnnQT(zGB7g3&tnE2p)Nyx1`XV)^dx>9O
zr8zB$UjFp4-SSpGrf8MT6N~s3sqW0`IhwNRWz^iMA6pno_dkp|%XlL0?p?cnnL{%Z
zk7wsb*~+=dWYw<g^|qL`?ODT8|L60{R-0H&u5%K7FmaB)=ucJueGk%PP3~NZ(`tIh
z@JFX$?W$F)H#lF)mAd$aF=HQZv8VPqL%XG3nel&>10*)wP|bK+oZ$Im>H7;0^R`Oy
zSek1vX{?-dtmV`4`-jgat}*${l%n5X?dhibxN^az_8W$7-Rq|Ain2H=XmZt1f$`Gr
zzQjazo4X0>v$u<03VPx`eXiX-m7MeYGxxo`#Cu9cPHxo(PVOA>lDMX6FPNKO^50&*
z`S#Cxy}4g21g|r0Q~7oG*NfFh(xOkQ9{I8Ouv*HOANKopeu-oh?cO=-<Q`#dpNh^6
zk566r?|jqE>e1IFmowU)dKK;dF!kZwW*ZR~*IOsDuJ>*GJaO&b3$i!PIsHFho4a`7
zH;%Ux%d$eAl?vJ`wYkpBT_67OuD#;jwMHWOI_n!Qgy|Z^RZpu_zP(|t?(eK?{!w!}
zX6GDxYyES^c?Jt+<DE?PN7=t;d|7PqnyK~oYI(*jbHjuWbZuEYDSzJN9(yN=5AQjy
zaR~(2Zp+*|E%1o$4VC}3x3`F@E&D1s#rAadCjUzxSWcf^DQUfQ#$?Z_PBH39Pmj)I
zTyFmJg?rsv{r<IDV&Ci3oqePhEq%53F=yDeUFSFd@Txm?v4O{RWA2JeTMyk@q-1wP
z=8O6I+1ANSekU3(G->~`5NVv*5$vVeUbTqn>$c+p)8D)PSTjrN$8?onh3X5!d|h=L
zj-|4-T&sG+xF#(#HzrI=GiIHG?wpH!<?<X>Ee%ap$&-#addYrNa1Xd&9M!rdt^4m5
z>j^)_b}zJIo;|O8XO(f*z6}|n(hLe-k%uSFoG?$*e%aN1)4gZdP0wRg6#M({$2~Do
zG40JAPdne7IeeM-T(QlPEzQ5PWEOdD&S(96ufu2ab#6(qhlxA>eTj@<yHI+iL{#P1
zG=~g6(caF2!eph`&`^iI`!C-=WAl6b^|?j8?y-I)52s6|Oy%_=Gc~`yxf-?UM{d5?
zy!N)rPwHvWm1n&sr5P`qcf!2g?494F+pbCyVri!)7z#&9eOzAdcEYXPSN!o41?MB}
zlTwSgr)tl6{XV|%Hv60lPq}7JcU|`5T$n)H{zGSUCkfPNZ<ouR5t;UVnWMi;OUE=p
z;kbM|SGB1NpPL6)ODcHYd$%C5KxNC^X{{}akKap$tH<0AjF>n5LC2l)X)4n?o;BJU
z$Qc->O^I$lwN?22?9y0~r+cn$6#AwcB!B;~ljYo93@@2li|+rOV>GW|{j(Wco}M?B
zFuNJ^x^~x=V7K|(9ZwfJsB()jZrz@+vuj4Pn_E=Lf1A+T$FJIc-MaUQ-h(+u%T5b^
z*M5F-L8pAumW#JT430Jw%*a;bQ~5jR$936r(L5~y^1)}WneGhyl6(F8MV$+#DIXPU
z-qiI7R!@0!-P>}tXt4ZI*RyKBRt9cedw=5lW4gKbU2Gl{=XV5uIb6B6`p%x%tJjZS
zFn;CrW=)r}OV4acEB4yB%&E?C(;q|`x}<!0!C+USeeuzkpN=lPxBCvx?^jAmw%Q#a
z){wtX-ahD2)gOUN({vWju=Vjfom|yFr^1lc(JM*WCq}UK$3+k36LzH@5%ZsFE?ssd
zMRfY}@_Ty?tW;KC(i3t?V*M>Cpw}+tZgJ_|z27@rau({;PXEjDV#QN#wK|K+x$7hU
zn)=V`+t|BaR_VOo>AMV$yy9oPBOgl7UdbB$X|)lbNb3A=k~1%SpLT!hF=u75!-`8L
znsgU={n_7q>fMYpKO-kIWs1x_xLY*7NK<~vfw@!maEZ3uP+pLE+TiXhhqm$yBG;@p
z9kcYfJF{Q-+siPKnR_<ww*GZ<(RUe}+Zm0nOfUD@-?}+(_QzkMC#HUCkhJ=4{4mm}
zefuM|i2`m(Q2~>Z_OkAfn{@oNoqS@o=SjA3$I`~z&zL^`?npmebXxVU<I`%5g^S%C
z^{e7LidHJ}_iR#E-!gCijrlhg_ILW~JY4Pb_Nub@rSj=~Yl}VXrEJYqqY@*N3tnb(
zzTMpJ#P5GBwB!Es)#159bCVh)AE*9bSa5IW+ruWOEH70zIlRA{Bsq7b+ft>DDgOJ;
z)<qwic;xx2UZ$d|m`?7i5|SS!yzc&QuitdVFE8%t%3tg<U(!n?S58%XB`3EsthniC
zqJaE@FSGuhREdvG`M&1&l~tl`cdtvoQ|q+-dp+Cx(;CZ9`v2cNeZl*X^^2Ck(uoga
z!lfKeGd&4Bvc6rtT-#{I%02gzw+86uHYs^sEbn-}@#-W!f&N_{EG*I2*IK@v$A8>+
z2G3Le5T4^6!Z-dYJgMEaJ8O6AbAcJUYS)F9B)k`idRMvU{dA{UtUHf0ojSk~^LG7?
z6=l&1E129YT4rA2+!H^^m$Rlf=j6+t2~RfudeY7^$I3o(x|ga#_?OR#3s$yXn*6uK
zZeg1I+gMi~?-f7qZ%=cN3`}9p%H6Sxsa*Lzr}m?eFN-qo>)pN+T)FelicPDu)LOr_
zDmME&7d}o6QdqS+GU<hqrIz;Q>uDPD&#le6AGl{{MsKb<^ReU0`7XWl2c2#<yWV%Y
z-Eyd9Q`+MM@2uIb7u&z=H2hkf&@=B-Ow96Aaw$c{M&Fn6{fbbOO|uD^t#ROJ{}#no
zmn@bK*4cLd-`zZ5FOnyB;`*i=-TuYVcXbSMr)s>axwKsWWr2$h$4$ArBF7WA{wX}k
z<0{xDc}lFVSp4~QF=wUsbr({ub7(7EWHz_U+b^4YP0BXvlHKi1*FCHge!FzeciW)9
zw&bodOC57i(szl_^~-h(#j0u@dYot=GOKNymSl3@a|tECB~IqGN2=#}^3}4Ntc|nX
zeJ_;b&VrYcACgM9`Ik*Oc}?W}`6J(M-TUujXYjMfoJTuLYn#x^*+mker=JwOk^lT<
z1NW4phb6cAe3Z11m^6)Zjecy05&MN!*{1dSdz1g<t?2YV^W>q|$#+5TjNb_F-f~S#
z)_CJ@t@hYTaRHVCLaR&e`pXxkyGvJZmt0)SwoO2~(|1)}K|<7_ZZTy8(TDr~$jUG8
zoOZkLu%kYw(se;Wj=em_#d0Zg#oymLen%^1!s}qRnq@(=)jxVg)e78v{eIHjLJ`+x
zyY|%_p3}hoHYsX)mS?J_sdntQP24G08JzV3-ff#&9wNi`|IYrYe-$G?WqfWCFY+!t
z7WnJN_IN?razh(_7qdlMPVDYi{b5k)T+5QRe^L16G@eU`Iww80dsF_S=bTS+qwM{=
zpTFH`>9$DrIq6cj;q=$Eyxpulejye+@BF;@WwB5Ak=rM-LYAHLo;CgB!>+p?6JM7s
z-_mk$^PkBLH*R)Bc)DD(RY-hirOF=ng6pnoe(X>C<#S@~CvCN8x@7!F`rG^$x6WO!
z(Cj`C-gzTBdyZTt+jCaW{LS1K`<vA=bQdL;<*=QVo^Xpln!9D+3cVB3)AC>N*S-l&
zohJI~ZU3dU)9Rf<PQ=|hZ4(qD8GKTXg*{EM!z?pA_bc=3x9KJytK{>pnoNGT;aQ^b
zEzx?<@*9s5PwX!?&;8I*c)`Id(Oa@&T9#Fz^Z)9wwN1SxigSHTdg^4I_DZrX%HEl{
zYhpy5*<V?q6&8up!j4`kk(gNYs!jXUlnIum9}V3v>uUJsopbA4vPQ`Jspq;zS;xCs
z$M*ib^)avSs`^qDRhiPlg7Yc6_m!Vh4Zo>)F3$4hnyt3W)@iTYH`VQ#aLHl!MH{yU
zYa7f=nd&POY4)h;;Hvzo8Y!>aVhgpGd-A@{`?#d4?a8fow|(8inY%)DtLM$jsi^&D
zRlT*lv?#lJ@s4Tve{)afG_14qIqJDoOLb1oMggZbHal@^4cF=F-oK|jTU}_-JbU(<
zhrAWBcD5PHEbmuLn7aMTF3Uxm+beY%Jf9kU%ubEIvGUaF?Pfgo^5_1%zC4dbRL5Pi
z>%j?`Ee3~VKU+S0GOHyr?wI(4;5x7W>0d5Q%-WVP!@v7)LFxQIAv0GSwm4o*=ilBK
z61n&m-|GEtow+aOL`CW>+MeTPx3aXmH{=rId51r%9NRycG9A_^QO#{@ee2`(F{jGm
zQAzJ+Ysc8)9a}E)GF}ykEYPVwJ}qdjPs!sGW!Fk>vu$4YZF=kCn)J4CFQHwYYG+$`
z14HJxtJph*1>2ulE3=CAch6gU>D-MwJ9n@It)0|=UVd#h*D~H&9WT7EG&}xYKHVqa
z!h*WC=X+JmY=2#IC<)+7*c2?ba{3?L2Zfhfl-=9xE-w-_SS!Q2wEVo#=VgA}lkR!h
z<{w!0)cxwAJ1-6;ANUkHTY8(7*4!Cq*gcD;UvKW_Q=0CR@130Yv+eo&UpEim^6p)D
zsaMY+rSz!hp~!h2D{|`$rS3S1{|QiLwY%J3QY|*+^37+?X&W@v%1S0mEqQ8rSnKCv
zyB$}z>`$AdX%IB~3jeX2)zM;6Y)@Q*?YGS|irnI4#(!U9o3F#8{+_)THwW$SiR=_s
zl3>dHeNWM5sl&F18%|wLUt;>x_3qzO+DD7cbS++7&Ul_&w(h{**N^tZygJ`{C$2MV
zedYs)#|po-y}09f8R~x9sp<M34cd3Av^Ahytz)&)Vat^!DPQ|?>smx^9}?d4Z;IN#
zr`KO8bFy8z_04<5?aAj$lUDCJetOdtE{(^#*J)ji(bBhLzrFg^^E|_Zb@y1J1+v#F
z-0*0gtbAIjbd8as#-s54Ma6GUDw^#}oBu|o(f62XxJNs8f~3wwu0Ky=_Bdr}9$dO+
zYG(B5eFvE(ob2CxjjF40m}6t|{=@%<s!a>GSKpra?ETM!M*_dzX}@#CAZ1H`$J0}r
z)v|88@w0cZr<I;&?O&ZJ!*o<yHQQlNPHT`?sJh^4g(ufKKZw76aB#g?{@3*j73!~j
z|NT9k`;-~yi{lOjer5R#OIdRN{$z}|++&l!#Pj(4`9BM8%v!i+t~Nt=;+KY;xSxwy
z&Yx#}%xvlW-e!qB+pFu-gPu;Yzjt|a*7`+p9Y0eiOY)?Z7=7GvuVv@_e`m5a&R@92
z-7@v*VZO{AYdN~x^IhU6b$MUg)6TH<^Fak!t#Y$!?Gp3TbKg5=dtTELyK`yUy_woi
zBZNgh@jojqz9^+!`u)MWP}{YYrn6+&xB2B+Jd7{)VJqgaGLaH+iaB!9YG1E!-=0Dq
zORu>zJzp^LFKIZsu2TQeOpU#9w~sJw%yjfQq|z90+u^9*491hkSbo**^eFh-J(D*j
z@!5&8-yX}=RL{-~KfTB*&Sqh--u;R{QWsY*lAFkJdA-rW$s&(F_k<<9E>9^IvB^~T
z{#-jJ+OXi)uIL3bR=Up)447$cqgcDhTIu{l1^YigPdK*z3!fUht!!F&x2x{N?_zzM
z;*ux0xv=ThZ!T`Wz46{Oi<NyM+)C*w^W_Q|t}!~Edt#>ZcZ1DM29`gb%CQeL9iMH9
zzOZDL^b;4EIa78;bx%l|uyeaZx|vMzY)P}St>S8&Hu96Nbj-OTe(9sq(%QAD;%_If
zyxgC-?aR;l<$cc=Uwts!eaqn~IlSN8#bY;Toqgl|>Wk^~)ETYqKNtOG_`dDn3BAm+
z=$<3YY*`!Lt9?&bejNKqviX@@XjAvYU4Ki0`!Wue&nvrIp8Lk{Q?-?_@cHWwniVGT
zyI0%4Yv})Yf!R&Sa$kbq>yXJ3HYF#;Di+wRmC;ImHls#n-#w+tN2*@88!>M6Tp=av
zH96qb(huc#H*hchp8Df`c}&HD6t<2HKhq@nw{2~2Tx)ReskwshNqftws!EHBs{R~b
z#COrJ`p(m^Kv$_P9?K3_th>3_TVv0iqtUx%-U`=U+Z+3QZqszn9h;VC$-j?M_$wHA
z_TnDPP9H@F<*ho`dA#QClo1Zi60kr1WW$7w@q1VnClxeKoU?k$?+CrWiY-Nk%NT!@
zmHK%*AAKBPdN%UE%&$$SIX|kI-dLIJzwOy0F1P5Un%l8QwnZtWy5~$wYR}!XPxAh2
zyZ=*DmU&(YljHt>>a*fU+k-Zb$|pT`Fu3G**iCo3W}?XR-E{@^7L6+JAKJ{x@zmY(
zmrKZQmtLPrH}h+U>m|vTKPFilewnJZ_u0ScHxFs6+JrJ~djIHN%dr!;_Z!>$PYV2I
zsu2+}XY(U>Yx6tb%`;s-<;nawvq|hys+07@^FMzx{{Gp<s1Wh%UFZ4PY=^&Jv`NpD
z|9WGoE$gnB%+>>*C(T%n8;FR=&ib~mR(R?Es~>!iM|#=(xP8NE)22<WZN?Jb3bxtl
zK^8}*YHr!*Up6&i$>y%4<Fi?x=vx@nh1_Rc<i%Le<}_FS0IRW@tk*j2u0L<`FNBpf
z9*mLwzy3@2D+w3D8Hahldfl7Y7r_v<Wr>4V(7s8(^>=;Cswnw-I`evf{3*3rHg{b9
z=P=i6Wr<$g$ne+AK=GvL^6ksEaxb+Ao7r3>a(pk3&V7>&o!d5Uv<TBJUOM~W%%J%S
z`a=D4TT%_<MHqZbuU_Pt&AfM$!4I>)%b5OObC;g*lmCDA4Q3z57e;+||E3*1y7E@i
z>nptLU2pLIw_OmsK7q%f)W^5|K&>WYmU05eQyq_MqNmDq6Ft*s_$wFO-FffIg<tC)
z)Fddcy6UAGytMRjL6hr7DdTOQ{{=I-Dtz5D@v<Mok(#~F_Bnli{dw}CRTILutvKow
zu#{7FZgXUBzt_1-m*+P6J?ozQqP5|S-<yNl67e3aE$?}=3#M}$26kL>Gvc$EZBqMX
z_pQQ{RaxP;HIHryzx(Uh=Kl|lD(l>yx9Q-<-|j^pvkv9oR*qS+;ZDu%HIGk)`v>K|
zw3~ZuSEualNrzX<oBBSQmYc(RA;;s;yZq|RmA(EyL+5gD-E;b0_1ng&Jyr@iS5t4Z
zJ(s_;%#^k6a;yE~d%lZ`(i;kHH~VQ?9$kLo(qB%Y<1+cZeQqfwx<;IyOCIj#V*Q$5
zds8g*Ghcb4nbyp+QL7!-cI|KCJF$0#c)X%0oBbEIcv<dwlBK744xI7+bF7BjY~j7a
z3G8wLj=z6>4bF49oAOe2%X{r*!CTp!bN09f+i&<MB$Vv<%-!!|vf0yxsw)l{b$PGd
z|6MUF^a-c-M=h-h+!8AOEQas6&#v5=zHiOcuHe<jEbnP)^Pe|x-gCZc*}s5&D|GKV
z#@}BfKk@3$Q}UMoY&fRB@9SsHpFA&P)BIhb-*2A(n>|td#rXyeqe+@6_Cl5m4tQ4U
zvo&ysr1|#ct<qasc|r4Zh=1n&+4ZvKZX2ihsO+Agmr`!>|C{9b3vISSF)kVZj(^$b
zFUn$f_|gnc+pn3I*kjU`KfZ2zH^x`SYs2fd<=2&+uDRVhdcsg<U8u~C6^Z?RT#15n
zc6qVv-|=C4$F`rdr=_krGUExe#2Xza(Ri(uNjlMcY^I-`z*f%@#hQ@Y{UkI!_TUm@
z<*xQGJl!cLgY#4RY&Rc$7-jjhIlTQ?P@A}Vup&20dfKLAPd_DIV0(Y`)^DY9vrmtT
zLqE+guIEYXn|m<$an9|dck|Y2tTioIwO4pszUKR^Wns0UdD3}>Jsu|>|5~YgFYiO?
zCD~kochB4oH-z!ZJbPqtf_X`;$E$slr6OI^G?SecCGB24gQtAa@%c%=<r4)u(<LID
z*LF@jp>*+gZonZ6)9HJ7CjAczdRTi-<`3hWKhk>pq96V8?w@>TZh~S+=aY<cS;mv)
z>~APdbNP1J>BGupi5FU(7B@?J@A(zeY~U^A8mD|K%V++n$R$tSy!VU1vM$^-&CKBU
z0ba2KWo<s6Hj5M`Fmx!!^!=#Xd#Kh~TQ2)y^98%xx-n;^wZ+2%z8|-Gv&7Py?@obR
zimqg}nOxl8H}B`asarWcvqpM*c8~n2`#YYeN1Cl))_NgZ>Rc0d?M^jg_RF%DQq$k>
zOIo??#k=m`*XCz(?Nw{iVEop9$$p`hao)yRR-P$|XAS2ax-}z`q3KxnpLFxk?x4d~
z6~Q;8e;R(7otw{EXUzZh&q41~J==EX_kI<8FL-jf&WVpsqUn>){*`{!ayMb=i_Zs3
zU#x4Hy_h5ZKzw3!Q1g?JWs~%RSg-N;<+4ZwhUb5ETcQ1?qBebHGV{NOL33}oeDUX+
zyo0eVifg{>(JfNelF1(L%`4U%e_4Cun9e%g2K_)w=SL@A7WpiBIH_g(qkC4`g=UeP
zpZ=bb`0spB<<Zr1Iu5#9>^T;Ey*KnkVW-KTsf=4TT)kP~m|A8%ZHiNy&H9$&DyM6a
z->zzjD&CmS`S;w(M-S)rTWc=4IjLwyt;X!Sh1VvYK5v~N>bar&ztLl#^$M3}pY1(R
zf2NWlaKF`k^^ia7T916*dokN~hn{pZLr;E`f#C1nynRw_Io?0jel4#0Q!TOU)1gB~
zPZb61xGt?Zcltc*t|>>)1f0lKWV|mglIYG<zN=Pwx@W`0*mWW7dM&NpYc716l3>5-
zru4bT??N`n|GIxj^C$bZqC%0Ke;GA%7rjjTR<dHApI>b6q*B$BwiAWh{ksIho#x&x
zoOJrYi8<@S?!SL@()g5UUbj@@j+n)h>i;_G>9s8mh`y!vHCe+Zg}W;5Bj-!ko$A)c
zrw$$X`D*4d@pYUxH?97^JmvY5cU~<El5-!jWJmBA2#JJmblGUm_w24udA!fnz5Ll)
z`*bfTTnpIOz>$3BkV;Z6<8`N>J9Idv+->psXUs9pqqc&hC34D}KQb#>ez0!&s^x8E
zXaD}(mt2pB*94MQWjBh+q(^+`^?W)@E^pP<*1O3Lr)7kTQm<QGk!Fg&;Htbxe4)yn
z@69{B-u(NS`OPeeU+`sFz^_^SS_P%Q9{iu_c;<l8QLB$?%f$-L-nx*schY61-L;vs
zA8udJ@>=H5G{FO<SJxfba9TXzsx+gJPcm1D`I)v8WofSZ=8sY@23g&ZK6avQz4o1R
zdGWR1?kLXcUGTg{D=}vBcfT74!+-gfUcIZTA0cx};DE61lvSzM9oJMmcriaq_I<zY
zBj5kKWB%x<7dVKo>hM#VowfXoLZ;x|`|PHsyH4M_mlO8uY2&t^+uj?pADPq`&&|+o
zV*AB#^8ebZgDggp-o3GV=Y*LzcE9ZY^dL7RG=K9kzMh`o>)MC1Ka}vl(ujOfpWt$}
zKzqq2_W}l9hfn+0&*jrEp3i>&<OADl!5leqG1@+br}pW|DJ_qyd%ST$po^L8{2K;G
z932mRcMY4MweRXCk0-uH_Z_7oY@>BQy(zeQlktw#>Wozrg;X?89#`KP^<mffxM}Kf
z2N)e6vWUie2FLF{)y96n(zPc#)b)Mg!e3t{u6}j2Gm<UPQqd6o?*D9upCIc8N9l^#
zK)w!3A$xJLCowY7We#(W?l?64Z_Qep!<#vOM_tXmBfDI2R^VcvK*6F(9A_R+lw;CQ
z+xzTaB<nvO*T2%{#pxj>%JQq;I~Fe3tLCx%jkH1Cxpzf%?z7$E7N>OGfA!6Bzp6=H
zV8NkB?w%P77PC}`$L}`Zp_$U^SreG{IR3QT<k=ISSo%qHJm{{FT39`0Y0|;@n_AOa
zO+>2&C%P&9zrsAb+N~q*+R9@;)*e?{^n}&?>O8%D%^h!lp6M6)82-1}e17-D1v_rv
zTe!UPd5vV`9nN>6E|J=<;rF{eAO2g?xKLWtZPwq$7_S}Ir)^^P*RtxD5LuHh#$&KM
zLt)|du7zw%|NOM!Sn88EefP)b^L$SH4w+?j(2`fU@q8!eOTXDF`)&p77ua`i^^!_{
z{dMi0d3wxyQ-3hLU02$|_cZi!%SN#tgLdJl%bvFkG-k9evk{!gyGDaqOJ#POxME0c
zLkZs%&4NQ|x(A<e%gkNjc$M+NGymDCy6f+*j;a1+c5Ldd)Xk!DJ+;RrR@$F^Z0<hu
z!Bg!SW!XvZxokT66zUTcgF^lFPTe_LwYOz+;DbqiUQbRP-*|S@-O5##;(^`&+1?8X
z|9gFJdFbLxlW(lCynKpfNqy4P0HHa0!j|h>3XQJVWUXeXwoTph<lNonT5Z-B75Tvr
zC9j_B*r54wf!226cd2HX$+sC_^?o~Y<bum><?@R~_nt+i<n-yz-}O^WRXv{nm!b1c
z`NIddt)I}kpy*%JD>LU{xmD|bUwN(^GwWK@2gfu&=XY8~?g{52Wc4OI{v&nvO6U8n
z6QZ&Yr??t7-nehl`88YOQsg<=RW`bp1j@YR+EqUneq=BgE9jiI#MjQT>))w>xwW&+
z>_5KJ33KC1icev@Zr*b@;i|jZevg_}OE#LEd}*3z^=V7w7oP8IQUA9jG)KfaiWR?+
zlS&sa-ZEQ3sVw*Um;2frr$3#^5PT_A_qw-V>NVf5)~AUzrY82TU&7SQY}8AiSiaol
zzIv9|47<+-Udk8!j&ttSzhz>O&OCE!Oyc`i@6cIBO_g&k-}Q=Z(}>${*3A&gx68Ty
zb!Sb+UzWnR{~guT9OY|!Qcmp>=nA?jnvuQtgN@zIY;%RZeVKoy5+_Q&7oWB}<Bxe)
zqo>uR*$d_r{}lK6RkYo>YPn>49qSi=4UWAhcQuRsO#G0Yc>l<B_9H*?`~>qfll7DW
z&&S?p{ULunrB7^a%lbdNPG0`^*Qad7fghVV(r$=(DINHv{M*HNg`awvsp}I9_UO$w
z7*p!@{qpk4_FKH&+CaWZx!1JOL}ZT4;iOxW&gHzl!{r@2^+e_2<TI-5Q)_wl9a>yt
zc9OZY!o1hn<=o_M;cGH=iuZ*L0_xv4yo(K3S-eDZb4lMM24Ci8zWrtL727&CG3>Nz
zpLo0I1;?|c_dkDqXLnSo!(I90l;{OQ<;qOO$p=J?XMOjOUsbkPL~gm*%UAyt{Uo-o
z3}kE+)7#Vh^}O7(EuVgD|NQPuV#e{wqIqAm9v^wgvURT2j`C0L8?P(2{KyXCdMR*a
z$$=*#E`0|V&*C<Su$dcnIXx%A?qIa5jy-?d!T=VX6UTNwKg>O|Q|4~ae;=M-y&3;H
z!b;9v4i-3IF)6oE+WNsAyK5PXn=JP%EbDm@dXM4AzCTZAIeXmu61}Fy@<!Abvk&b_
zJBtsB`hRTb<5;)&r_pt>M}F5<WZF)duY0VN`<SfHy1DwdB4>U2^l|;7!elKEedb7q
z_O9h>e?oT7a?bj7^(L>_OB><I;zvLE?3iZz_hG07f8F#|U#FA_adj4~(#~YpPy6^O
zgYR^M(c0J1AKwK{K4o9akdUP~Cw86UyT^)u-Cw-DDDW{Oyr3_0bHh{{=LL1*DSC>3
zn*N%7i#7~dGvCS0Bx6_0*G1LU6V+DFIZ_-aWBvPicH8UZxFdG|jdsUO+kP$G@I_AQ
z()AzzB~6>QtVOmyK=9J~;=idpvYnp`^=@<@e)=m)=8C0V$8UDEi$4ngrfVE2;1#W^
z4>HzylUwuu#LDG=cy+(6+1Y;dYW&+7>%OeFE3bbW?5ZiDFk!hw%EsAh{VB|!rC9dt
z;dP(R5xRUgd$z@%RnZ0IqU%-sF2A16^Ke#Ba$aY9LU8u_^DbYLdAZLn_FpjdR)+p3
zu~VlkDtQ-VDIT9JZIXTS#mWYD-TwZ)am|nB?P|#?-qOALgXNWbj+ud+3+`np)oQnA
zUAM}WF*iQF=T4#GjhC$dXJ<W>UZoTG(m!#UbGGk+UZ>{M4vYNN)OmY9#5~>}d3bd!
z=bUl{&!^HG#7yUXn(!_E;ssf&;~DLqem3WH+xn|iGK`iS`gN&$2ip$rYQCB6$7U{^
zGMTIE*gEk@5zUf{68;^3cbF!W@U$)t_;w~z^GBvY@TR%vmT=5ia-}4gW9r0fKW|OD
z?sN24%f|ovkCp8{vtn}f=TGw&Tsyt@@5SpEq*Eqbth~Q#+p(#~uOHOA?p){=Dpk<@
zV*btF2iR*i`{;VlI&|Kzc*}w1xl2@?k9=aZjZxfkUHqBE%;m=UyZz6LYz}-=ze{zk
z_@~LG`<_`>S~+dgwS91?cnjaGMcxjtg<gKzb?BgZ-|8#*hmM9NYWwEgIy3vgl(iH0
zxhXn-=R9C=IIQ4k?f2|!^NxAG@8S;s!j(}nf0NltRu_&9X0~kc%;_~so;M`@UUurt
zdU8$a;M^NV1`4mVH(ir!U-RhMS*D9^|7II9nf2-(6f*9)>h}H*@54W;Vdkg*h@5$2
z`Ss{Gmdx9obK=^viW(11Ouba~S5)!O{xuRezps^-Qc)K8ZuIf$m6hi{A88hge;em$
zk$ZaaG$zrAm#@+n&b~frkCSEYPQxn|5s?Qpf0j<D+k9O!pj=k<$1IMpZ)TA{m=a}U
zgg)u+mSxUUJHoQ;g7D65{R^Bn$$EeKv7{hWCv5?v*?sjl#`CUTIo<HxRcFpmBQBNF
zs_V;jH22PV+Wb(t)_>`1dG2`)_B*7yB$|(uYObua;#=t78ZP_9ZA-itk5c^0KT94z
zKUFpR-4Cwku3N1Xb3WBb)wJiPCEwkWpF5#QM(^#rj@Q!F3is~3Y<_y`eoVxgAWK7`
zX7v<PwwkOz49jY>=IM0W-V{vOG|l0@pX&U55yj1J+%G5RiH7p|zMfilf90Kf2VMV!
zl)w4z#L@opADd+F$sKyKTQv&T%)YbRY`v07pDv%z9oD~@yDU17%(s`Qv*mt1rM24l
zk^A=6Cw@O;?#_1bQ{nHB*EQ7eombaxU$~t6#>}o2RV$0;uAZ~Mo%7ul-jf&Z{l92<
z(kk-&!c{lZN=3p$I~}Jl+3h^*l*+mG)go8#NAEXDS)%nUtm3n$Pv0EY>ZcBQT%Ue^
ze;v@gMErts&~n*G=Oux>Njv8*;{SQ&YsdA8^~EI%GH;uB7`y1^CjFY+z_0${^%W_l
z8Sekq?V9tjL-1mpiO^$t;pNAka(Z1<-s1gp%Gdf?JV`cpL`zS<(BIj$N>k`>e5H+k
zX${wmK!Z7E238O6I>wo2*|f1tnwTSEHFX7>bKG`8feVJ=kxA>9`7b=`e_Yl7>hqVm
zp8c~WBP5*;K6vvc``FYQM?L4R=)QODeayn(`&-#LSDN2659q2>->Rp_?5um*hTDVj
zZfD8b-r2ui{3x6D+2BJYPq>~(_z%|R1i6sutbH9XJdAo|btH0IzdShbKl9zOI}>6K
zoyb*U<|>%kYsh-yT=A2QdVx=Oh;RBQ85ANvecQuK{!43n3|~3t&yby|ET8jNE8|{Z
zX1n98T1)d~@$<DOPHL`txVmEZBK8LpkG@F$>A!RPqTd!vR@T1K+~`p>NBYL*bIbXs
z#xIiI)g8HFN#V)!61J&p&+fI^ZztE6we0<=^+zNhKX-gMU$(YLDtrA#_6y&;f972b
zGkiMf3PYEIj#smFWZ}LGTi?tLJRWDvb?u4Dc1}IZj2q#PZ~VIbuhBd51e;f!N!f=7
zipm=-4u8A(az}6mLr2O_u75{WDq@dr`JOGBs8@SWL!b9}mA(G??Be?}({E0_wtB(B
z8`8WIfASSfA02aF8@}bljZ20ZY|Gz2lX{`l9jNpFLb;4aL%HcO!`I0hmvF}4*D;&P
zR`hxH(rW>S7Z|^@-1<uQvgzU7GY{?3(!Bg;E1Ou@zXQLPKJr_yzB;#2{Hx;njXx62
z*D5lL>@t5f>EF8_``@+q|LAz*);w|MS3ZrX|B>dVlh@u4XcW%y5p}NqEWPW6mjZjB
z&y8JykDr{orLO*<QcM1$%1^_O<{UFj<eI81uPemvVicLWYwD@v)_3Lmz8$D4uX?t4
zzo4trTgMkG(|f<atT-N!tycg4WTEcU-I^8)rrzLM71Xc1?r^P{!}Lt{vichrrgwfS
zE}Lcipjh6dJ9g>3`5AV*eCD%N#?SFQ9~NLJJ5SnS-DZKA>)(sKE8n`<>|OO)C6$C6
z_Rt;+pFZ9bTCc;hg;l=YTr=73cM7lGP2K#KF!^o<)B6`*erq#m4Q}Bt*O~ZQ;md;a
zzn&`W-&#2J+!+a-rYzs0j_Nm}ttXl9tL{pSe799UuTbs~PpR{P7mp=A3)kfRescHS
zY4HUzg8Nk!wjArxTh$eKT60D|>t>zDOYic23OT`WmtX#m=A|>M#1tAY2ToaF%CwZD
z)FfAy>H6|FHqOp$t1K6$yLyHt?z-!o(89*6X7}vd+T#5^MH|k^Xj~S0^~sgZuPr|P
z`t~0lwtP<Nx-Or#?Wnlt_^N}s@<ki}p4WECA9O@H*62PqmlB)2_S4<x{MzltFIi`V
zxa~>4w*3M7m%r9LiA$4Wj;uJ&ex^+AJ%@kxyBMoyo0dgx;kZ%1NX^S*%9Ll86_HbZ
z^)0X4;j?$uB_rJ@U!Kbu7du}4@AEl0#CX1)<YFe>73p(Nue-cvXP0X$k8%6?m>=!E
zdk(bQy=zd}oDs;-|6tPV^&IMEQD5~l)x;ze^FrC1tTTDJ<|bbLUoV;aAS(Zs!5*t6
z?$LI;?n^0HgbT%FE^hjJdGnSxiS`R-MZa{@>N~V%QdOn1>Q22QI%i_%=<C{YD^?eH
zF!klzv;7v7+}3yg!>mJZr)_a~^VK>yPU6(#>hBBQG%s!Y#&0(5>+y_9TXw8j@0|5t
z>sN<ld1aX6uBa(&?R+1vAAXUQ^lS69J==?>>rdYHerM#jfVj)bP5$0*ml&HZ(G&f#
z>f)};{%PX>G7QUDTDSdFPH-3DtW5l^$Zh;~OWM=S6YYDKAMs2``yIIG!@KV9k6$pZ
z&c4SZ|E0~;XYUfdckABnOR80go}5@z=#l2X?Q)?0O%pdBv0}B4nR`92>59B<bDY`2
z+4jGA$5)k~;j`1HUR!9;VCL@K(O5mh*1FR>&i0V?^dsy-m3leV%RPi1{;bOG@=uem
zzL9<<w<P*r;-sAtBKsTzV`N|X1{w#)#$M6a{noHG^ly{q!Pxz!(lT@I|6>0mH^=YN
zt(=AD72Ej27ERvo$oQG3a*4f3ym{mnmPb#Q+r6xkJ+$*qap6xFHuhIP6mMjG(q}fh
zc7Cz+UW25*q|&3-CvIgwVaO9yUCBO4-fl_Vv5ejcX}fDD$7mk3%!*UKsr<h3^{Pbi
zlNSW8ZQxPaUOPj>eO2>^ljir*vlXsvx!e_^8fkX+%puO!pEaGyw+{W?ZMpeM>HaN^
z*TtldZ~n*IkiIBR<E%>me2;*S^FHjHe^Z_H@P~%-&DotNw_OUDS6n3exO4XhzRj_l
zUn;65?%S7gn?*3edySM#RDZ$(tzN&R&WUC>x8F6rIFCEDag}A>rBzSPY*n?ME+-+B
zw|9P$&0*!BefOF^`yG04=B<s}FV+n&uZg`en64!K?#Jif6^+Y$jAuNX7IOF9tjE`W
zn9qII{IuhI0oxK6k>;6;t6t<Wq}GV8%$Rxja)^b(CX+;gh_6>AJ9plk|9I-5qYI^G
z_k>h?P7wYb&@rEF`TL}deJYDyXWa7f>-u(By56Z^ve=*LvA>T--n-^1^l`JMdb9nj
zztTp`M=u{w_Pdo{v(qg1T?IdrZQmoy<K;hDT;&YY_RQGN(^54}Ii>vWrmH(_TTX6f
zSkB&dc~Qp%F0nVSzlX9kzr4P6f2e2Yp`fKZekk!Q*RJR8&#^U%-2UIKLQN~LbnSb`
zC7jw%K0l9Hc=D5J-2Nc%`FEEl@9;aqr6+mkZjhgE$n7eliiKg-r}s*St@_nqC#QNS
z&S8818q@pi?}RdA&N!}+4qN*8L_=6$`@ZcvR0USee9N~}Vd+(_(yyi+NlSl8aEU%F
z>MA;ScJ_sHHe0vuy~xtg7@L;HxQ+h{`|LNHzGk2L{I2zc!@ue0<|;2bcc{belDbZM
zPSwMguJ2sk;tbdEpZF24RD0ZS+ohwYDrAn^_jhru5Wgw+eOklEc~j=Ov9iu@RDRxL
zCc3jIgWKfK>r?-(2G2A-`2F;Y_6f31hj$#>@gP6cK(6HdU(@a_8_G^gReg6VTX||l
zW_Q9C-#N#3tJ=@9YCq-vtn0%~g?+YNhk4hnd}!_V^M6U@ZR=%{kzBLqdUu;Ibavjl
za9@V_9O1oe{R`et4?gf!_syZ>QQP<BPE-=Q{^pC}n`ZM>4sNoZ29GZPH;8+t@%vMz
zxqKdP`^ogTc6<lF7|Wkym}|OCCAcO1rS7y3b&uH!%oSgXEN9%w->jJRXhW2q&ea8-
zw(OdVRoW_|zIi*?uK8kH&EB5(Yt|N(ijJrAH!E;u9^deTw`Ku*%&9kb{^vboHkcO>
z@b1w1=^OK-WOm=NZJY3*;h&c5rS;2#w`>VoHs{Ws*>3k%f2^}y+_Le-Hfej)Y28&x
ze%&`t|6+Z!_NrCVPVo<Aat?{#XFPcGcO6UB+kfKu3*%ROzFrp-#%*@H`_rBN&r|33
z`@A{1db`BKuRAU8{&>HY^;l)u+%;Fylm5kASiAJrCyTQz5#juorFQ1LsG0M;weR+i
z1Jhzw=$@Nqxc=b90Arg|hYUEb?Tuf>=DaQYQSgdH!K2yBJ7>OJWYbW{KKnUe%}#^I
z{Hc-iJ;b*hWtaAgV)S{TvUW~MQK_^!!v&H3b=Hz{Z#-uvG%KY%cY7w1xb?V=!}e7+
zpI2^r>-0X{p2^>FS&PEdKOY`_v61Rtz;?2@`cTYd_v=%6B!e9ycVBWn((tWfJ@@9$
zK;y_eIi@N9T<@%CVd?tQt(i6TG4sytE$aTIg=VTeN3-YOxFB6{@Y@l-Jub7SY=~mH
zanf6{SzX)JaY<*u`jy%VHy`d-@R_jKE%8SWuk<B;<ETw81-9ocW^5DGn0g{xZu@D4
zm{60Bp6}CIvzGK8Q?j|5a>I;MYOVN*#lIL=mSmOgb5Hm1Q4-#hwD9^~enB=nJNv%;
zn>w<a=N=0@w7DXt>C+;)c0CWd<Nu}$o!zoreTVOX%+d{B3QjW&A51YTY{*$uQ6^(|
zR$|6a%Xdo?r|Yq$hV)%@J{iF(s5$$2^anBfn_|(mIY~4Bh8<d&@=f$0+o}^RyVeA?
zL>e4?=(*@1|K|46x5~}jvUNv8lZBtnXOaqN+L_1v$)AgD&D6*ntA9JVf9abcw{4Bn
z27a>*GIfQK1w!{qGj_T!SvDu__u^N}1n<aAR=#Sr&3{kX%=-%!CM@hL>*;@T-!wn@
z%f_<_hTA1B$A$AQ>o|Jj*`E3Sx2*SC)StMbbt7|~=bPPvxn&`n?$qzv|6ORU!KK0{
ze{`nD)nDHlB4E}0`Xxhi((GL+uWAJEZ+My1zejSmL90HO^X?F_8yikY%F65iJ!P{x
zLS<e^oY6AHMNI`=Y%07QufBLpzZ;iWdEjSFZ2voZ+0!BJmmfXV$-KwCX#bj9UuFGo
zHvakhAbrx44ZZ@ucK4j0yQeYE@{GJc<9x>F6LwXsyliQo(6@5a%9W*DT28e_J8oRs
zs%vPzSH9q9Y<T<g)Az-n2kc3kezurZOyga8^`uP?8D3h>zH;YrsDzx!pLKscTTd<%
zJyyG=HPuzmfHS%De~>kk{GPcH{M&wiO#WKuG-1<;BaM39E9^58dV*!GWM@2^e!64N
zrw`Lr3=Wp3Z)=}iTE*@nWYK-#UQzwlg`6+n&D(mkqJi=F9LN3)?FuEGd@Zez_8FbA
zP3O&JOfPev5?^=ko5v0r-GmSIk93k6IKIfszIHr%fz@IC*S}XiqJ(SLo_kXJP_TCO
zgd=@vlU6!BeXgizvRPWv`}?$8vZ^yTIk;{R(X;O=Pg*&7;ZL>|jRogYgBLml+Zwz5
z;NIV<IN|wG`Il<*=SCh;XgISt=$lpDi5G3Fj!QlJarv<5dIrZYk8N($6)x)C_a?OI
z)AUtsO6*JCmmOU;=VZ_?p;@7|O(_c<*{wQ0XscX*a%;}cMj=h@PnWv-j{Hk;cxN{)
zB!szM>%i#+XG-h;6-60Uzh1L>)&jNK*|`-fHumwo*_L47>RG(!&Tk91t?E;Rd9(U<
zna{0D`#m?K?P;u9L2<D11p~#1g%V9=YBnt;^08VIo~=v`&b@7}A#=A!EmJo%nBmRl
z#)}rrdHo&xU2AroH5GCEuf6Mbg6PV(imcCnJgj#P<rX+HKc`6H$b3fb#g-5GZlqmy
z_uKq(c3|VP<y^sc?rbyHJ3s#r`>NZsE_VjAMrJ(N^<v5c!8A^xYw^qy`z$YgU#wwi
zl{w{x&s4LEvv=#M-L05kuK!2BLO%OIc1eU-#bviRqu%~Bw#aOe_h#!YZr8uz`6<kE
z`-}pg#p%dsms3H~rLK~tRnwR6sNTFJzQZt-BTcaDV*Z<Z3j<wW@jnnMjQ^?m?&CbU
zw>APVLuM%5XJxN=wDzvm{HZRY>XKRilyY<{e`)vTxfpP9<i`1|X8&&U{NIX8uMX4%
z_sI5yZntQ>DE5=PK;&7`<6k?jtVmR6t$+UM@^`Jg^PT~7Cp*g7hRlw=@FF&T=hdXy
zCDF?^nqQnbePPe_^~(8vhqw83I`-<^bbZ12vRwV)>F}c(Pu5>}>zCNR_^GaJV#b0a
zIZ`_m<mylT=sWdE;E1?aHFueqwu$P&1nE!domM_;d+vN)FegB>sp^E@_FX>(ZnB-J
z&->%kbnwY!j~*A38-JLZ^kh0jY}Pa{Tz2Gkw^vGiLVf+!qPo;;!m)ce)_MGmT=8-5
zRk7e{J7(4&?AW!Cb)wJo%0COvo7DZXUY0(k%}3^$ka)~wo1ZN=YJc5vbKW%RbW)jV
zq5GA*D!+xb(Z3gU=+;cq+qJFeP3%4AsUFQNi;8#r_T8Add4-Wc@ieyjGd%Vg&MU&x
z`7E=io9#cC=(;_b@7W2yqAlk(<(?`i)e_)a7XJIQ!@s9D(w=9&H2T{1SbKuy#MvFM
z=N{;+TCw3@s9j}Xgf!!$ufmaW4D#%?wsx$(tle?Jd}}OR@6DC<iB&MoY3P?ud9mxe
zXV2lzf|YEEU*g)s1>SY+HS@Dt()&7akJC1jt#2Zlt%P4sDQ}SBynOVL_xpu$GjD6%
zU@)>O_t>`6CiptP^4}*VX>E5{&Te(Ska(|utwvDQqxM&gz6DcuK3cYN_F*}pC!+T_
zUAG(+V!p(m_1Nq4m-rcu<<&Fyo%Ie4XIMXNx9=SX#?yyo;`(C+{)#kv&sb4q<E@%?
z<I3g5bNN2%x$W<YC}>IU3-?;}%lu8ET<^5qnvsFk&(iPO{8i)LAYfHC<J`L6hB3{p
z#}<Awnw)<+y+-WkDJ2=rJ+(`AmAyZ+y5L20&ni|qlbao@e9Hrzgg8RGPmB9+D2%^1
zy(nl>IDeP%quDw)tV~~+SrqgoG_n7gShJgp`)F0CAm^f5<%b*wnzd>C?7Mp2@7C@K
zHf`@&b^hDZX75dbJbmZ(+AK6Yu+5;r*ECAG>?BM4u}i<2`wnlmQcQiWr`>zdVb80-
z#<pKy{M=-^)U!CrYo);1MU9gy_S+X-ih4Wg+oKu3a<o00*q8pfu*FLDxLLfG;LHc_
zFK*wOzi~&0_tH<E0Sk&xFMC)u%TqHlf2(XF*N=JJ?{3dlKY#JliMrN%oqZ-D-><I@
zF#jX8ZT0l?;#YL~#J-&f$`5+1ByM$DEP{1wo6UbF70GO`^u}4|53J7owZvgBS6SNo
z7{dlj2BpL7)~xlh?Q89uqqBII$#lJZ%yRzgro(DywnbNOm|XSe+?+gPrrWc9)Ji3#
zni%F?{<`<Ut0;#_#pZX92}&=Lp2T|KMDEN(+(%y(+THM-UuDa{qFJ6`o;~4!yThLq
zPke5Py?n1Sbw=jQth4TS%7oTmS+T#$b-u$NcXNJ)iPe$ae9z?myxkS?_uDI#n5$`1
z|2H3UODS=RJjUYu%5rtg_nzQK@2)1;_)Ph8nP;_Z(BnTVvgU>yJ#DsqE8p%D6ZGc(
z`fBC$&x=34cDZ$JWJrPyyLq5X;T+S=EHwp5tWkol>30l6-4nNK+&_M>I{44!O3tGx
zLhl<@%ui~c7K^&^=)+a^cUR)xe|z@E`{l|Ri*37}yGXrvyJ-9^u%c*v;MooFex+9<
z_q0aNTcsC1Qz&F&<o&~E7;ky~@Av<xSCtrb@0--?by-UmE!pUMRC==^|FoT(()yPa
z?g+hT^j^SV*#gG4V>?>CyF4Y|Sw3ZFd3z+}OWk<|rZrnO-zoVt_0BGqZ3Z^>b#cB?
zrMG^BReeamp3Wro<I;~6cY8uEOo{BCIPG;%yoN&cw2B00-LEGaepha=u#*)&sge}y
zE-IFMetqz6mE)7t9E*M|3=KMOX&9IAxjdo2mNUyUj5mIgT6vh9NL65!<k#(K^#-f?
z><({ap3=HA#&V(5*?UES-*@fkJCQKMd!F;V1uHLlIPM59cm8pf*Fh&+?4M?{ywmFd
zwT)}C^22uM&c5w6(?P8M@gf1M2`}z{zPPs7@#pzdksBw7DsryLT+aPA@vGL7h78kF
zO1A2`>l*`h+zaI6<z2Pr*t})ePi-(h_4az2T~d)2$FGgNOSzmvpUSE4ZaI8y1E-Wy
zm_qjb%ePN)Z~l7!_|r#;DW^;<LZ8;0Z=Q0!u>T)Ner2;;_m_opZy7rIUF$fcpnAN~
zQgAs#VnvzZp2CL>j&IKXe)c!^RnPXxYngeTx1D6Ms88~E#N{Fp^d=yY^{e;Jr!P)F
zT7G}OisKCKO;uAIO~V-PvS+M`y)~(xck-WS%%|m9?(*N=m3;5fzNVK9OSyNl%L>a+
zO*~v3Hu--I&y+`NHx^oR)cyQ5b^gaDs~H<tM{b|IYJR0su?mN6pQEu>_3<4(##|yv
zj<OM}R`K-TKXdSvBcq=q%U{O_6PSt*%r~sr<+EYYjoq&;)pJ$74t|T2{%~>Ly*p<P
z@0Of%x4ChT(961Yg{MNw#8zMJOMmb5{LY;I^#%zKEjSiR-Czw_`L<d9C!>9n{n?fK
zJ{*~yxUl?o=9X^H_t&0jPis^4IKxue{JH4ry;Y%m^^YE|cG6>eu|j;~yv<r%te3vc
z>z00;Wmjcow5|RVTZ>P7W7)I@V+EzF*B%}*<Vc&sHGL+>0@hsr88xvr^Y6JT$>o;n
zw=B4uQ+nr<3dicmr!B`fOumuK%J56uA~dQ~O|_(G1*2+Z{nRAuA57UoI$Di+mACq)
z&#ckCr{Ggmx4(E&(X5~)+@Y~^X0PV`koh&lnD0-(W~MOX+wx*bd#BIQz2Ux_^T)bb
zw%KV>epVNk?$}VOaMEV#Q9stNA-w&T;)3_0Q&$+f7rD-us+KjcKx*!N!&y6gCTyI(
zcS6w7>ox~Ju4R3casJ!dsU6KHFFZ-TxcHv$%jYTlcjgt(>C<?A$atI24=2CPd)Br|
zxYye#NU!5H-0tJEz4f2_U!EPs7p|>!Z7YuoZOJiyGu1>xPp)vCcdql5f2VCYPyb6l
zbvw88pjW>4(^u;gw2puIbX895-pgdM<0=z39Nn_V<?>yBhoILiIm>;gy7FJnZCHB$
zrhDl7X+A;|bhmazJ*^c^+5R#-tLJ@n&mze-VLKLygi?tmPc^IC-p0?r<i7QfmradT
z{h9T$!3)nf924b>aME@WnYrWuliHECr@o8rwqCA0^)dJFZ{3B$eJ>bZpNXHCdwaSV
z&wLdomU&{+Gw*$rDA}%aJn4g^zhN|^_~I<xydRtru_{a1^j|%D@TE>(mUXUD*L}^B
z87jx0Y<KO^w|KZD_rZf@O8*3yrp~J0R_^04X=bCD{bBYWJ5~w3`Vh%huE)CS)`Ju4
zijV&d4BlD3z2Rsg(@cZ&4acr{N9$NEIAZMcmAxZs=jKUgU%hU*CZ7~6*PN~5Jv%(Q
z$LIdZfS703H-6?_W~!O#lkF%XayiWKUCo^`Jt?=#R;T25gjbjxj@bKD;ghzBvSwz`
zTV<OsiLM%RCZ4QUovm~4+qcN=C$qozu465WJft~$Kac+}+1BQl>v|qVrPR7KBqct}
z(lz*M?9h;S=*5<XwV|h3FFtwb;MQ?bmpSI)5u16%Ogm#2C1vYrc(t0y3w<`Y^{S_T
zS<$Beza26gV$NTA<)z$LJ2m!>`fr^D8vC`1tvBzSaXHv8O-kTcg@Mr3O~3OLej1x{
z{b+G4=~jNFeoADcvXA}l$uBH_O}VW1EO2i1;$8ncr_c9y)SU8b%JW=ft&SOMR(~id
zSLlki@i}&oDRd9>=R-%>9aW`S`%k`qH}8OX$(9DD&1Yr{&wJ${?en5#iTCt#IuEKX
z=XuK{Y@5|NxpCT8y|?TC`|Db+k<?iBdzzYNpvJ5wQRZkRjrO0DTZ7)JK3whiv?W2S
z`2Xw4>#qe(D|yJDC_Pp7wq~92>=wS<iNEe_{Csb_%=eg;|8CAbJ$uf)B`)8dNzYI0
z-z~mj|HB^1NIkB`q*I6Yn0Q?+xp!mfm(Ol&ffx3u{a>&?u4Iwr?Q1WJ8;^QSzvU9n
zxa?5)_lftmY?oEtrKC~V%O-p#SfFRFy5^OO_ubd6d@i!(^$l)UsWo;Ro9%e^-m><s
z)O>bmU%YMoqRpW!$0n?9IVT=@MB%LY4(An-&yoT{yFw?IU-?_<!o$Y&k>%s7nYVpr
zU(jw0TV&t4FJNcKi6R?zh4i>5n`iwAx;sT)J+ayM`^PAwNnVo_Pxu-NE^BwyPqDDp
zyKl4XqR*q1B3s{_Ec_AlO~>@pq<yn=QgffkoXcW)Cd1P{_2$aU5`S9!ZhlLi%WnSX
zgu>zLpEUv&&AIWYwfx4exh~&Me0?stx}Y~|vPAt9b}w_^i^8{#ZI8b7$-Fl9%Oc5{
z0l|%&Mv9h|t>FhJx<qE>PW>EmhAUR>falyLob0O$Bl_!u%U5vC*jjPx-v88k{!bo-
zD_T=Nxfrx_PpqDCq&)i6C7nWbHPIc+yWd~a`yKE9*SJ1k!}9bUmD>zOqSvO`F=$Mz
zx|RIwboIpq`-o+nYtPms6|HHX{<ZMb(<=cJ?k<}d@BD5#yT+a=b$@o+GfcWG`TgzM
z_jcCopZ=^@H)FeVF>Y<#$s@;lOjvgQ`7u|$d*(yGw=3=?G`w5Qu}j1yxj;zahtZc;
zn|4dMWTl$-RNCHi_nEO+<W)rE##@K}-`3wUYt_R`j<$vz%h#^DIQQHfr=vnCV%r}^
z)!&_@`exaaHEj=AUVqd3@nFU3o|-sa_fucGABUF+EmWP8bNlq%bEluqWfX1xdO=yi
zY`sNSX)eRu{n_Ow%@5tNUV7%c*_D*e@}8ck*j5fH_W64GQ`lpF2L{EwzL~mJYQ9hJ
zzX<uDo>R+H<t2n7-EaT1ioM{~eM<V&i`Qlw53E_VU(KX**U{PwTR#~etG@RzLO(V0
zm};Di>)VvNvz3vOrOE3u9{GhYWO=x#x^T{(gF$f@ubVx-<Ny5Y@69()_nIoMb<Jrh
zdg5@A-6bP%eNa}+*8+vdbGJN;x?-Al_M^tJvQ2Bwt)2C`>xJQE*4^)9Cv0r_7X6j~
zDgQme#rs-Q|4c|*b~!+n?^4XMsch=g((PA1uQqT9y#HUxFaJ#M#GGkox3E@jS#v+=
zYM0vP6O&5qs^+|7TpYf>Co%OyO#a=ImwGFAzI4-2kh{VBcz4Jup}m2fAAel1dHZdy
zrH4S&vM_ydzV~m#C)KI4-TU{a$XC4kLV=%<_ci6>FpvGM*X~@p%k3Joe!f|ENxHqg
ztkL<G3!ZTV>AvgNu&Uj}wYJ_QZ=KuJZxN?vREo>Jm)US*y~ED)9IDrzaQ{m9yoTH1
zoyVdCuDz$;9FDpuqWo}2qVTjnW7VIMb9~+?-Crx)Wg_+c@SO*#yN;<BCY-zS#oF{u
zTAeD}Dz$Llev7&(P3C*8AMSnqe%-Q5J7@3N_Vm(%ibFqqUQKK~_a}8TQ~bFtR<{q@
zKM89(TJSU7xcbqGlABixXFN^f(3tZ2n7+Zn%nNIhecdWj3}$aKlv=V{`(p9G;|Hp=
z*uv*A++P~ya_Qi7-LiQeFMZ?>etQ4W;nM8C7ng~v6p6j)S1%Hn%VX?qsx9uOy!W4e
zh0{K^DFU3KZ@$dUx$w+cOIT{xd=I{!H#^LZojslTfJusN>Ob4Y@^tq<w_cPn=$-dr
z{&Uc{u&t{(A@Tm3qd^s}n_~~DyG@P>%HLi5ZSQOk<1_AziT&*s-&boM{C7#SZsCa|
z$0o0L^{VguwS76yN5+c&{+?>S$!pEk&w3WES!{WRHGiM>$7?>*<~}=rc0tuVeK&J=
zpSLzvVHuZQHr}YM{(f$PZ*8FLy+hjT#7e)bMoGy$-+%t^lyFxSznKp$52*Tdd9Bhf
zw4PtP;pLk(xtn)R=D&WlHGRiF(U<90EQS3&_B83o-;=wv_hEyM!mGTVvq!T2|GB@U
z|Ksh8n$8N3PC9P;I6+sc^;R<PE@u7H$GUF3`Z)9BiKvy_x(m+iv8cWpZhUU5-t?Af
zOUwFKUYPpuH)lQnvzym+@Besz<HXWs;cFVc$u+ws3l<3lW%tc!x%_)pSo+`Z|M;4I
z`<~lSm~Ym^HJfoRpT>pv_q`31KkVdw)4pqJ!<1vc&egVlaH)#h=zZPTIpmn=?%mAH
z%73KFqsldH-F`OkzNvd<dXoL!WT~Xf+A7n2G3#+|>J~Tp=wxzQYQ0|M&yef$dLHr%
zD!utsnYUZ;x%BRtMmERmgZyls{d+yFsj6UB_WicV8AnT($KShA<M#C5-t+z2muc-U
zoc%M=@W}k4JDZNXh4Ji`lwGrCBg5DG4Nrt~?>{sQd;4wOw>bgbN()+F6f3lDa+=Vb
z`gYEXnk{A9ijFlU-(ObpeTHM=@x_k1vvnrr^Pi7d@X_mm<IQJg>r>g+EdBc?=zrwf
zhhLl)3x862`sv-hZ>JrKf15C@xjL<8*Yi`6QGr%+)jGaYT^pDtsKnKpDZkWbUFrAf
z%zT#TQ|JD>u&`|YTUNEA1NWb}x?5JTex9`0Y{&I;d;9BluG<-?thnR!B=MZe;xifh
zN|!MgZk?4Ca3yDRN}6)gyS)>>CRj_m9*St}J-uO5_@kcOMY+@8Z7{gTb*8ndBx8x+
z*_>qm<S*Y;{TbFWWr}ZCTjzD^nZ^X!gdbZf_y4^UF!lcWV!LS@XU13UuU*;Ya%ane
ziX*wpM0ZOZ$h=$Aps}yLchgaex$*z}^8>Cv%I80_`A3kAcS7N}m#I7RB=x6MhbJy<
zUQ!>W{8oGUvVV)+_?Go<vPt4r&3L<Z375dZ@T~9Y`8tQ2<ZR=g%-k!K>>2LQzk8il
zap;0qH*XXgRbQF5@||BkXX4MJSIjwlPkqaqFY3)4($aD|cD0&N`0-cyd%bt$tYi*-
z;`8fO!>ql3jULONu(c2nbls!7bIOMp_bK0AerI5t)$MZORLm9w{^p|6+S^auf*03q
zIc%9W>&k88#)fp0$GZ(qh3@rkj8}H}zUs`x=nIiOA*n~zd4Jki9QQD9TdBN&EkeBd
zs<o{_(T~7`W_|1{)_hw3{p>Pb@4Ipl2JF5)|BbGPAGnkm<~;x2n!e@L=aa%M(jQCO
zM7{m8J#Xsur2Vhc_@||7#$Q?Sfoqe^sg9j*|G)Enoc!MURFp5Tc=uAZgANC;${poV
z@k~6xrz>nV-=~P9dZ(jz*uRR_qa7_W>H6QUYtP7@HK(nX_l5Q8f74EiTL)|Swis-_
zeAROzgU91p$_&2YpBE>7wfi*Br|V$-iR9Yn+g85#R&+{wUHKNtuL=vN%ct8*TS)fW
zuG%}VWGlDPCebHXtGUCUx)igfH7d-Xb??-Pna3vFW>^@_F!TQdH+hL)enPgZ)|pwa
z+GQfNmm_F<ZRq?rr;bE_XIuIGL!MO#kIual%XC+7E8foL|84r&gqjEu`3;sK8uc;C
z+B?F2{dRe-w=VpUPUO4;;(`x#nP)64H@v*jFe|JvDEj;#fqw;BcD{Q1Z4=|Wr%l#9
z(|_xTM)YFq@D*0K5+3XRII$_d>c^|yZ96Zwq@L}1pp-6Uz`M%j=lcH(zHPUWZ>ZRr
ze`ChKOCfi@Ed6EPv~@mzLeJdT>Eeva9~y5b=5hDGx04nV@(c`FQ?h@ZIeYHgUFr?$
z>szktNguaJo|3@O{f4V|c2teerrrzl?Kes12w6N;_)?>GJy4`O{)u4S#>ijF*WK^5
zpL=0;&+&IW=iYf+HfQ_FXP=WdWZ-a$3KKTDEU064!pl12!t%halUu%hZ(or3hj)qK
zmW6?)|Kto*l8>wYogpvmsCk{Mud!AAjl#zH&WHIos0N)gm3rlI@W1&w^A*d|HaYog
z%@&oP?0A1oe{Vu<jM%aAbDQ67a-S38vZ2(y?V7GNW5t_2Q!<w%{+9HUW(ro4`sVhu
zT4$l5;fy+)x6Uh$&2mfHX>w?l$P4D$$a0b5Ul$DS+qNHIE%-X~{<bzR9{F`%?+yQ*
z^}U;a#oTFX{x<Ww2Ma`_H|LdzKHJalmhAp+(usQy-UXk({XccG*T<*ofvY{4SMy%d
zY>S$9H~g7Y)vRk*6umlcf0h4p)@iE8-PnCwODE-vue=&&&*W>)pWVc!_+3n2<jsYB
ze-2&gHZ%<v-s5`y+Kp{Tr<gA8_;{kqqcKra)=Yxc=u7mWi&g4pu7@Aq8mO3|qA6P=
zv#s<)^Ra^#wZ@%YfB!#~v}IFGH*j)V;bv{f$al{v{OkPIfa@z+i!PtKdu?z2X(qNg
zVi9L8CI;&ihraOOK2v|>q#FZg{G^9l{%JO7S@Ee)|H3!XT5?037~7T59F19=((yIA
zHf#}wQ?%Mot!1?D`?G9^A^+=I0RaKU+{p}rj_Q&uf#25`|7Ey;Z-)9J57#f3KCRc8
z!dv(9>1R9k+eyq@Vr%BDeqrSP+WWm<8vCAn?i1->=PsCeRp51qk57G!^^uSK*VGr!
z`tkTIhv4(Fo+BYHr|no5|Bfg*`7pHN^V7SH%RBh(RIkYjEV{U`qR^};+AeXOLEmKA
z=+&E2e{ATFN^=vjFIzutrtY>EQ4@vtd|X+0uVLGY`%R6xO&Yh<CMK|N%91dee&FzJ
zr8DvgkEfnaPg*AQJO05Qoed}6#&?{IUA*BKug(VX|56<Rj~L`4Co(h4FFUfYpTo!Y
zgw#pLJ6Bx-h5rS#`*yBykG7ML$#6fK?$qv{c-TgC$(AMoxx24dU5R|eW`E(YiS)e{
zvCb2Y?zvXO{~#~lKDW!T`L@P1>G-T^QFBDKDkYAE?bzYE*FE{)nZ+-kH!yyhe0bw+
z86zk5XNEk_jH>>8|K;0Zxg~k^MU#IzNr_5A+Z2w!?R;vdY@hktt)uY5CXN+9^!y|E
zwluk3Tlw~k(^Zu_(HeJ>c1!%y^?O}<P(n3L>!5cCle*^8e2s{%lfPeVQnb9w{EYwd
z%55JzCciz*Q~jWErU`%7yC*M-^gU}zCqE4JdA#PSlw{nr?rSe&Iz@k(Us)2o*EvG=
z5%2F89#^Xkx_KVuAKVellhMOd?y>fR<YsHp7h>1dg!s;<9^L!sp244|Yu~A+syJ&3
zYrRz8!{@g}DS5ehWwyIz{wmAdhMjuzf^$?{d$)Ga>Up`zJn<#B`9FENS&R71PMs0#
z@XgOC+HI9GO<CsbPU8TVuw|1K7VcU-Kc`DgMd<X>yPM_<|59JEe%`X&bmh>xQ}YBj
zbn|Ko^8YQ-s4QH$h(Ftyx#^!#eVAh6<E8JnI2+HNsr4ZHao@QzhXU<~(JeYRXKLJK
z+#J5%HMYa2rc|W=pnA&WuwR}JueB_dJ@81!(Pqp3D`r3EuD<Y8IO(16{qvrWoTTnv
zpT7TMx!L&{GuSF;h#K7#SAYF?$^4}q)04Pz@9tx>+_^*3Y+IGCdGW<I4w1Th`Szdp
zeb2e>d}fR84wEKTg{^6tC!S55&Mp4I>AHKjzguR#^)HeAWxjuxxw`knKX}@uF8_Vu
z*KW<M<HqODX9u^4aJ)2K{5q!bg60`Xk9)uVb9mJY96c4*(j~pH_VBNs|J%+#5!z#1
z#Q$zWU$PIw{vHLF4J{usXFOT`L&||K?F!@b4ZTyQ9lBv+yJf<ZcM@F+jk{EoL-gx6
zeb?FXXthG@1EKmmJ^o_TADz-XYPImv!bLWR`*l*jUSGChpHpmk(V=IVhnhZ2&$z`o
zxyE5lnXz}E=Cr@+Ck?j-79QJtW9R8ARYSFB`<RV({gPJS>%$T_y*c86kHMQSb&Z;-
zH=6kG%+yKE5Sgg7kIDXbcl^P#!HdOmkJ}|W8NV%>_`66UUTdXL=iEpCt^Gevey=8e
zgUx@Q#Khpd-#^wo=-C`zGmkO+^wl2TX`%aL^~=8tgr4lYW^nb#mfpwC8I`ZoyKeYB
z4Dqk3Ja^{St0Mtw#tXUHetYtsop`8X@t)I%3|4NCDg5xJDo{|E(LMD<%9#q5=bt8g
z{cE|AM?#Eys^AqJF3tLbf1d33XOX`lQ1-AoF@D?1*$bqexyi_7t-Z?a{{QHyHRf6h
zn^a2pS<hs}bAGqKe&Aw~%A4vfy}OtgPRS(9u_|qt^<Pryz^n!FIlZU9PZEE*@|XXC
z=RO@h(&pFJ{+anqfa8uOPij&i=eB#TdR*H*zR%dfSyun_<m@$B*~(%Y=7?>(T)46F
zM(O<4?WLkGW_X?nnX*Kp&V#dgU;G-|(s12}(_@aVS+Z!GF3;?0f!xihMn}JiGg&0L
z$FLTzlF&J@*!|7rIi8p7e4g)&tW3VbzdD)!qL**m@uX`FTTe~vv)egyx%0Y@e@=^^
zsO4L__t)RdPgnY;`q;jgzq;dA>W_>13oqrgMHSzfb#d#m{ZDi)W@(kOf2w9#^UdZ!
zm5tTi7&DenOSLX9&Hd|h_|Cni?@#kLn*U|2-&o-vHQSzlU&GYd$L?ObcYQ6V)r&0=
zp<Fhy0j$Q4wU)Bo4v^4@z2f#-%ilP<$431N+tqm&UYx((t5Rm#xw3fc!HIgS8CduC
z-kYDeK)?H1WXOSQymefO+XM7u)Gw~T+nU#PcE8aEu1ntRbIut}*tUT`+qJv@<l4I-
zQx!S=ZY$^|f8O}eG4L$gjQhD?ylXev1nF#9v*JLfllqMAwl5D8F4o=gpYz4+mVpv;
zMa6T|>5JG6^rz%n?pe>iEjQf4CurH(bnc%`TfSs?*tu-*vGRF*|A;|bt&)GLUe7DL
zlBLFCzvh?b%6Ka-<L=YWK4a-U|HtQ@<+4hSNB*on*lQ!lyw9O}9($TLyT{c_A)nH>
zh24lhny$Rp`EbY-Wsaz6@8@}Wus^?jCRXnB^V2a*M<jo%a~^&=DcQ6$$KbqamT!C#
zn|`If^QCOH6DDgOy)!=kBI#nUdh)R=OWJrt<~-ZFnWy}|hr+F`N{2+O?XFGb;Z}A2
z?CiBZAb7J_3dg7G!jmV<bDvvbC~6q3Qochj^Xfj;ZEq_dy%#v<XXfA1Xtd^xQE8gz
zo<CjBzBzb4`*vRafC-1Bn$%(48KyH!EFLH=-?l{K&s#-dm3?b`FDd7A9_!6<`1AMw
z%71%S&$9Wx&^XcBSJbU<=7UM6oC-ghvfci8afZz8t-M-on-9FqOmhp9sL-kXb1PuR
zw{jE9k2d#?J(ZY$UBg1AMYqSRD}j6EI(MVHE=wvLMW_E?cS7{>PqriHqYg(ePN+#W
z6|I%Bmz;Xy@osg|uT48PuG{e;?HJ?f3mzr^ijF_{<vla@;KQ_<NU7$}%dhPH^J1#1
zP@$jYdHuV8%S5XDw3;+pSBRZm(L8}utYtRWzdIk?KUUrUq1o#5?#N+j*{9nME^ptd
zqAB$<Wd1(&D;ErVziPa7-#V?!=+4<KGr1nI&zGwHyLfU<;xb|5ZF?vE7D<#^9r7sU
z=7pTR?Hls<$~wEa|2z^^CF+>N+;_pxSnmR}tfJEY*e|DNFVHbPEU|p{(uIEyTJr4K
zanRRwmW{@`a=D&u-yT;dalhZecsN;@spEmcihIlZo|rIYhC5B%wT;zjJwvwJ-zb-h
z%>LURc(I(jxx;DhKenZ(C)tPVJ-5s-+f(VtSao%EXupxzWX%{A-e1$!uj;MiWZ{UP
zGsQExbVJL#61Cg$(^rWtb2R!M=Nx{gg5~d_+iv!zYnE8Y7(AR%Ht~P^k>!5Mcb;#Y
z_jXfcRNML$uY%Uhy&Cy_?~erqXWs6d`Q38Y#R>D~mpcZ1yecAkXqNDMz5UPnKChUa
zp3h<Ut=Q^n=@hw}I_-gTehU2m823w;)pFL+$OLBL5L>-FoYo1oAO7v*nIjpkwlw~k
zo!2|@%-8YXcs97qtbMe!<?Y6`PB(12m~V6j$j`q2?~Ls}X^o{V?aYsz+<$%ednnv#
z>zzv-Qyv#r+<KV6Gvlw{yD-kZ=BF&r_$07-?%-(%YhS%bS}Q2{Ws~IM=Jh76CXHno
zFE7-87j17hnB$Nc!mBsA_~v?*&6`u7ZZw`a>z`>8(~R{Kv+eAkCH^r>do!;tWYfxd
zs=fPnO;^t;&<y`2e@VEkz*XT~?W`}Xmw%nkWplDD{I_Q6IqqlbbIgLT^s00JQPSky
zzk5qhtJF`K-LDNa7|cxic`lac=W+F9*W7KMFJ1a2I5%Kf%;`r0qKTe|9~m7p7x=zW
zoT=@Y_TE|Ngy(JkEmUlleMd3#k4m#oi~*;7!?B>?bBCV2I<3;Nl_AQ$tw_*o!|w;#
zjYpEzoW<rpSQ2tj>#dT{MAaiZEB6WAUuS0!rq%GX@%Q`<M`o^mvi8s>7IDw{FE?*x
z4n6+;^3<{umC`2VQ#Qm~8t1MIx^;_PR8zfU`<8s)0}DH^HQzUWv#?64Eb4IFpL16Y
zerT2U>|SxQx<w&B>1VBiMnm|8r~Okmp3hk|FZG;lLf(#GIhNZ~W0Te%aqd_UdFh*O
zQ{l1u5&3VtKQ-N*#`F5xHkMhZbsO~=Kh3x33zvCUzxVT;y*b6stY=pwtE@QMU>fDk
zvSD>cRKpG4!!z}MeA%gAC$Ovd^3r*No6O2Jtha8xZ>XSm%pt{|o0m7D`|QD%UVg5n
z9iMjBtX)-mC%@~@vpL2w55+k)-<_55-Qe|)7gOE`2|CNhJri!9UHB^~LC3lM^ZuUq
z)xS!V)<j;Z*R|u_cWq1KioFtsFSdpSI<D&cW*HT`UTMdC)^uhunUhn#HeX(z);#e&
zPtuD${wbSoiA=HnAay&*!_EHJ()~TPhWytw(-*ndrN~_RqbRdti+KI(Gm`6~*N3cS
z<87OFXuI*+%y{o*50|YiW1S%C{<U((#{P}5^H}fw`}AyvsNt^}L3i2?ZkVgL^WYQh
z8?t4qJOwJ-tQbl->l>alS8ZgR9XCUEhv-eE-jWCVmoHKOXfk1TFl)=<Yk8Jy0#8Kb
zOPx6I^q5rt$EQx$9-dbJ)xvO6_@G;}wyLbj77pXN-x%*_?#SdetY|+{D-(4)(dY1y
zC);!r=0$6rbj#FxA^W#;ZPl;$uk$nC`R0}zIIM8BzIW5qNJL%0;X@wh|EItAv3w3q
zT(U>eyQDbcAY*68l$VE!PYHfrwm0`xK+4{G-c#MHo#!qPpZzKF{pKzDTIY)D*{=%4
z?D_9>;L`dh<veGcrd;^1w#X`jFZhwV$ETZrey6UnR@48q`TJ~xbp_!eITrpiW;n*Y
zRi4l}pDi~lyE5cO#LGPuTXxO0QSb>)ye5Bm7T=<-O}8c844lOO)OPKNJMv07e9okM
z5i2h6`xn~@zO1uu$qk#=zWmNqfhQ)jSd!}Bd^nlaea&!n(Tby6>+kXYy(Sj>#q#gW
zD}{1hyO)IVwXK}GYTEG=)zzJ?%iX@rULq+HETN)SE1~xEz5UH84*NHmf8JAO!L>o2
zLG4=?yGZ#FsrBEl&!~v0d>`uNUw?A_yRyKZyuyx2{@?n$kFLKm>1EXfw~KL03Ub_*
z^=YqPo#uN%Em<-B%B!3==F8rRCZ7NOT(l!o`hH{Y8|Ehq*8TGhKL1C{P+)&_=B!=k
zWHy+wE$UI!)3d(BI5T8M`=`vC2bKsg30Yu2?OUgVOuxDd%kI6aIyO7rb1ZQ(V~}%r
zxc_v(my7*>4?4fu7S$4?B+%i!OKP)X{L-bBryF^6H*q;UnqRzb_l}TTXC>lSMc;5@
zc=1G2dcXQTWu7fxa@lTQ`~Ob*vzY6~g=eC6>pl~_!<$pMVe5k3VRI&Ba5v1g*xDub
zM6|-~N?m;PF|T>@d(0oaXiu%ky{2Sx?ZJ=Fr&_md%rgI(6JYyMLEGhw81L?05Ao?6
zweIf|SukzOYW--vl^Lai77|mnHtF2|Fzalx)m>J#>m__0QH!I#N&e?ZI?E(CJG1%E
zKDCAIybIL3{{Ip?x^j+L%h?3i?m2gsahs{_GI-$BXz{Y`CFg-3Z-e~gRJSbWx?L#y
zH01;n`@VhYEStKsW_iDgOx>ksEg#$DsJZU%)`GpoN33M#acp|s$NNg^Lv}`Oc%R{|
z^{csF#rpDcZM<BwXj{<yBgXv<?bdu7pXz5=R3HD^)cdDEcIHW`Nq6EVIa-$Me^XRY
zRpo6?*iyDu()dW2(wpN)Jzaa=ep7cyj$gzP+n=4b&v;(=oIsOzy3e)lZQ9ppE)r9{
zDx&eD(N(_oOuyGRo*O-rly6o3p>uEhlb@TIUTp06nG=++Tc>Viw$y!Eg}$9!q;T|^
zr3LPLO6rq?J@<+K4uAg5Yr^A+1p>z&$MY2U#r+9txtq(S8n}6e#s8>@Dl-%39=vM!
zW5%`qz5f>e&e|Nc@4@*=bA9J&H@`@=dOFkcK=b>L(^g3^#h<unsL5$?LFESD@yl|q
z@=G>dzreJmEcM;qum=BW&g&2D*>UgP<0zIB0sJfm(X#2hr&IzzmmlG47Ck+0rO4?!
z2lS?``hT`YQ}9^Uwcvj>U+3=EH#NPPEAXQ4p}mn|>#rSgAC?B2<$kZ#H?vjS)7Q1$
z{;z<c64Nb{Lu+mS9<cKNu=IR7&pFdZcaDjtj@xLtPN)tI`p(zCM_J=?7@tlLQ+U*Z
zi`=cX^5QAE-EZHiT06+fu`6zpwLS82PL`x*$F(}ge@=HExyD5c-u`F((7o^iQ+58U
z7xpI@wY>9^a?Reyy?X7$uUv9msg~W=&2~N4R4W0gv&W^^t^e=%t#tq4!sd#|G`TAq
z-nU=z-oAOmf+?np=FE$GYvx-LZuB$f+xNc5P2o1W`r#8g=NWHUYZq9aectXW<IQi;
zH@~Va{1?Ju{A#|x)J3)b4t)o=UiP@Ho%n_S>(v%U4M7EWt)kUS#ARHk+&$*EAlgwc
zRbMW<vw8b`*7R!?_jO&?-?{YsVdJIkD*v}V4__fXCvL+I!{siT`#ZDt>u%LtbI3^g
z-`y8dpLaictCyKt-I2UE^i6mk)9<CHbr<`uKe7CL+O3Ok9N!%H`Cahq{V!j9=bSrP
zfAjm(%AZ+GMb|6V_W1H;NS#~1RpGu-s>&no827*kUBw!o%t<lfx2sPqa!wZzTpIhZ
z`^cp=Q~wrmt$MaaSL14Uux5-xy|>br!djQ@`5gbIx+Yx-nSJA>Mn0>~9h0@M`ZsDW
zbDHW_ar{vBUmhN##ag=e=Q6GkNxP=C>t~?z=YpJN*KVloyTxMD^7UMN_wy4ElkM#G
zhZ`i{P@mzsi1|;{_Y|Mt1uria-tCt>|6TXZstaZNViOgAgnd18K4HN}o|=n4&M68V
z+W3?A^P8>q{D)<J95q|cvhAw>ONql5H_4^_+q1c0%c8^iPOB@HUC@1hTynmAS9f{Q
zmt48yuaj(=y`Qi#{bP&vU6OiZ?}LcmsMv!tvD*b+$=37<ZcjFdI=A-l#C6R_ddmN%
z*Du?0-}n-<@!h+U!B?`K*4w;D4AeRjZ62HYAZ2dO%4XN%@^x-@H+7cqCeDi5m~Z5(
z|Ng|0Np_Z|Pt1AWJmA{<vxjNzac^_)kcASR`g1MIrkg19n%gq5CP>e0TQhy>c8%1Z
z{u{SA{1I<`HT&eey6*+)8U3%mvZo5I_Sx6DE>Qer?DTmz-+c*i7h903w5^9}%jst?
z7k4_&tDmu~{btL4)whajZazPj9-DtNjx}N>$K_oUF1)M`FZ#>>v|PR4i~Fnmc@g=%
zEp}g2J7PXsE$NtJcKc4tyRLodUp8jF{jtZg_ItY8qZ<MpnW2Z{n3s8OyKedZW<uwr
z<Lqx@?>)7@VXWR$xGl+<Sz?0p?RV3(4JJH1{;1PEw_3q5+?wYi%i&A@GcW1P6)h}v
zcK+eYe)nG7T(^C{10{-gubyj`Ke4t$*=_knJ%hTHr++uteBZir7oUuVLDSLBms<O7
zJ)ZV&&1%NWIYwKSPj=TnP_etEqsmE4JUl}9tI@hu4}G`W+OsYbKWegtGr)dbdDMOj
z0bhSp=lypX1m$u=>cZynF5!(>(so6_yft8gMUB;(iG}y`Ll1HDr#|A;UENovS#qv&
zVXw_G7uP2((dT(eGhS-jrx+(FNI!ZiGUG|s_wcVLN{h5b9JU4;Xha@bC&H(pvtXI@
z>7>))%S!_D?U&g1Zg!v6|9MNC+yChyx#_;jPAC6O2so;$I;Y?CwW5b~L(sf1?Yqg1
z`sP~~89Hh_?_hS@d7bC7w6a;X&xN85)~D9#i&}eLf1fk)hDqeN+J}B&>n~h;zNE#C
zZPz2Q*D+@v2{}0W3-8+U$ZNNJkX5^8^`U)|6J(dSeR`^V(MqD>qS}KP_H8Lj!SBv9
zJk2^`Aj{)!?Vaejd2*#?!N*OZ%kOnB?mN@`Op|}=dS|ir2)S$JVuzORxvoER>WkI4
zuEwP=o0uHzIMcyW$m*%FIn((Q_XPre{p^0Q#eM2#(KUR^S<Ia->n1W<Jn?mU${1++
zETPQs!miWR>XOS$Q~tfsD%jUAZ;;uqaN#Yl@9pI=>Dt@WIt!-C-kqlX!(LFN$0RJi
zqU6t}6Dl2Cy*4VhH5!$2;zP}6oa_FRvag`^#pI_Nzph;Va8mKj6|F|KJ?8QeufyIp
zsy+TadqFYp=7j72J=~8zo1Rm|Tv>Z(;e~7e;>trZS8nJl<K0<*KupXeO`l!iU_s=P
zpf`#;{bo*(e-hwl-z4y2`%b@iVtmR<<zG_lCx~iY2(13ID?>}_llsX8+pCfT^kzPH
zdT6oKKzZfk8z;rIJ{}Bu6SSd8P^Ei~TA_xk|AQ4`A98xG*Ayjq{Qd8FC{kj9Kvaf~
zRI%H!wR~xwThqe2SXage^3U6OsvxR-=cLJRds#TyQ`VmPwkr6AWDfhB1Z!>notuxm
z3)%Rm@1NOn{eX!ZBfj@B`!?)<rOX_!<C<I%*LqPcp(nts*>%fJ>!}~2*2kT(@qKSB
zoixww!EzVRqO1HF*OH#pzdLi>&Fz=J?>tLe^-lts(^v~559_I)IHD$Rqu(a>e8b{L
z_p&!N@R^^vksG@G+|B9>VcT{VpAfRoRTtbEv5I@uDc+0C?E4b8Z4Z$?+WUrg%fJ1C
zx}PJT{E}AI|Ic0gEh6RH=M|dC!7*~hTP~J;Fe!N~RrTF#LQduJu-#D$T{|^*e!jmt
zc6pSH_qEy1PVrA~xX-j|^>dL5nE8LpKhZOhu{?AA*k<T{&c4EYc2h)Q>bX_ci~QVH
z>=1kuD`a^?A>?@<r{cL)u?xR#b@c0WcpmrUwftj4x3YakN{7rH;^p$&mda0GI^)sT
z1#UOBSr4q)X}Yd5TJIzO)LDmT?%#irt!txxl4SmU$q9ix(VBIKs-^!vf3V^1#91e|
znFKTZjF>7kOY1{J=tSo)D<$mL<=t0x%a`El(awDm&GCd&QFq~&h7Z3tZHe#*UoZDD
z#_spT?W+Q&PqWLi>iRl^wT$=vo_{;H9=q}T5=&CS-X^~1GN$pXze%z$(O}=8I^Q+)
zlIW?qeY$!cxm#C%Y`FDbSy;~LLHhgY4L_8YO?lcA^~Ne>Pp(Ml%081<e9xchD$Xmh
zYJQU!81_EznASJpf9i~O6S;jE_k7=5x}xt@pZ(6nkJlWvmse|u%t`rc6u4NxdA**+
z4iyD`HqqDITS_n6<rmL3u#56bT(dXr_I9~He_}R%J;o&ZN#om&rDg2#(mz)oxTtz?
zkM!kCMb9M$cYQVaHNKu~%okZ-dADQf+{KHIEfl+;`sj*^LpifB%U?#WpL1%RB0QFE
z&I>qsexHWrf}4*H&KJJT@@RtE)AR?QcsPGQ{?~1^VOf6m%`No{qn8N&Ze3CH<KMv@
zT@^d?DtDBIKQ%0z?I#~%q~7VKIV;{>+Pzfk*qn#)PA8Pht9@;xm;=t%vGv|~bFshr
zK>VX=hcuF;-WRa(DsnINKKk$HoSCPOZEsKW`s1f|LapiGP3;BuSb|jLjNMPgUAVzB
zWx~<F{`n?tTzR{4r@d9F>iO=G&(?P2Yk{O#>x6AR%6g0wqqeV@>=WMb{7>WYvczrg
zO<Be5SS!q)^sY+!@bi)Q^r-GV<=?wP3Wdex;-4oj3z*`$+_X?CxG7gTt0Fb)@nMsl
zMVbFD8kjBozrL$a|5EpXgnpgL>s}t8EhE!DW7)5{sXlG`mo<NE$QN_}U-o}beaeRm
z(es=hR4fxe`eDA3(&l=_m8BKCS2>n=UJvPFdbo47X~O@_e^1`$<ykOk@@4n?Ogbl>
zb++1Vob};CsR+vtMzxs<R&PtLx8GNmGf6(nm$Sn%d4~GIvb4zzVL~6)YTYuM_oX16
zZ~x2k=X+|c@{;N=NwI&QWZ}Uh5$2iAu$NET&*SeR`;={gP7!q>O|>%okIIuTIlDNW
zKXK%qqfCZ$QuI5nw>i9%&rR#MIJ0C;oagn^Nv;>>Ty#71-P2rWPLepw^gH2AqBHi0
zG5a!!?&rVpqDt%at39hXGw)@{Pn*yEw_E$~-p<=%2R?UOgsUF=@Xyudi1zaabqnFI
znK!f^udmL28*lACXZlCC-jvO$r|<qhIlFSk$Loz9J9MsyNd)Zqn7=(>o9dTWxdLI2
zf7ktXP!;+vxJ9Wbo$qF!)XH7FZ`_y;y<F+msm0K;bLJK2HIv!xZkokd&sg_WYa#z3
z6+O0p_4`+={xuN&H8mup&DCphV))<KT64WmYc&e5^z_M!ys22zHsgeM*OWL7DURHn
z7j~1Ybn|o8Hh*ZE_ClgIhVhUWXV`7_uKi(o&Wcwir-}vZ_WC}XA#hqm=;e%AkuSLo
z7Ui*ScYF|`ueMF%q>Q|7EN}Unlj}as&s^esZO-DEUy8LBHupYq4cpqrb&1K~7aMof
zn<G!oJk33PNonSpWE*D@!#(1wcqCZAZo9C&dPZWvr5$``Z<&5fT4eq%Dyx37lz(%A
zedD#~b;m?6i=4lfs~cVP{e?qPWfT9jeu0Hi#)`t<=iE1A7xT>MS@>jAmt}O!xxk#;
zJztrm*QU1Ky~6S|<E)?Q&naggEco~^#pF)Nu8;o94~8`^5xJ$+f88s(@?7%rT!(#5
zGv_e=$<E1BVB?r-%wA&uP}w8;kwviJ@k8%@7kr!V+>?4^lKhTDp1mDQ-rg$Yt4a%!
z3hFqRSfcLGW3eHI{ekSGC0fZQ#Yg<v7wB{6oWC(|V{u*E&Zmxlc9<9oi8<L+9lQF-
zA%DVynZCk?O7FfDY)-Ozd!cuwjL(jFan~&`TjkCZ{jXy7YhI<a*z!+DLL4?Lc%Plm
zYOWnA|7quUhA?N}9Vcgmd3PB9h@E+zw|tJogxAMR+*mJjPFj&<Ya0^8ZY%9=^Yxv~
z|GT!U*G-;$i~H`{IcA@3#7wI_zI)Y#cL%-OZj^JDF-B*o&Xhl2t#Nn9tGx88Jw|7?
z)|^pPeHq8TTG%|p`Qz_R+uN_z&AP!jNvmj?r?9AO>7uLsS?7LVw_oGtzKE&9!&A)D
zsPgvdw$NC!wfm*cC%p(`w^;cnxR&EfcTuM5qe!jy#wz!o^(}J$Q*D>+=@qebuAamE
z)QJagyB=y?)R-}Uk)-EcK9y<HBugzlgE#+FP7rPPk$LfK@60C$y|3ELUcZB<>3~)C
z$GM@wGlIWmKep`ee!f>ds;BxzadmcM?+X)Q&miTqNy!^FdHl-u(O?bbG`5VknY&qL
zt?te*m)^6#Xlht6E5L9<*aTjII}Pi<i|R~ZpQ>@9SEupjiv2x-1w8kfo(3ID=DAmJ
zR48J9J-<oF*C?a>0IedASB4={?UE~BPY-?jh28DwvW1?zk1NfVT4$+q-1C-C_-nhV
zX%A0Csi+z(akcn+X4+;A4!=x??eg;<Z2#zI^@5Y_O=v)1g9c{{ztPT~>$ygGOlgVr
z;awlgQdQ6GoFg!&C~vp<gujWA<`#~Rdwb_@?Tg?(eQcvdC1csA%Ms#9ipr9`wpS0!
z$}1oGx>hN&Y!2^_@LT8aA4$J({NlOxrpVbUmjfT%;P~HhFg23boYNr4%pmj9(d%EP
zz5JMyts)y)Ww5}-;AzZ~oaOADaXZ_tx#>0=l&QAWseKkK`y8&cdeWOJznxOM7_XbB
ze(gOj#d~tL(<HSU?tPyf4xQ8d{$KpUd2_a|{~9aBjJ93-9Q~$P_`v0vdU8Ks?31Vr
zme<NyYTmqSktJtcrQDwj{GWXK8bYtDzWVg(Ro07{pS<t1l*;+e`F1}_`i;^Yzv&aj
z_V7+rmYSn^k-2Ai(B7=_NDlj4r&ryldCjsfu9e%LYCcQrUGRi2Z@0cUKR3Ou<ZVF5
z(!ajtJAbvDKDa*QfpSobQHkb_{U$!gcR$+Xe#0-XD0?@9GM@mey_U(0()s^QeZTT1
zJ-7Ln%W~?rWIodkuZ7FHPP{v&RJ5RU)y&U1{hJ%TC5+}@eOjTjh(&KVZ`>lT?ef~Q
ziVHqg-%agx_Iy?I_70=LU4i6+j8M&xoB4X5&$v`qDJ%WDmt|eQ+VAKuqa4<-ZLv;s
zW|f+^yN3Ng_2Ov<gV}GM)$z~oO-<(%4X~H@;15mXf1mF)^)r`)x2ttWuTZDqQ`U=>
zk1Y3eY_wMUEp_&}0e@6m<af1Wua_*o#=Dn!)e(;eij8xds=w6~^?Z43lzqHc<nONi
z`|>_3a%?<m65sRkOrqDx&ubn}IrRH`pJwQEpU{Sz?&pGL$<=LaUT?mT^G1}yKlW)t
zOP`4MWW8maZs-tDk&x7Ph|8YY;`48xZEu!t*!G1tRry5w34i1M+_{p+-YSLMWc_g`
zG%Tg$tG|he&O?cpr|pthWv<WO(LasjbYc7Qh~VX461#5CXIpXSz{-ym3eNobylxS7
zCVxvLn}v4Q7yPbuS~g_?^Mk!vjn0#vr6jYv^vipx{Qb`{mm$D~xhJ~&#QAA;PStfL
zyZ>JGwZGVW@bYWn_UbRJSvSwg?2OVDeOz^mA+gQ<$AsA)J_Z-hhhGuzU2NLz9C3F2
zE`e>=`GOZbzbrRZf9-eEhRrrRAL&i<)vxcpekJbT_q2>ck@AYob2$H>Jt0xG_)^}!
zExfx+cT8**PA=~==48$L9=9;{>hZ7t6u9f+BV`MYe%{gQ_cZ;8w5Zn)r<FHvy}7gJ
z*3L`&*BZrpeCj`cb9+PM-=x+ltzU!{mI$*4FXq~_VA8i`B3aH7_jfO6Ug<XP&88Q}
z{?E_aB=TFV*iP)nX8DW$?`<!8x@s)X>FW%*Sz8t76D}?vSdc2woUC=fclWy<BT+w%
zaE%4QTA6<;DylLrX7Hc$h~&K9eA=$@jle=~vyvkhv)!*hooi^lcK-w6lClSGjSkT+
zS)O^X`Po{w=grdk`&{gbT8LNn$-r}lG6m;mZ=R(zJ!KuQY31u(?-Sm?tkO@f6ZU1*
ziraCPuh-m6ebtrEEnhfZ{dgrdHT73#g^5r7pUZV~_ne&FxBGL>9jWavpCz`e`dQp|
z>Pmy$>BZ4UKJd(!G5Tg~;oY&Auf1shv^ftMbXI=|;K|$ee&_Q1*B|-y{ykn+cl^Ag
zbKz!x<I1gV1``5uWo3Q0%fwt?@`UA*Z`nVE^GoBztu^G&MJT*Jml^wjGk12R=&L<x
z2_DDqoJ~14wY&Do)QriKITnf?Tz$H!#&0#}<*(YC`(Fz%JpNbdQ<StY?m(^vPx``$
z=h|vV-iMsq<9F-B#;lFIcN$nM{FfbQqnxYY`f!2sW|hsJll;`U-v3-HsD9(O<M9m7
z@JGR#7ndEF6n@O-FvD`^Q_1V%?xe2R7OFV&U5MN!o;^#ui^3H1!gTZ_zfYT8Xd=1$
z{M<P@Q!3myTrk=z?`dATX6NrSm8l)4AErtPnkbw*9^`i3WDo0W(fUG<$USkz>lcO;
zu3qXLm=?J2vp~Ch_`GTU|I5l5XE5oc&f@*G`@g14z!$EbXI$Z-HJhF^ZnI#!+QFG;
zw4I@(BB!IzWr4=RhjFIImK?r%`N`WCzADStEc?BX`%QDxvI|Xe>;fE%7jk_%T~s_*
zwPaf*4@2Aq?Gx`qv#(v`bK{>X8(1#R%yEcw6Jw=+g4PkG9S(2I?oR68?c;mk{j>8P
z1%DT$-@m2i6lk!bV%pEY!3l1+HwV|AlgujHUMcfrMM+(yfm7Q0vfy6hQ(ua=y!n3W
zzBtD@Db=1@8<YCy{mUzV{5|<P_1moy@#=+tLZ96>Tq4hO?dyas$}H2gU9XgX>&pEz
z*+Sea(WK-4!g5WcyZbfUW!CIwHQJ)~VpZ;IzU8R~hwHqz%G=iWH7_g5^J&g}e1E~E
zk~*QFgOf!Teh$6fx~ohw;^4mCE15A`GsC_sT%K}R{rdG~y+SLMI!=BRsQcwIC$m2H
z-0r4Zx71(me%bVFN>)BkL0_Y&()pUgYLkC&?nSdI6?aZs7`QbvQ-M``&Z+EM?D^k)
z=k-bIRD_60dR}ucn|5Ec*1VcC;iK{W-iDXg!`E~yefH7eO7W(X%h&==`KRqaBwgkD
z>kj{NhgZ9*vW*jG>Q7lycF>S5cSB>7EQ8qpO|R^4tiAj?_|!?sq+^HE3%<77TD?d;
zz0R;PXzr$#>k-y@$3u&xeqZ;xFDCn|>G;*ym0tW;1z#3QNG+}0{(a@PgT9G}Hho@^
zqM^U!*wty~?XP$~@ZVYY$SO6v;`ZW6M=tGtd*yzPU@gatUz(17t0JyxTb<?z&fQpW
zh}Zm`*|lSRi$2|L-{i6Wl*&$r@|i0Om}YLSy~O+SfUs=dl2-;FSLC_ORnorY|HJ7{
z{PNWrJr>2!T{C$6*<{P3k{9{xNqk%rZROc$cvnSi%h^54&U~pCOFp`2Q}f=(ybsTx
zpZ2KH_tjp`<iMoNFV1Vd?6QtX-@bZN{`s=XokGVR>+E5w-1^A(+Oa7=j~$h%VqjQ4
z*Lhdud>^}6xrXdJ`EHyxh_kuHQ}Bb`NkHn+?vj6@Ob@gIcmMm|>TLLJ|Bgj#zu$IN
z)qMK@vttE!Y`4Q(=LYtp?9T-weYRQFt~*ixxrOoIn|!zF=J&TO^F84HsZmSzZLIaO
zRf3OvKYr0DT(NQKZMI8S?gwW?y_&tMD2(x*z{OK1U)<6%kyfgi?&#$z%+*_3zv$wT
zesy!>kMDw7CmphX?pbaz{ek1X*i$>q7N+N#$7jEjNq6Im^SJTLYD4kNqrcj=F_%AK
zoEfgqJ^AbNIkr!Cvv_U47st0Goyj~_;evebcHZ#mg6`8ccgb5U_>lH=zm#@@W_x7&
z`9nR6yO-X}=$Gi9XCUdWTC#78xZ=@f4F<!CY$G$9#T5Zhy8nxX=2urO@REBxyXVi_
zkBTZODqf9yehSMUaDCvuy)6Ck<LvUcXU~Rx({)*Ssfca9?9Lmzj;{UvGdhL+_{Y}z
zNqeK+dd1hNa(-=Kn{7E?I%gKP6ZlPx%nc%3nrAIcaNOt<vfeRfVa)FgiI9}QhmA5t
zI-Yu`jl_R@=$_+0!uWk&tLTpdm%cQ3o?jIEFyMXE0(H$(OP)LPTr^4eyYtSa<40G_
za&n(_C+j<h80UQZwRY>b99VfIqVD&vRdsw$uipBU?p=Fm!t&LQfBY_)OIT_CvzS=*
zKFoL)gT&H^Fm*>sjZJqR7@1rQ47Ae!v0sXPY3TM#$L=hWk($q9p5C#y{9e>6Ifb+X
z^{svV5=kp7&vT!1JGWEfY<Q6Ii^Ut=zD;g)Zb?YZ_wzoyxxVb;B*u)|F7YMOr&?{S
zAHB72U1xt|PXE!xJGU6KneR_M^RqhXm+RTeZQIu$Sz~OW|LV`r`xp3LU4El*TIcTH
z`xy+qI;rPG<ag$NeAky5w7l+Kz=wlQ>(}#g1~5#0wC`!@zRla%p6@(4%SO6%p@rkF
z#xr6v8^yP_h(DGus{UuaI7p!Q-;WbtPEP!Hbl$JEvdjMoZn&82-)Dd1!L$F9bJSdT
zCx3R(dd#do{lzTbg#03&>`xPtx9(EdE@fsMarF~>d(QHgf9(=JK9tfH@VRuX$FA=1
ztE<L)3QxSw3)@w__qi7zd#Pfb-gDEL|9{*{=TKQyx%=U#-&}va_Gq{oM;u{X^s4)$
z;UeC5$<LQ>WB9T36mL%P<8RJuxNfB$=S)4lJ}B?p?CQu1vGJQ!7Z&A;aVGL=PEpIg
zQFv$f>o!Rr8;@?On=?8enPmU=a{e5z_c!~VQAg`(8>g9tW^&CxZY*c=`R*uRw9`p^
zuTR(R>d?1oF@CdH`~yRS{u=z5q~X1g`*<(c(=L7)<qFnk&))5SkQm<ocJ99GZ_NVv
zW+j}`tx-JvsFPLwmFWH_d$un)wlI9U$o<>;YFUc<eEzDhL)~Y0?lpO^VfD_%;wh(2
z>3Pr7yz<@bYhCkokK~>AqO_V=*Ot$pSFCcHTeg9R!!j<iztwW`EB>}i@2b=TN(6#8
zb?Oxyp0{ePOr&b=p9!qnQqKK<v2oGFTZ(^YGG|_0*_ilqQ`6a$3tGixQ870yBQ{!`
zSLHSSeP3CWyPcyg#LzAIy59P@$2IF2e*HW6Lc~V*k?7@5bHr4I_wD@B@$T<}Bi+0b
z{rb1RXfJS3NciE&uxGPKt=mI|qkl@+TYa8+cztfUxao*L+x)ah0oE7s7e#*@vdt)s
z{1U8J8z-^)@q$`I*ZPv<<@}%XZQ^74@5#$)ud?Z`_;Tx1^+yY>8P6<B!ZkiT+{^dE
z%aV`FVSWyevCaBQuFA|0I~~JBR{Kx$n>)`#-&CsSPk+Zlajm<oufEKUPI>aqLb80d
zd1qq9+asK5_m8w^HdW4=@b|o=?a>b%#bzPGy%V2*WcnS}7W#70rMJ4KpMURB*te!+
z?d1u&;_63a8c#3Z>hk1>bj);dgR2G)*v~GgdANS7i+ZueBYWYgC!~_5JJySSE8VWC
z+2$6U;w;X5g6ZeV7Wovr%%n*UJFV8b$nyusOG+JG85b5N>%e!MW7_Uq)ieeh4|cZH
zs;fI6It6(+8l7LA_O8-XMC!Lfa9s4wt2d6-`K<K*n`>L3G40Hj#}9hvy)Qd-z2kvF
z?SH9-uddp@WRp&izt?Ra^U=t1g+^+7;d-A}XDg52{H{Ca44+9&!@?h~o{Ua@og5x3
zEtqxOCQP=!ZNT!3EkM(U>2U47Wo7yjqO$jbW#;cY7CzBU@%?$tnXzWc&mSId{Aso*
zJezxE-Mw|DQNj-&8s2j7$(0teTWspU&sZ1~ldys7mTlbcX?0J|-Og+J(dg1Bm0$Gi
z>(h#hlX51r_?X0ozP=yxHA+<I#uEXVl*NfZ{>^)tcJIlB%q<EbZJS&lZnp9<Z<?*T
zdWYPeSp6sW>$s=95U(}a{`Sx3bKk6I@lH7`Tp-N%{mLaHu6rBybgYX}dV2Qwii@8e
zji&6K>i22UyDWD%{nASIn{z_{8;Z5uklMoR;kDSd$?+<4f}?iM_6GmR&(n`w707R&
zzxRoB(UYqJr&+i+XzKWCUpynX>EL^zjYg?)9&6sZP7dC8U*ch*;GIUT!~7@rOW!n8
z<BOc!|26z-&5JXSJ^r#)HMu0%Yc=0l9H|~<5v;OdUE=aPJG`HGGMK+@a%bw{6p9Ku
z&9u1bRfD0?Q|5=E!AtM|YZp1ge(lWHKN?e){qp|YvvHfjy4$}h19<OuAAfS}_@^kz
zo~!Q{^p+lr)PAAPb~bAN(j+_giw+O1x&AErWHFJU<lAny;NuZ@tv}{(KD&~+{>F*F
zy89S*dYGH|MX%Kse==o7Ubq&gU0Ki~->#SKrWecgE)_3{)ZxxKeara<LyPkIgHt>z
zX1ZQDary6=1uuL5Tk`1FCmx^dy4u!enn7`N)n2o-06~`eLy96bwVYRXZQI_meEDa_
zl4nalY^Yol#`CLSF3ZLwl@F>X|3?JvS?%Q#@6Gq=(9r~WD}jSTrLjVqX-EEE%c$J#
zytaTLs{LO4{Uy`3U0?C-!{yu;l1^3Z7mXC!j(Bs*SqU#{nyb-Wc3?*BUi*`qO<d$=
zET8Z4^0Q~>eSzkg-=<5{YD>253Fs*c`1wXpeeZ^H#e^kE4{j{<oYCpOKK9FzTU9Sa
z1^YCLS<g9dysx}bM90N4I6wM&Dql_CtfqoXpH!>l6KCw0EBeG(dRMKMvF?#scUt8b
zdW3~^<+t|F@K<*~b>8ji?R(ds#VLxFFEUk}ZgA~wu};V7{yFvD*TNdTXLuj5R#gm8
z&tJ!GleA;X%H7N2Re7iRr!TRVsoT;ZBrN&!uED~~echXbetBH!W0|p2>y(h1Xuy$G
z4eS5EpU%mk9&LNUMKUn5#3)!t^X<|fpOTl9yWSUxu)EL3=dK{*cGLRAgs&nq-<^9f
zOYuZc)&4o{FBCUM^QcZMJK&|O!Y-2ZI3-uI#N<zWoZh*KaapHyzY5>^Xuo^0$yEF4
zJ3Door!C1_Q=`85QgE_Ja4UbGK#S5>#-!4}=k%k6ex}CFx%6w^H^p7M5B7=}_FBzz
zdS%XM`eEHwKdGehn9B?-rLm`(0(5V3T~KPj82P0__YfmDx8$S>t(G@U8P{DSW^n9g
zoX&9CA!Pd-sqVc!+b7!|oZnY6?PTqTqS+rg4N}(3b=;V_BFMC1-4f0kuPY@<K004I
z*V@&73bi^Av(Jd1=VVkvz^wiI>sY5elzn0PV#D_0HoFt+K3G(8H>y2QdT6%lq~=zU
z>puII?PqMrNK$UIe6F2XzW#-nz@bc|EL&w&9rZWyBA=%H&wRh1DQV*w)vNEn^>%q>
z_ZhtXZM1_srmoSsIjZo?!sIJ1Z-4K<A+TfBi;%PX7<L>}7IgXJ&#|?6=d14%pBle<
zZ~pJsw4Msle)+Gi;o1x<|E2It9Guv|@bgaEOz|U%DrJi**q;2D=l3(b`}{tQIUR!0
zIhl{MuGqD^UB6s!^7BVW<Xl6CJ71j+2y5TbZhNzG0sr9w)dftP$+5fJ85pKai|lQ@
z6Z^`q_{l@p_(^WR9-il~VD%DHigVh?Tm82tW~SFv<9z+DjUk@z^ZOp3S^RS5jpP+S
zExfnd?a*iTowX?W{=9o}cPs7AO^R~~*6a@}onv$8N*Tu+E!q3^2`+N!>auPpo&_5e
zy)lf;T=ijHbk%WwzTc(+$@3MsPVjSN>#V=?;*p1l`9sc*v_)pw>zm#79n&!_TgKe&
z&Ym^ZFglK@P-dQl=jz`l71|1(ggs11PPCfMD}4P%@W;2`;&)6E*?8&Dr?<gRY+Y};
zv1bdPYH8NJudDdqb$RiOMN3yyXf(AR_%zvU70bU>KliWh=jgd~U^mD11tvXR&JK)B
zn~r!Z$u{N1TvFVB#<A?SQb=vtSMjaa{gyB0E3jE&6eRIPLHq2w#9IkxW-^2?zRh`|
z@v=j`xLv^Ry>jt-P33xLilbsnOph_&ThV@~?_JH*g)IgxCvKizcBf|6hkZ`^3+GMX
zG3~Z=seh50ziLj?SCL~!+&EWUTz_Q!s%-@ohmv^elAT3t*B0!m;dt<OCD%IP&3Y26
z7VJ@d7X7q|iPJXQ*i7wyo4LoMwjY~|d-rYWnNss6=B}5TSILJ$*8MBb&YiLE%QHcj
zWu5QWMJ_%4z;AXfr*katqLuoKbndx#?0hBl@a+}V55eO5SVA*iY;bSp=jvpU-sGBm
zENhcS{=}3;J;DK@=kCvS6ybd~G5)WOz@PLVuikjuN^RjxDYKbqF1qUFttZK+^%Rfp
zUq2`KtnB`h^S@(!V=k>IS-3PO(7*rpvc%k1Azy4`7xg~rdl%0Bc!E=tK;C!7cNX3<
zD+2c`8#J3QvX0Y#`BwGpyZZBWcQ0qp(VpXdy}m<{w>z-uO?BF|9c{rPwI^<uJ~?gZ
zKI#7fft{PZe>2Y6xBcqG;5FBudrhm_mi6J)_EJ%`BaO#)8O(2$PHRibQ}H%F%~kVA
zbN5A`2ae&je?t~#NtpEHR44Iq-FLZKs@!m7v#UYP^vJ-ze76(v+WaQAcdp*}G`}@{
z|2NAn9^-13%8wx~$%m%7-RH`)aZ&qw>3^cf?IiJCPd|OSVJ)X2<$q|GMd2e|_Yz;$
zhLq6XFKoV_iR0N?tp4SP#rgm?4-T7)%i?9W&Uu-+YR|cA`%k@#m*P!QKYPvT##4z8
zMta|tuF>c1(wo{D!qM>O$$|;tO^41Y9KUiu=9l73b))N3uV=K+_>mVTy{YGj|3^M+
zq2p_LH}j`oth~+p<w&=F;K~OUA2vT*uwX~1hTi6irkI}_4xRMZo~|IcY^Cca%bA;&
zD{b_<8j!UsPU^Wei+@pR&pHP^(LbBFf0mkba_`bihY)McV@_5NV(&Eln$>c+cjjz|
z^}O;E*WQ@LC-XnzwQnJ7|C0=c_0I44PGlv$*)8|u--Q$f8Os;H{+<?IZ?96;5q$j9
z)cS2HQzxC-UhKDr@zTmw*HbeBU)Y=E=bZVlX?yId+k01R-aUQq3-|ZVu8+3&#eBAL
zZP=aGdFJ}7?dKOB&iYilB7Spjn#IfNh-d%*&Cf55zO!r2d8hCG`+_43-p(pXV6Q*=
z;i>JX=<Rd5E9YJFUvkRC{PzQgU2C~|XD2sWY`S~F?v!En!c}p<r|PefzFKmsw11__
zoWqAsslNQaf;(P*?{1#QIVJDi4o&3MKl$}<azp6r1up_7%wX-0)`?Z9@8^lQaQji#
zn{U#t!reZaZ@AQWl!|sF*v1}fENO2nJ(XvhQT<HNQsMZ`kXfg51KhL3e1&#BnX6>|
zQkwDE%MBgMM@k=6-QoDY|J~oYv-{oogd0~#SBC1_a;;amJ>|AetpEAaJeQcC*X7+N
z8JJI;#%#XQ<89{KJ(nMGp0i6(xt+e|Y~gB+WhaBzY?!>T^v;fD%TulIEpq;6Rk!Be
z97P5RPJ`JUkEWgNzdc>C{Fzbw{IHy_l2i0&_ibJ|uln!0XOHH`-%n_q^l<G2@Ahen
z`xtsS7Nr%<circ8lhOEnPp8=Zono=?zvgg#Uh1-V{>giD53@X;SKxPMg3{~%*?)NK
zSJ#}oW?-{0DqD)PV`JQj{;hLs?84qePLGikD%_K@^s$zP!z|U@8r$7|doOLdwK!TM
z>+O@D)0E1iuB)k=3Eukuf9~F#lUov)nNLX-UbucG_@c1!?BalRtfk4b|NZ!!Z|nW&
zSC#Dfgk6#~#i!Qrc<5~LDz+3jeBWMu50iFZC^J(JXGoG_%dYz~<NcH_U6{AIit|!j
zm&nBV53{q4C*L((u;t~)sPdO@-_4wPl>J}#-t`>mW$K>EyN>Ff`&kyae!Kt9g%bt+
zEgTomm7BFJl`BW~>?)rS)&~BW=I6fM&%Bp+aBe<l@h0n=iz7`+md!Mu)g*X7rl29}
zh4&KUX8ZkL3=b_n6SdI$9doIbd}onJos+pj=nIA?E!W$bP88U)tt>4uzCUO3%$)}#
zo99}zIh=4Xz1sGCicD~=fBxb-Uk#7y{*{+Ce|K<!Kid(R6Sr#^+v+keR-9(Ks}sNK
z@|>O59!hD)na;lCwSK2fd&rDelW!EU*d`dU-_*Z2Lw1(Iw?*f|T$W8{oFH0Mu;|mt
zX>v1vAN(U1s3W_HEv<IZ`8uzHgw*-|pK1#AsuTVtY+J*fsW-3D_qJsA<#XYE%6!Kw
z*j9LK`Lsp8{txe!yEo!=+DnRdA6g^Mw{w!CNA5-5HI|-YUylhl-I``;79S^7%NFAN
zR{6rEWI2m7lG|(hJUU)y8c7`gdqV8e0#o_<TPDXUw=HSSi1!G7Rw@4Laz<BUP1}+S
zAC_;}Hv5d5-ojgQD$oC&(%N`fLH2LZTJ4WJ8@_KV;jH#K?*5>A!G~Agd;MR?oA8R4
zg`QT}$r`vZukDBMs+_f&*3J9kHvc-7RKdFW)7|fx`QF>yLgenYtl=qr`fK^FIA+To
zPv$bOJjic#__h3v!_&&z-s%>)9_?Rr_3;X=UmUxZJ>iSHopNpMI)w{UBI|E$+~b(^
z&fNXp0Y*3BaI<%ZzS~*33dH7i1Qu^wS7O4eqT|{WoT_bn;lUKvH$m-*O*39)Y`%6_
z-m=|Oi~sU;u~~ry*Osy@|7LN+=Is<+o6qMLT)lQY>Ca=P)VMk8cy;Gle!d*@c-8lc
zgovkdmAo!58<RY589!g~Vn_46FOTaV9axvCcQeM&d*73ldcw|MKXqQN^3O>A6*Q+%
z<Z10APqXKuC%pDP+s<2-7Zq3&`OrC1BU4l_e*ZJ4G&5yMky$&ngsqZp7k_K&2u+&r
zad*1MR^DUkH{X@7tavK3`bPPG*Q@3MnG?m%>EGlyw0CjxhP5*G1<5M92bEc(cdQP7
zclY<v|Bt)VZM7#WDm1eHxOVG;sfFMN;r$u1JPrabcE2D0Y+W<)_f@|Yuap%97|lv$
z8`*h+?k=`8Kbdg#eEi{P+03+i))|v}dbZ{6ufMR)^^SY-hx`A}CwT`g?%ek8%wD01
z@w+$!rvwz&-Pd^`WOw++i;o@c+^wf-lfQqw-MJ&JJpRG{lO76NF7~k;a&VrvBK?EU
zykNb9iRJ7unz6egET%u*ZZOx7C)V4`i)Z<ayVgPR?W=vBa&RkEUhHfRD_GH-X1wgW
z(t*d}lNaj7w?El^VEL8c3hnc@XF?CB@mwr7THibM**?R_yV*`{|C-efOv=taeR}S-
zvOgztem!RRTdV2hZN9~K+oJUW*@_2_vHbIvE39EYvaR4uQvRd1ySnc!%l=&uSG8JI
z{Mz4fmE7hq-sqlZ3ClC87{2!CeB8fq(`VOs&jr%f7oV2Tzmiyda$@K^um1~XUTCUc
zXSb;Sp4VA5mx8~YU;3`|$9{6ptz=zv>~_<-Cz+jl(j8-DOr9$U33L~z#BAF)E6`}u
zinztcZn11;U#g#V`r$MAxac4LZ|0o&&amU1*Mqc^4b8Kp9xivkyTUQFY<aWf(!}In
z@?|_5t8Z<tTqf&cD|?goLka)ni#BqW1=qZkw(poSN&P{&%!FNcI(V{8H!az}H{)!w
z`MZS+m#wT^vP0X)c=-(Jl<l8t9XG6<CnG6g?liOOcW?iK$20u1w-|)P-i}R`dcc_4
z`&rniTk2_n=jNOR=9B#o9IDs4!?)O9Ahsc|{`Ljo6M{?jY_bmg=aMmTs_seK^6v*k
zm=5wbepnl`^=_W*p9R~LJseL(uX<rP=Y&R%)c!s7WtaTh3wKVL{^~J%#bkBKe{UKV
znr@dg^p;hgzR!P8_l}+19Dd>&I%{qziY|0H6#1lLX6CF}=BHkm1myqF+cv?jB*yjk
z(i<uP(d!j&FAILJ+Ht?6_RgYO(WLvq-Zko9N(6#<ixlql)=K?X2oOnL&%45C(|P%W
z={-xAHQy`WwMTA#W$%Iq=DRASP57@>KXQKf<za4Cr0sq`@2&3~Psz@@!!gw%hr8ib
z^vYvZag%nM>8pwd*sLkufAQs#ygPhn1ud6<l)Kx^z@0qpPTGc?*fT%tbosTv{(5ob
z&Fz{?9rk}@-cFe@{e#PlU;lL0@b$7KI2x<7-c+!8b@}$+@*6jr_8T46US<BJM*D@n
z#%+`9)f^s=OBbZx$l#p*+cwQ=ulb=@B7a|0?BC{j&P*kDW>Tf*)cZaYMRIC3-u_`E
z)}}K-ea;=XjIAeTewtXgz{Op6)votKCQl-6%-r~Fqe990A4#ib{Hl7@vm`Oa|MdE2
zeD_v->Iwb+OLRvg?`<=suiuP5y!6RCzxO^%oVL&Ds@<FKnPz;t=~S#2|Jp-neMCaG
zFuQ2em$(D79Jb|6o$8=be(ccP+%V1AEz#$bwcK<b%=VlYWj;$@^`DXP>#b`KxXu00
zAUu6<!i_wu?1__>_i?(X7@cZ9)NwNEhqaBoqNwiPgKc`gD|_tzOn7C+nB_0C@m%6G
z|C4vO6!J(-yl_Y5TlLDI>CB1WlkSBdWKF%oX0x1S-Vw8v6Dq%+j-A!1sJ$W3&#6;f
zG%xp#?3CH;`z^UmD)q#T7TtaId(%edllm{tZhc;Qds?>fP4}j+8$!!}$eNiLTwT#G
zRN=C5$}IM&J$aWtXRU7Q+iDQCtevHy=3aWrrSg|sR!x{S>05*F-FZT<tB$q?9}+Lj
z{PA^PuIYOF?B|!(mM+ulc;Io`)BG6Qt;zaL>l1I3Y!Kb^w)fzVk5K}SJ>M<{{!`d*
z%4L^Xa4*kWbDdYifqp|}x6(hE{ZexLwt@Lh9jQeu8*86_-fp&a)sD@tr)NA<x^yH=
z*lmW(u|Llx_D(lX{jm0w8E3>kANFLq6IC~Ib2ICiOAZOl-6L_XMCa}mi$BdS6<;oC
z&R74`cBdyPqM>tn{RBT|l?Q4H$IE|)<_j}Be-AEm@Oqo^((t!qaQ+UJe8mfqvt;fc
z$*-^uO5J@*Pj#K(`$HQa>Q{N^c-Aa`ee>JnmNLT_c7@|pQx`Uyugf<rm0(I%;!5m)
z-?L>(!N+i~TbFIFW;@Okdb4nQz3g|bY4iOyUfzCjnL_^U{q}M(3Cu6QhrhY@`P4QJ
z^)-|J|FoGgO||!Ez_Zv%jO_*e4|dJf?`Tr`+!kQ3+@%o2vv?zeTgAiOi`wTrmDqX6
z>b%Xe^swo5GHv@VuN6ACJZJmN-G|<@$IcJ<!~f{w{?b`ip-Ja^?oKl((f8bKvAw%_
zYIq65)|>N8qz?qS_1?Z^mYP@odx~}MEa}SA9*!Q`nYkOZzA%37mvt4ZUN!A0({l?A
zn{V+`H`m!3O-$Y@`Z3n+YR;pZszr~4B2t{^JPJ$NZOydR`+Vbj5uW$;Jh{9|d-ePT
zohF^iPOCesz~?z5X~jet$MBBBo(+o^Kffot)#uBHU7eR*B(~4|zfknA(e0^mwI(Na
zaVZDSciLvnb#JZ}`?jqf``j9zK3MDa;#rcy=LK6sw(suzXxQbD`M=e`<KelFi66cP
za<2LKJn3fXXMNVBeMdifxBT)uxma*se8I7ktp48S-fB+8DYJVD+Mb-ex#>gJrRCS=
zns3k-h^qZq^n0(leA6B_g+RXBVO(50MA$l`d!K(i#2wPm_;`mWD=W9I{=0ztDZFY%
z&!U)`Rcr&k+?iOn-?jAmPUW3f&Q^zB`Lu4iXYZq@zJ?!X9r6~^u)kft<&DqGRcj7B
z+}EZdl)UEJ?9`cc;*)0>Pq9_{uAjJ}Qr~*kKCwS<Uz=H#Dea7(xpG2-pZ2C%Q%<jn
zYhD<3!%E8PU*@kfC&ZQbb{<){wrtMohRZ*C??z~=i`*^Pw>OoWyV@qSUQ4ij@|{nW
ze`UAZ%xm9vg>CPSgu`+i%ms@zYf7#!|9IR%D0AYPTgD4hiiEen+4to2<j&b$ZPF5@
zxv?qRkI8(#xWn(0_o+!OId=**R@5&(nRMn!%X9ZK!{*Qr3$->b4|}y@r**=tEBq4o
zl;r2kTAemy%NpAT?*HfR%rU%fyF~5Smo4Gtg@r=7E<YE2nkS*UKWe9-c+b0I^IlF*
zS1nYO6ZoRoCwSbc&E(kL{Yq6j_cMQdv5H&t`TOPSIxC;>T?xOhwVo_^$0vE&J7PnJ
zT+0IqZZG8oEkVOQI<MsJpVFB-Gk%`$j`hz)wkH{^jQN!I>xQlK#g7-FwGPT}_SyEE
z<-?*oVoXLt_tV|qtz0MH_4?}8sGnZq?<#*^{3pVHwCVA}bN;{0zlu9czs@S%SY^J|
zUuVnaZSD*Ach5Yrt>F32$?Ip9ifGTtj{POv#BIMaN9^2AHz${q5qDgdz42n69ywj-
zilJ8Tw8NUq{s^QAM!Q~~tL*pgWPo62%df!ruBQvGT=njfs9)+Cc4Xd;AHiasJ`!i{
zw90y&OZ~7(@&C7Hx1Vzyc(Ybzt6ND_O2bXN-8P5RCOh6uDE~2oU(eyxMWf}Do0vkH
z9Ce<3mfvS~BlX~}u8V0(rD+mhe%H+t;NWFu2|1oAko={r>o50DE$#YHiP;MMop+A}
z_dng;!%`BNou2)LNo?<{)}341L)G^CpSbu*?B!V%lLu0N7a0bc-I`mRruK?`dGN_a
z<x-38s6>7FS8uwf;?_;80K-tGT>^qH6bnE0Pv-B?e9DtmQ)Lusl6>$6-?|S?pH_tL
zJj~&LHgfMprm0$7W^)D4ibSoRxq6=4j%SQBby+VQ^6T>TU<_lG$To_4cc?$O*=YLJ
zpXoh$PmiBD>u+WGz{Y}arssFl<u*$k?u)bSJuPIvy@YT1hj^ycwf`#WEe=-1g+H9y
z&+Khoc!l$@W93_+FWVZT&D~h`amZPkZFs$5YR?XaR`u0NQhd2PpBxVgzjl!S_D`XL
z%#A$%VqBUu-f^?kDR3<~<6iKspV9vMIZvfD?;P31bxTVHXI!^>_9*GJ(uM{eS<dA0
z^o?HpqDyCM?7C>YQz$Xabn2AM71|S1Jtf1cd_?!zT;KdN<L9Iqjj~mI9{<;{W_bKe
zF_hP;ebdRhxOPhM?3vYy$J*U<HP0G)FI1m3$z-L)x>;Moq>Tb?f6k8HdF`|Ls$2Gl
z*b<h#=Xzp(xni^6|IFOO`zrh$(+!Q*-^}Q^SpC0b;?-@9dmm2wr!SIxx0Ca3+`kt2
zbrYx0uoIVSdu;Y-TBD|tOi^k47gL+kHpdl7rLzj+nOByVtckuC+oQm->ZJIZ=qDea
zXO?OFslBgXBNH_xVavha5#b;9igD*^w}<}yx^>#VS+9k4CO>=H?U}c<@aFS-)#dsV
z&-|-n`jj~5hzxtd|J61+PopMHvYo!4C$FO8kx|~wtbP3^TRyqjIYuwJE3PorWa^yM
zIeY<s13&i7Jy_h?DI+Dj|3b%;uss<erKbh{%AVV?>)yP54bDphUYpF>Xc1a-P-)8q
zo*y&SG*{N!{ct+HdGDGZX%Q2b{$bGGT*oGxJOApO@EVudYKz`z@$BuYF^rqOfp@~s
zl#a{4R-5n4?2?UJHf!gvoK9~;fm0G}+4)B%&#`r^s`uFbKy<^x$xEMF1q(H@T<ASq
zeN`)X+3DZP#tW{*O^&hPl~i7~aaa3`ZTEwA{5bvnR<E$wJ=3VgFE`pP$onDlzH#=h
zXs_Kf-X4*TvV2kUqi|}kPd?u)!*o@H9ouZgR>)ruJe{sr+EV>PL@!_XP22nj!Gg~3
znnJh#xe<PTM^r_~+`bjB>%{N7Ja5<fXKZjx?NXZ6mWux~L@&I29ABMQCu45+>FG+o
zXGwo9^v-sfFe&5XsbfhuBSj-$_O9DA-Hx^AO=qXH*i5GU&OJ*?xlU}^Z?Q|J_|9|x
zFX>wiY~IJOi8~~|cICt6vzqi(b{{#Xd;Ny`#pP3c)%M73oc2M%cw491K`}$_Q*%%2
z7P$Oeog4HdUAENA#<gtyd((p*NwSd#nR1pk2QKkzWOZOxeZ6VA<-u<YW+nNmY*<k|
z-Mb?H>Zx)Dj~VN(SjKEw@u1j5n)Rr~iYZqQguMF6mFkmwQnBxbdB%VH1DDq<XRTUt
z#_o%co8}@e`NluY8{IPRczmDn=KJTCZ`~ae?p@m-@rB8}Fxhk#_isxlaobm>T+^89
z{xDb7hnwv8GGsnlZ8h(yYvqaGlFwW@s@o@Paf__~{m*6RnT6lyTSQB-2pzW#){l1W
z-L+r;#(QRayH6FHPqJFK2v7Ackchk_yKU8>DQm-b%)7mxjfI!>7~5eBvu`WAin<r<
znUnT%8c+78pTY5OiZthz7ESn6%m4nNWrFQ5v7>>pE2}58p8W3kgHc{+kKE+6<ZpW&
z1k?813|L<6ZneMqpTtQu8_w0Cdky$sz4`T6cc;g_J)YOCr_G%p)@?7Wn18A;U)Wvx
zykxWM>mTo4r>yaLvM59%M7b;Z|5dAncW!+<@oKlq%{BAvw!}*5oh*D;<ILT?#LArE
zdQCU`ZqMp<V)>J1g)`jKKD>WThu(ALM@a@NJ-y}PcqTC)l-j2_CGFq>y-Qmgn@&l7
zZQgm~&TSKG9rrm#b1L@!sh*|1O#M&h5&qIRiNtc_!W{>r=k`wD^r!EI==<dwTQ+Py
zDqFx_z-IT1(f{6y3H#^He)Mmu`o!Bu92l7u0x!D=9sl7~)EWO$!*<JR>j>j76Xndl
ziIz`h{@T-ZMtt|BY0tf;O?3G<tz53!^_8;Cs<bZWT?&#DcUxX8c`fH-(cM{bt-$xP
z-Pto&D!fa6Zcp%;wtPW(gt@@npZyQNnVX%u*gY+ttJBu{K&sKAuOXE!EdqM~R^|!S
za2n|fs9Jw!`>f6-bi(t;vX^RFFGaRpo2$+oTFy4dK#hCGzAeXn6ArkojD6+E)F5j=
z$9q*4!-OrTtu}t#JvAyiIrB|?&0NzniA}Rhs}G*^bG`BQ&}|7}J+F#+weHG2yYJ6B
zah-qCK2h5vu2B~9$Bw$+xwhiD*-o+N4tg1@Hmb95DE+parT9?m?488($~tv=3ajeR
zJ(6mf`QpmYi!*iIJbfdLIf@(0J)f^}Wsq=qzW=!8#8S2Np33}6rtjAl^>Z~O?g{3#
z`Lk7f@ekt&**Kw>X>QkiKGnS|G&D+aKiqq~_K*R;z=pK2!~gbt|Er>%@acyKtNo0Q
zwMQlgDS8{u*|x!e+0b-l#_wrcrRSggB;tKk_<qq1(?{N}eI}9j6vYiK#lEiX<6mLc
zf9q)rhw$GS%a6v+zWBHLbP8jLlI?PVxgVSqI*N|&_~N|ff0<=^SVlxL<8_($dnL{6
zre6*ElCHbcRZ*h(L*`rKPb{yG{#Um8y`kpx*{At$R`47<kTFj-$}Lp>x*_lW?C&=+
zRw;Q(J7(QX{wz9MX70n_x$mC7<o-P?<;3cDjae4?jE{8YO-?SV-li$|@vQrmn=xDV
zeg3brsr7TwmwEA~j29im=RHWhFsU=}SM}=W=3dK>8@`^p^Qb=0re(tCq8?0m!Ye2c
zRC`NIVoB3&%YY9#tE{}Lee-=jl`Q6QICkht*qQmCC;xf4LRe?kR;$E^656$gX3RVM
zpX1GHg|o)9m6a3zu|x!3E%14`M=M;}>1}4@oL9$<OE%v5)!oj#`H1xEQys4^PuO|3
z*>z#Q`6`Dgt6A^qq-7f)yuv%tfBGZW?FAlXd!ufpp5HJrXR%qXZkX!<<C$k?`(>W~
zVsxf^vie(wB|G^<R+z18d6W`Xc&I5*{I*)=W_hVl0quyKxo77-Uu7Ycl&BGR$Zaok
z(5gq5R;?;^Ho9rIaxZh8jI+Fwi%|D*>CF1C&b(7uwj{2*J~MUpth6Pay<rv_2TJGv
z4Ze`^=1ue+!B2K4xfTWN4BmgZzUT^9*!0{v1;-Veg!Z%hrag_hyGc^RyvIKOSB<=1
zG%r8XM6MS}>n1LAyp${?J9l}?q%-Y(mhJ+leHQ-7exAVZu~b64rRrtPLq>kT)k|y4
zf_|O3Vr3kBP_KYB_v<$a3$?AsZrpi3>&G5_!=zkw`=EKBBc&>(*UC<F4Ye_z{r=Co
zv@4-Gf8u@?ikAh;8sCUHp&O^`&1tqH>~K)puXR)8S1)6g`CrZ{&dcz8zCujn>xJ>*
zPo{Y6x_i>1F?F5f;?)y!9=;7*&)8CNvqbXc!q0h)3l|v)#c6l?`o@;tzj(ooD`H`U
zqJ&Du-`0uz-x80C>X<FNk!ODF{H^0f5!YATVR)TT&DXgh?+nK(-Q_2H=l<eNt3PGM
zVD6sPsC{<D{K<L8LTx>4tBf`#uj8|H(s%XHRJp+%WO$g*_HOdFm1l(93f)o<z5d4Z
zWM|8_yBB738l-tX5VJcir@_9{dh-0ux(iM}__yp{o7l!USvI3}Q+@qD+iz-^_hMJ>
zk?^harK7@j>o&D?>As0-{caR8>yNhGhF{+vH!cysB|T5<r|u1dF8_OX1*UAi_A2th
z)ivvd9j`xl)UN#QS;B{wi*J5kH#)|k)X2ouzt<=9&iTnVdR|-XpKwnxJ-Ff|zi{!S
zMRV>SlH&O8k*oYIz^P(hVnxE#d*-VSsLy=z@B7|d2jiO#A}Ow~pNOd+N{*}dZu%_9
z&7gDQi_~hJ&JvaKf1l;1T|7MR{nrEUgzxjI-`1EnhfyV?{brpso68af=eb9!Yk9Y7
zWZaq&DYi;YY_sK?908}P?5n4q*Pb5YDE#aB;o8#9%)=^&@;)rs&S~^SE~MV4v+vEn
zmB-)PYI79jwn^ODw4e9tAMFWW98_7oyEKKSe~_)#=+)jM7GQtn)Zz<rJ9n^re{g8h
zsr&C6FRZtpKX2yO_SfI8wQbeEb@aj7D`h(0QqO$(ZGItPN$S?4XO<tmd&~6->t|l;
z8=sgfGELIk_kG)Yf-_HpY3Iw5Jf`|Dyt9RL=Gk=hoGlWwolt-7HRqT6Q*3`)#XQTr
z;{ES~rP+j2@1|V)#=MT@#^f7oqDqf;E?vCL-ehU$&5(xqb5hrD>rwvHP@mknx~S6j
zcX6YLkinFxCUc%nX|{iJ>Blk^_3F0?pEge4dF#Wu6OPfxYgTL7o>ZK+VO4RN&;Mm%
z=eFG6ac6P<=EE8?Ty+PHk4!NVoX=SI|EMk767D*cd)se*nc<=qD<OO@z<I^H{RV!%
zo?p!TIo|qipSn%x>|*)c)Tn;VbB}K3+>k0iZntz#f5&RMOTYDY+xNfyHd&zcQ-;lb
zr>;(|q>5Yh*FI=pT3)94`sb;0D_%Wi*S|Dl;g1{B_?HU*wYjdiw8f&(?Dy7`7z5SR
zl)l-9*VYsZG=JZ=pCKtmYT98V?R`=YQ{GtFXXdh+$6lUS{iotg%ej3wwr+NL7}RCC
z>F2L=(}PXs2^w-N`g~GVYMEtWn#PX3ZXD+gesFD_7V%kByJW52%#(M8xu-AY3V+jc
zh~MQH+hSdAwm?4Xd67q7<?}t1|98ss?v$h*A$s?UFXo7~I@xH?`mE$*^33d5P09X-
zeOk50+atr>zZz%HJ+g9Haed?4#{mm3rhZ)4wN$2e$EI^K&TfJ0)o)$Q_F4RL&;5rl
zFRR9v+Wc|;q-EW|vu1Zg<fMvAcW+yLxUK)R;_J4HoCQz2Yd1x{KP9m?_1*=3@gjpe
z*M;K`c`o^0`16@kVbGsltgK?Z3RwqrlO}owC9KUj!Rz@)mrHF^RO<7nM{*CgIX?0T
zKmP80@DCBO+}UqV^51we{o~0sLX|ms4mzBZ?|0m0Xo>8zthM9Un<Z5u6X}<ceSJgN
zviD_QEgdS_{<&=4_<s`5w8iVpjh7t!=a^RX<M5-OFKsVwD9qHDP}|USeQNZ=;|1SV
zIqtPd*n3*B`egh$mF!G`?i}XO(+3Xie9I+~HRIs3^fv84oAPh_pEu6smQiNWUiJ1=
zBB#mzkHQ9~oj#903OL7W=gTaWyt(p#&;#ym^B1zUoX(aj`<~_IlR4GR&VE+a{Yf9$
z=S<Q5_t@cD^rH!~O_zEXtvq|;<EC#r({+<`6H9M)h?sajy)=2b-<q0BuQ`rhnC1B5
zU;SUJ$INU0Ryf_)eZOtO>=ntIct5;M*s;^AH}v(zKjr6zQ}$?xcO25wV-940^mO$a
z<%Ww!b6LC3YnGk;woppU>Qm9{dd-h^KR*6Z*yH!|UAU0ihiS9lIMwKv=e%Bhqd|7c
z<khP;{g`V1x9!)wRce7@YWWd!ET&CO{_GT>c-Z!hi)+n<yst8seS>=v_C;A5U;Os<
zj8Dazo0&Bx(*0hpu6}Q_FSN6i#ICZ|Vwal3x$csP?xE<Hd`sn+LZ1b#D?aNRYQNgs
zTl|}pZquI~cb<oy-kvt|vE9vIU;OsHj0p|iC~9N2%_zDf<KUsW{n}qI&CJP|D>wUE
zmBd!*wZUP<D=Gq)s%>sE4?JOXy<G8Nf?gHZwY8Jqox1+;_{Q^b=3eK7lqT5dANhB{
zjkU*gefk;=H=#?%KmL9sSn<5J>&dU~jd#^AM(|AA^58osqk&dRUyke>@9lSEa%{|3
zi9Ru?;9S`xrJmXJ+hk(%i|XYq4(mTE9{u}JW7WmSyPtmcKW-SjOj0NQ@TN}(SsqRB
zHI1(+y{_9gt1e<PkFw^K=Tj#chC9j65Lr@E;dJGv<C(a3hqI#C<F1)jUI~}gv9y$u
zIi6X5rdrPKtpIb~bWhO`(}H~}O5bKo-R^z4=h4ixg0F_p_Rf}V2o1}Zf4<0dwuPXQ
zc>L8XEINn3zKeZuV&c0uDWXfPPI+&5bY;5uzq7{ChC*LepS(I9EY0C^>TULVzUI!P
z>o2^efA5YjTv;-4kEPSpc{8RqHFb7>;!)n1Z}9e_(@ObyD*`(1mgg5nPf9bEf8h0N
z`s{7B4b1tnyN{gwCHKN-VpuE7P3PM;)o$yqzQZ=rEl5~VqkFsLR>ROcS&Mt@{6hO3
z%0%4b6Ej<naO}3P3lHEGJm?~kdQiZ0!%Ok+NBz!zI=uJa8r|84^6%<?NL?w{T3P(}
zrNADpo~FaAobQAQ+|KdjpI5@<C3b4r`qz@PGGe2|wn@1dxt<7ARNFJbsaBYG-+fuT
z80V>LHIH83zQFxiHK)wM=k_z*{hZgF`?SuUzdG-^%`9U_2Np9^*}g=Fd)ribHgBIN
z^iwWl&BNHQ@!p~=rGI^7U%0v`PCCrDuYaYYYm@S-37l@)52Oz}d_H(SMrv{b|D7#y
z6Xz@vt2ntz>3Xsdx3@C$)RbrO>(7O@a4le)?7vqc>D%88XBJmUO_{gRvWzj@y2n`H
z_*{D~OQyOHwF#C}*i}w?hubCk?Ms?e=JS1b*>wRQh0PmSKWy-ulUK`W;xuE=*Qr0)
zj}#<1{OoToy*7DK@V_ndw*JrZdKIm<_;w&ikp6AqzhZ7lY;m(#W?Y@UKu15iBxj4{
z{`E(%P1-7LBfarQFhh@D@5z&5?oz!)!n3D_>#9vV`1Y7)bb9dG+21qDn&&pNFRhZc
zJ+&`?)mojEJFo3ra%6GmwQo+D!at{PoxJVbad!3J`OnzRd1fvOW)c4AyW%FFlby^u
z2|?vEOS*ZB7HaBUm|w1Ap>Xx&v9_v>uWe<Fwbsc$-n(FD!IGa<bGoA*c^H4l+x6gs
zZrb9`r5#>^eH`yqZ8qEz(|zwGwbOpZ@7hyp8A>1L^!b#}*&Johd^pK`&eUg*9PD@W
z?f-vvxs_V_#BY}m_T0Pr!2D=IHb>^^CxYq$=?{v!GyZp_y7l|~ixHi%vUd*auluP#
zb}#UJzEisISw&c#P0@+P3|F`0FKapNThniQZt{n~T$khOH~PaD-Ps(e^1fR6-T73N
z^t&%omndH}5$ZjA`r5N2!n;!0%5saOrmF>BTDEhU%$;h*$&Wei7MJHt=>D@rF4o&n
zVbVMcZ~fJbcOJ4Otl)PK=sA+GC88(kSPH}1muDM)<-hsa^ERu6Yue1yvp$tSs()JP
zX1liUk)m4$XPqW<H1lCmi&;F+uT9^yuv5{ur@-&YyyrDL-*ojwzn6Gi)1B1!;<|Bv
z!W^#KehuqZUa)v}dw<;H$PU&NU8D8ljm;r4-F$|7u6)p&A+_^d(dw%F>95yzPxsC+
ztMB6}D0`oB^pf~7RmE-X3#-?&`NwC5y_MNDnOX2x<n;r$Ypm7(2ZS$>3E-b};I3Zx
zsbJ~EzT+jDo0o`5az7}r`yB8l?u)gBXY8LfY>E2$(_A;~b743Y?_JJyC%)+L&otiD
zQimPKPTo(GUjLV|{Luw>{_tbhyu}4~YpLlvH*(xk=ngu4&0<BQ@599g%lqG$x!&7g
z`}Ia=s?pkq<+~#MZJLsLKkxYS;haf|>$Z!Mn_H(eta|lE`a!O=t)PAFtGopYt9??+
z%av00IwUQbr7@wo>({@6-K;S|akU#G{u^j3O=l>bbz6jeZeZ?ujdzc3RjzsH=Cop$
zxWn?`Mxl4|b2x&(FP<E8UH^IiKPTk_`mJB@@0z@P=CvQQmi?(--;*Elpz|x&xAKIR
zC(J7opYrc7OyWOpZqZSaC-NZHZ|83B!_#LvcZP=u>4!YOk~Uv5R9)??Li_znyN&Mz
z%TxZZeI~tXTVkTw>S^aByQbE;9NX3Px9w4D^wwF&bk(Gv&;QZ+AzWyK{i@ENdlx#L
zRoE%Dnd8>+W6n#B4m}T1%MzXXDEiR!s7K-J(w&yi?|93_{CCg%heb^%*7)C=G=Jf9
zNAaU-GgnOYO<Cn8l-5^vdTHOwqu$4QH@%UNP4_+9vPCfA(z*5xY-{D8EtfmAEG5QA
zlj+aj!|uFZy}f$vU7z<{4vUl9?y&A$o%wQ=#L)NKuZ2uMcC_VKXr`WPqfFj$Y5A`+
zdcPkoWI3t+#6-O4zm(1suQS?Rax>kYF5I$VQQdtVe(^oKt(nWU3M~6-RUa*tomba)
z-)Zij8F5WtELs)RHpI>IE=j+AuE9j@=S|Tw_m8F|sC=K7ExSaCMLbH&{^sVHobDgr
z#p_J6U}Kv)K~iIq>K3)2mBE|bn5;_6Cx`I7yHsZ~?eMDny^k-Sn;iL8#dy8)y6x9Q
z*PVFp)NI(Uu2fs_RLw+Y#hOY^QSS6(IqwY8uD(+;aBI8mz_9<&(#kj$(?gpy-bOr$
zx#F2?qkqrZTzB>F={lD8l_PU1E$!Q9A1upmwtxRsT=Q<*?tOnm=FeGnZTaeRvl*`1
z%P!rUDek^O$>mh5!;?oB_8C1WGik6mW#!5^`KZO+C)GzU@qS-Cr>b+2{L~4F^`HGx
zwkIE(vHxPv0z<>K527R7izc(4sJ_$rich@OOa57WWP$hR<KN#N@Zq`jZ`%f*l!jIV
zrAdz@B3s4ZiB4Sja@x_KmCi05xrU`JkIPTQ-}KA4-e75PBB?2S{b8SiBE3_q4Y#cF
zaE|=>BDeF>ytOhryBL-Be~-$FYjIx~I>}x`&-2k2CxHoU=cN6%UQ%7+IFV2Ji1qZ;
zPT$~|{qu6#TH}oFM0*Lit!w<kDj#u#>7RYiCx(|__dPKEy*AKHM)I-Bf;k7vKd(5n
z`@Kype@nlAM8wyE=S><j&dystk7=RN@u}5|O)h>t@cfuUncK1Hmz56Bb1bn74Xt^S
zW7oCWEOGhc(~4ymnpbT)$7^43{<!ku;BDt-=jn)?bG;bpE}6)1XUm5}y*m?kIJjqp
zXoycSxLF<=HhEUX-qv#;x+kbF=iU_&yYSw|Z>jec>W^?F_8t9__Uq09fx_IGRzFWa
zc|YaGi}$L>V{gx@-)qGcTKCPWD^w{Xk+*v7zWg2Qrq(hD{y4P0X7-P#>l5Q5-r9sZ
z-g<oE(Zz3qvkop-*t^>;&+GLnmFG8~zS7zw?tl4p`==;JgRl0>etS>;R&yaDd)~WL
z!zGovGN()SD~EdROek`?`+l;V>&`!stsLK0F#FEgptd|~vXs#0$>C`(Wk+fcD|+rJ
z+;QP9w?)@Z#w5NE8#Db)PRp2Yx3qCuu=$RPux-=Tx}qgd-!I%KEw2Ay@xrg}_wF6>
z6}rIAB9X6Ds64OcdTOe{rJg+VaMz}H9Ovr=UP#W`*rvjJ`<+{TnMj`Z$JPIgI|X;>
zB!p(J$UffgDW13E@P!K}#rA&P;PhwG4KC694ZmkZ_wD3yxaIfuOmO{|seUZyykB_K
z{0Uw0YqR+iqYZ_L1`hw0CdqN{JFKCns=9Dd2XoP>)+XO$O6l+Gj{E;>`5T@VvwYQ8
z)ol~@YnL(<>+Ii^cqljX#<rp$-G9Ed_cH>wdbZ5><okHnWLo9I7FY46(peIV6(VYG
ze#&~7Ap0-a_bX@ri;#2Aj$C-XV5jn%Ru%WTl3#VbR?GOlefj^-O}59^cMJcO_IlXo
z{`QV;*KrkbHT{W-*{Qwh;We)LH!W7DU+X!yUulu;rufF*h1b7$bZmQ}@49B!uVAL4
zZ|Wxm0>10q^GbeleNNWNwom2<7w0xGsPD7+^?FY4wR6kgzdKwku|vH%^%-Zz#$tsH
ztZzRaR8D#Rt(IY{%*Lz@f_$8-yOOK~56<}`BW7Cml<k(qgSyYR-rT8-`SSneBd5*}
z-52gu2y%UG_I7t!bYu7TM+rf44m}TUW*)E0JMwhSBukdqu;<NsbBizW-O#GqDA4<M
zMg4-Roa_6iR8_rt`A<92WZFFTaFqqw1*g?L!k^0izLFXGjDc<4wN(!#IHd&ht-@bg
z&H5v;`&PhDHQfm>mR#rc-=ryjK}|i>e<5=g<NKMP$_|~Fdu`77r9bB1I=cL-;^uQ7
zcAH8II29Z@DrxQRFZ?fUzVzP{XD+S^NO^UoHGe|nhUFITZwtpCINlrf=lE6j;F#jA
ziCf<OcGcVZaQZeykIbt}JN7LJDP!XoshIAZw9AhrWa8b6{u-x5E`9L+GPm@F?}y(z
zWrUX)K6VJU*ga$K@3Xo0YsEy&lm5PZRJBSp-r%;J@YR*!FZ=DDTsUtb#*jbj<2#c*
z&u`5xe<1v)v7qUuW5Bsh4&_VUtXNxA^}PF$W80;-mpM!eR`R|(m%Y}c?yyl@_9|Uw
zfqm^hOl>Ob6pk%=Y<<1dSV?%B@iEuF_^qA){w|kUUOMBof1%@_R7v|ox5Cz*Z(AQZ
zv)$j7`<m_tj}zj``d-Wnzj3KN-@GSRKIHojC1tluN87{I_8PEBx0))drVHxM;@Peo
z|IY9GZP8UiT5lc)9DjE*b>salCS@Y0Q(a~~UjD{Vb$h0&yY!be=_>oYT!Id;i@v`q
zda><=(i`jFW{J1nPs(GOwEn*S)~9<mzF>8E?sN8%$XCCn_M87={Z92YTOHI6mPi)(
zBg$QQ@uYI)#V)7am)WacTvu;$H)^cj{p`>8ONDD#*~_?N3_0Wq6ASfT37xQs3EyJf
zn)%`!$9CrDoylM9ueB!_n@MSjhnFRFOt@z9M6J|GC;C(@t62KIFpavnr)4%y??okI
zW=?&$=TxHeCS|9mv+`JDjouoRER)!HzfUK0%a)!$t%A3&TlG1t+VTC*y)_B}I(x2N
zQtzx3xb|j$#;+w?67$!+*53Mi)@DJ5$6Y%ba=LF>FOyrX^f-6jpNZRl-rVwA`2S*8
z-y@>iKPNAUchT#gSmq*8$*22a-@Tg;EU$Rjf9-lC(*8!!L_e;6v7yJhbILQ1->yx#
zlsnbU=%S{Q-J&Iu=NNk`WZp|K=;nO-d@jRX?8)uVZw@gmQerUZQ#ceGxy*V=c8l<g
zz`{)7xD_sSD)Vzab4>F+&QFVXnbh$3$lXbo96f~VIG25wJuxG2=h~OT_Y)FkTt8a1
zP9}<B;icIPp}hH%7I1p~_BrKP*2Qs5Yf|{7h2AF~zsabzG0I)#*KcYp8-G2b?&izb
z3pK?PrCZ+oQ3$<K%{F!QhWNU5D>Xm+o|*KFE4J(R?4<W|42*Wl9CN9uSu{cZ#P8gN
zOZL3fTe#(Rqor8S?T)SoGd`9bx&7Mm*ZR{@`JHS^ydSQvOJ>_D^wRg@r>CdcY8`r5
z;?H~SIGw!aNRRAC$GpXPKIPWg?X2^jPuZrs%GLGctGxQ7?xE#@m&2F$yym}T?$UTE
zVR4wL8XJRzna|oQJGs-8w3Pp!<v$R0R_DN~=53iXCQGl{Z5@92T>88RXN<+S%h_#x
z`B%K4ywUM$%7as{9+o|d5z7*fUwbm(>5iGEb1z>0ah;9h5o_mL;p@RdCsUIvq?L+P
zZXbB|!()!Wna`(s_Zw^07woD@W14+%#hw35B0L8lEBRdU%T_sXIVw~=f+6M0f|S<F
zJoE1#|Flz}rntP3bz$b@;`Is6^ZIVh%jlhzdRTw|E#ArN)t6`V++Fvp?zsFS;iOOA
zIZVmN?r2WbZwY0vluLNET8nGm$>;2q!8@BI<Ugk#V{y`$uJOG0tcCMAF(Fg^3G9Ln
zeNO*x3jFc=_h3bMi;?Q_lW&_APO!eWP?GUP+{@2D4lh_e|7on|&DAcIZ+AV?=Za9=
zFY$-hU2{dN_6(-ir@4ZZ%7q`V*Pk4tVQ*r{Ge!CK#B=-?`&<5Uetar0S%}^DL%^g7
zWh}>+M~6)keffjo&+7BF$^Vk}2|jqxkho~`Z1!%a%)`lBjO3ncN<7@hd1_ix`o@%B
zdX7sY#Z`rsSucEjE3jxMxBDYEL*E5)O~EB*Ug5JFvdh?-#ShN3`2JOcu`QjY`GZ}=
zE2(3Js{fM}EcagCUid}(hjn+oHLt3jW35We)}qOEoypejnW-sGKUS~1YA<)y*rQ85
zI-bY2ccY1*Tz2SWHua>18SzWcZZdBx6Z^E#oV|a_eXb7&W=1_b`zd*|gh;@}<{#C!
zyX4QDejJnZ-8548Y)fdvky9dDH#*L&`nUMW$^VOZo7XsA=s&@_eNB+^Q*W7i@1v*u
z9)3P}<wEAGOB1?kIDc|RJreT1cIWyBHit%rg<txMb<Vy>UdysnVdKpy;a5&7Pmc<D
z<$H?fkK;G4lOi=-&L8KTE0%KFcXHm=FKgnT9*Qy)6fY>AGTY?gGX?JlUzHbIY@PhV
z|A)4W?vhIyD%wex{neK^`j#$MS4&9ny8S$dZQX&o1N-+Ho|q}n&3@mLZ;GXS_*SR(
zMDaV!4z@ksZI3?WRp(rOljf6s;;P8wy6YkjwJlY}W(RCjb6hGW#~Smwbhfh6k$DcQ
zT>m}R`!*-`x%?H!_~v6(LRr-(L#8f16tVi2_WF6Vrj~qLwm0o_npPLXp?Tl8$o$^U
z?*3|9IiLPMox(5dD}(=~h8z^#UM7+Il}SCM^8G<I;l{%L$UjNjj2=V>RNcPPx|Hd(
z*Mj@!Wb?0OUi*2Du{dtS+sdSLKkI4E&I@X{1>f53e{=3R{>vHDUdwN+Y};~uPn#d>
z`A-MM*gLs%*GH@g4mov`DJ8(_vBHGLFO#BT_8hQ~@D4Lrb2Hg7!uiP#?S%`vy63vs
zu9?h`Q@rm``0Kh={4*WCKl+@IHA_=#Wq9Qe*4>wc743A^_%_S04|}qnYqG-5Ez4sa
zbUtpG7S412T3o@lW$P5$8V|IVcyB!|xPRsDK;PLZr}r<&vVO?!|JzTkII~Xr-KJZo
z-fm!N64iFv6tMg3q0RGlE;#Yp{%xhh3sc=*vkTk4Fa;Ye&|28mnOLQ1JiSAFvxDEK
z`!50oPChgFb)G{=_>ubmy4qEfSl6uouW*ysMr3+JVo(0Uig{6wu^mQXY-wtc`-34=
zCidxj`yJZLP2^VmSG?J}A%Wv&+sc5}f{uc6vm47}q|P*ba4P7iF`IA7@UdFFZl{mX
zwkyv){`^#arl)v!@ja3AygBjjA~^G6SbVuJ&98noZL!$z$j$t(%|1+-9ja_C@3U>m
z1O8pFZce(QQRS}@dB8jW-~ZL~Cp^e2=Zr1!mu}cnZ?IHf(JM**eD~gIx9VQKtJ_?a
z8fa^G*eHkhzs0Q6{?DxqYA!r8JXlrz=77Q(w)@+^?BSMEmh)xU6f7N*-Tj+iRO{l^
zZ?<bppEXyz3+o=-eP^{(@pF}iyfcOQ7S%nAnHU!Y^WM_?TDdIbQCHu4wVX1Ai;njM
zrW&1Oe<?CG-7?~4-|4*L5_MW$e}h+*)`wa3bMCroa@y9-{e;7rv|B}o#D7|~`9z(v
zICfmxzM{!K^J#y~T*eMb8)>P%%q@Rgsy^2po0#1q`}f4OmpXw7w?fPIy)NB)bCZhW
zG^@p4eGJzd(gSNxI4d-``YPBh+xXyE<m^__(>YIGmw!~{XYdfY^~^5c=lRu(XY#j+
z&j_hqxXxSq`_pXoQl+WO*Dn#YJis0}tCIKpi`8E*|2Z^SHA^n-P)U$(c~;utPrUC}
zu4D`0ox}GyY-Zb@%MM!$n2Tlj=E<CVUL9yPPjo9&`kBPU`;IGaMrTx4W^elBb*<>y
z|8nIre<9NeLJext+TQm&s=ubQ91f0(y<5es(US1KNcd!-%<|?;r9-oua>FyZ{WvnO
zt}9$Epg67du~M)}f%#4k7tXZcExs-XPrSUWyZ)@J$qsH2&GoP2?e_oO#JDLe&?3jd
zVctj4nSVAP&TTxu;OD!U3TJP7NvyYtlKV2H|GxVUXP?dw>*7A9td(({;jR3>Yv=tq
zmlNW(a&=rspZ>UV&+SY1-rU=BP6gg54gC9M$tro(#?H=DZ$s;3&2|L`db8Q6|9o_k
zVMX-95b^yN%NMyENZqp4eI3)u4IctJx82d4cDVg2e~x#FWqY-l!%oqLpNyLpo|VaW
zD)J9V)vAn3jyD&Unxh^rugn<pbAs`~=t*_<{JhNaYNZM+kGP$Tb>&L?Zl#~x{$zUT
zl4r*Rmgc!??Y#dw?8l=g^Oxn$Ufpf%q^tKZ+eM3Urt=QYf6aGg@6TcLESS=pdp}k0
z1@|74<m~#V&GC=_&YWrTFHBEWZo=(5nfvZM*m7HUcYt#y^PBBUetqI-Fr4UnGj2t?
z$b&6b8e(4r|NSZJ$Z!!#G;VvadRIWf3?19dCH%9ByN!N(1sp$Ux+UrJvTG9S7>j;z
zmTi)$b$qX9+b8SrO~dJl(#C$zX-7XC*3ti=clkl_zRsqnDy9~v*b=knI&e%n>LgH=
zb}n|+FTp&Q4<G-u2F6LQ-Bec5ug9|LTxV-keNA6GSB;a%`U8!+N%eQnbEf+q@YvOQ
zx+UfB6XraQ-T<9?{Z8ASHH}I!Ie%{Tc|FSbFMEl*-))2W5#70!dY?NrHu<Z`{f{x=
zbGdrZG3@U16X$0BXj>`SSoe5A;_1xC538h>Dx^LRFw>~HZgbo1)U%0OmbCVZmKpk;
zzMrAb%@eYTqgpwJul&-|y-V4c<~-Y(ood+>7VY~<caQxmxg_Il-2M}?t`?^GOeo*9
zc=fC0ug-@|&{Ljl!jQ<6Qz?1X_v`)78b7YSRS~$?@J3+Y^FpTU>2nmP&evF3F_km(
zMLJJFQEj%~jn#Z<8FD#7N!F~lb*i^%CkTrEw-@~qeT=8I<PJ}}*+$)jTT(kbZ`-)U
z=yv$OaQ(CD;LBw@->K_a8Rh>Jk1$^l(ju+$UjOUM3ca@b8>}s)->|2tt(dW8)%h<H
zUv?MF3wiwNe%j>X%L3n5W_zBp4AQz?u<@Fbc){O1^Y@)|KQyk4in&nCyhKCO=mztI
zN85CLQ$7Dg?A8?DXKnfafFPejNcQiflebTZv$d%9Y|UG!yGF3($x*R$QXi5d_O4}O
z<H>W>Vtuz`dr{lUMShPreRt%SQkS*9_Et0Zox*O}3sZXbuaEsF5`M0rAy`*?x%V^g
z=dunBb46<QX6!w*>rrLrt|!~e1186on0J(@m)0lVdVKPYOlgh}!|CG5TU8gyh>80e
zt-AS<!EuhOUi}J2j@%Vz)`~td+xy{lXZM1z+m|-~aArFZGIv^@(SiLM0<S);+FH8B
z^JLM7PrTm>R!X_{w*I|*vg7&5@3|%E-)wWecWr-=qfv95|M=BYCKprnt@3n|eEeJz
zl~ObqeLqWVyC8lnUCV6g*C#siftJ}-(_CFI9tp8CxxVnJ!1FlU`F&HB!_M<pCYL_l
zee+3M%~83JYEzo3cD#*Pbzl>78yl-9&sqsJo&V+UN+)_c$0o>dv#OeUz4ZE*#hztx
z?%ij(76y;sT3J^=KE8G}>te7#UG>t~8x_v)x8|%q`_1M4>h-~!J3s&X!!3WTeiujK
z{`hn$HU2_|Be4PNbrkPTG<p43z3SkbbxyUik5kPaEm*hmRpgp}hn|+Wt=8NQsz(B5
z{`=*s()oVM-M`5!q5MmZ9x7C8Ivb=vXRk|A*qP1DeAm~q2c;I79oJaUm$^W@CsSy-
zTz=16=~?|gp4nxsG561BeccuRYn$8qlQ$Wi`+qRIIA<C$@~rWGvCB_}yEW0;>#Fy&
zty|=tJ^kEhxT?`?!eq^>SGK1tIn>N@hc$Ek{M~By3nLai^@_H>RoJ#EGGNvG;)<+Q
zM!R=Z{+-eORi5jMxYXR;9Y!iHGH$mM?{ROcRNgsVGlqBm?Det#_D0X2&G>}x($1t8
z-I*<uozh(*9b@Z#UQJnh-7WOSA?e7f?VqD3pO<JClM8vO7rP=Y-Pukx)b#U|V6*&d
zg(<hzg&pYn<yY{#BriE(gT{&H>&^xwtiSM{!-=V3`a$+u3ztW4idV~(oqenGKt))h
z=Hzjv&@h38BD#6EmQU~&)-<cMpS|+gSJ#*yj;ohF;}UiJ9BXXddc2%r!?|LWce`Yp
zEw>)t(bd25<Cj10Q<iS^;#lq#v@3Cs4#z=Rzl`L{EjBUhcbjZF$?V%OWs0QgjQ7^h
z<;0EiE7oV-)W0DZ*g60Dr@G^Ax6(Wo>+JG7`DB*+_m*pVXG7I@Uj5Z)?DeL0(nN(r
zn%;|!MlElQdw8Vxd#2l#@JpAst`7h7d`_mR>B2`soMjIj7V+%=KV8YU>T2QNj=#Hk
z&c)`=F17q%Qm-VxD`KJBQ`dhVS1o3{-rhZ3$?Cu9HQQ_aPhRNlRJ~B=;eGcw@23kA
zRoQQABKc}2_vvqcD0Rg$_Mx5D@wsUU*+m*EdI4|eS1zdZe!BQG!&bX9*-K=8DW|@l
zu>9|B+nm|?Z-Z~%QS*_@RC(#I#Mi(7E|2xEYp*&yKd?R0dwl-u%{7r5B__M2mwBHq
z5!nAhI8d<sYsmkNS(RxEzdg{oAbfSv(Q{L?JULl}4y2X2pH3G`+Wlt2te(cD7EB%M
ziev>3?a6&!mNaMTGJ#7A3sue?Jar`XMdC#hwQaVn72RBNZi_rkPG7#wDYtBrTW?RG
zhWnxL6E{yEKEYcusaZX$QqE%2iXS{v9;7c|X|ed^l<?)`)6|E`zR$B)uGnz+lG*lG
z>!fTle2fBDy(_eG*8b=8W#8eI4v$_dubC)vP%Gl6Re*f4q1{i%zdw#h2ALn2xt8Ob
z=DKx1G~@qGIk<Vc>HOdMw-xo|Km1jAI9EU5?2hTjZA3eG9Svfq&zHUR)9s4?kLfq>
zwlB5`b^j1AH0iQImyCb)=@*RoS&W{`ZqHq%B;UFuyRGcrJ`dMEHsN0zw<mG3{(p2K
z&c5h~<n}w#g3g@>n>`*_SFbKR9eVa`x%uR8f_Vpo_q14C=2`po#*>bW3kh{9X-ce*
z*8j1w+qKXp{?hFCLeu_gg;*{+sn#oa_ks1Btv0;->JMl1PWk)o!9St*{41*3O<iYQ
ztrbsdC_m?9{w3_h@?W3otc|KB`7NIIHSV=pxH#ka=}yztgUb@F+tj$<b+%pNT{~%-
zq(Mcydf(lte<TyUukmE~t86-7+@H7ZkMzrs$5thCwk?Z&xboiP1vj$~onc@P+vdje
zaM9t$^!FxKy2f$s?QvTBOy+Z>ujc$(s&~@PMJO^Lb?GImmirbr4@|XA>$`WUdhU+h
zQbNtKDk^(Tz8k&YEg?EjW2U|tL-oc9i`N~QUfgT>T~BPbM3~efc?O{ru1x#Kok{{a
zF;mXpaXsO-+_y_NVvWIy_%n-Kx|&Zc?Kf(7@N9axF0I5{vnTb4z#sj>K1E}jSU+(F
z5#wa>?M}82#iMOsxi83JcYpC`F5{24FQ<eYG%vm7<UH$z-0{3kJGi^@zAbyr*8Sz~
zY>u<-Ji4X}7@~96JrO<czHj9{^M5aPR$t}u`rRei5ioP#ww}9@uXapaC{q15>)Z<_
zxi7cx-7x+CBfit&pXK8~&fUE3c|Y@K&*^5`o@MEquzACaLkIL1D<|40GPHQAE<5z=
z`3n6LGpF5M8MRPxLVAz)$_)9RpKdlCEiMT>naFhUH2?8q+8)-cZtP-Fd%t%6@j3OW
zLLP_SS}&M&`PYUeQ`|yko{=pznf<$0_-==L`$iGZ982z1oB#L9H^08N(0sL+UURkw
zuXz97=4!@^`44TTD^yzagsdpsGB@w{zpZ<GYDM(kl)5hno@x^6YATmhd-#6+N{tnF
z?mYe16HwC_S9t8;jYlotru>=nV~3MXy!<`k&{>x5_x+Ru?2rGIndsf|NPgc(+o$&!
zCVZRoEb{#$hYx|jJ^p;ZY#Y?)o3UV5rE1Xfsinmn-<p}vmo7|kJ@YO^U3B%K=V#h9
zPl!e3MaDmH^%J(5nZ9jpb>wtiy^T_5W*)mK@T4s@_ag6xjVx>*UE2aeCbXyCIVAAl
z%$g&oYM5+mi+5NQZmznm`)|$W{mV{ky;-97Ho9}O>$?dj3u|sR#dIr*20c0Te{1!9
zh5K^fR2I$L6Em;$&XYHZv5VR!xJO^~&E2qBx%AxxANh;Mo0c@szFF}ojqmJt4QbA^
z%d^b4Caq>W<r$x-m0)pb&lS-?wU=|tZF+MKysz8xM|4(joU%!R*+RaE(}e;$eFZEB
zrf%xaUVQOoO3&l6H>y_a(hLe;<;t+m`W$=O_rSY@pEQ;Q<)|z%)tqEf>VGP+&aSs9
zao?ogcC|&Hn3nC=J#kOr`|+xn^AW1ih8xs-wz~2xdi%b!@6wT(7F^3C4@Sr{UR(59
za8i=cmpey4UP@cV@v1$s<CIXw^;5-XTKmLAw+F9#RNfxgZlAMRL@q*D>EvDKo|JO!
ziAw`T{1YN?l{sY|68w_I|D#)Y%}P$G=b!I(eqY%6XO3+o^90HG9eUQ)FWBzfv)!^&
zdgT@~=HjZ$o1R!`)s?S1@o#39<@Bv*d<5c+9<`b<O8&U`V&)3AU;FR3@S1HtZ<}<2
z#m?bw<gUqun%<`t-%=HIF>Em173pY^l$daR)h0&$FWP$;uDzRCY`S^ka*e{kHP$!Y
ztKMxB4qVW-@#ggV>yKM)j9fRRoMmd|v5zS&4>$k#-|Vqie5%;#hx2D~-p#l<scfr~
z=bkX$uw%S;l0WjvKj5`jYuECdntiJ|Y1I<d&ho`ij&Ytc_ue;UCeOX%eX}k~80=ep
zKDSTsNmc#KvrPtdzm8nK=ean>)y(?r`$=aNp9^Upb#u)Q`u#<1Qjx1?>rXA;PE&io
z80QrEfCkRPk9+J>HpcbMKWF~0oH;JcWJkg>-M5`KAMP)imU1}Yk%dA1#Fu|p>|XBY
zsI}W}^)-*u%S#2{<~2F)-kn!@d*ZvqXx*Z(Jkn+Q_fA&cOJ<pR{G{9M53|0^$jz7~
zJ@>Xm>to+j7hfI`{rUDf^W`ATYOe=cYZZC~cQQY*^bgtAcb-%4o16VY`}b+nw{jFo
zT<7_jruOP-?yb*W>;1n?xIOJd#{yGX*7nD56PE?l^{}m9sLXJs!iWE|?&bSyr~ld5
zBcRr@@Pb#1&1B8sOUw;H^1K(lx4rHzKI1>NEMoii%daG#CP^uU+`4!+Nu-r^lA3#M
z`S!LuITliNTTawi^gEnp{mYRS)L~ZeWuL?eTf^Q34EO4U)qc&*p5_s`L2yxZ^UfyU
zUM-=gHx5`b<_k}(kgqGb^kvo|i%uhxudR-0SGt76W4Lyt_qZ;rD8K73#vV28b$Q;(
zgU8J^qE1fuu=c;>H{A(lRaZ_JF0Q`hz{I>z<!P$j+jQm2Nqgc-r~eiEZZ+qNqEhur
z(QQ{U7A#uJcui^B#Ei$w9m^QP>Ni|<-X!XHIjKf$LdeS-a{DWNb!<$0O%7~6Ss=u%
zdZf^Jzv?;XB0cY4_gL&tYzfnv&vIZ#ZBLHIj;Tu<o&LD5xn|qNT&63v{P*O;6Ggo4
zRq@=~^DpmAZ;ML8k(PBg1tg!VX|b(3e0noWcD$jpw%zGoP1dI-GImKDB&MgY5qq@l
z{hCWgeDh}IMlk&13~S8zf9Lv}o~Ns`85Uf>&1G=!#m5pxlP!Kt9}gXQ`?*~~n(w;R
z*Xy44T|WD7TYW6rX{*`s=|bXJ&V5>zhP!gzZok>PYR^f%h;JKokIi{dP{G9S_hr$I
z$mIt2w#u+hdGUFV(iY3MqdXH=noLqjs9*JK-%3B_ESUq3rMmCDdG;&h?}4v|tJ`*p
zgdSm8=DkwpbbbD+y3KhZll>RwzdE8Mc<)DLxCi@r$A%O2pDNSVu_(_gYX}NBy}9q>
zZQhui6Q&kBnK~+R4!7GI_Iz>Kp?&%0Y2J?~w4x24_1^Zqomgp|)gSrvNmpCZ_Vbwu
zj)9d@PbY0iGOo-B;BlI1vf%Vh&l_L=9ZhU64Oh3Wib*Q!`e0!{LC3wt@+&KYk?-CG
zZa;E%+>@Uf@t3zIUH&e=t!2XZnL=9cpC&4^?fjS;$h~bV-?Xr*<z1mw5kZR?Z=HCv
z;8yQJj?K$IAN7w{dGq6Kec-J1NA^me&}#qs>E0sF!gcG)SGE*xsQdV>l`mD{d2#nH
zS=Y%z(Zx3&xjXPA&0Q!ylf$9leDt+nMQX=*8{;0<?_JHPm8@RQ^+CbQJ~rNW`SoRs
z4)klwcUmmeo}Bj3@3`l~S5I0W#?HO6_E+`;ql52L7CrD-<8)vShtHa$r&6Z3|DJNo
zBiZ6!9W%$LtY_=*7X&@4Z3!w~n?7MJV<CHfS<6Mm-ILz!jlQ^d(Y`I}DUPcW%a1l5
z%grg<b#=kb7kT?HJiVFi9l*8ii6Ce68jbSH+r5_gN`4VPU>&eo>uLLA1D^}$ub#9w
z_@bN>!Et`!>~l=J3y+j_?0D|;@!}_y|MNY9SDv{1!DfQ13H#@#XZ8QQ5kLR!eqJk=
ziMaob$}P9o+6b&)@cNHxh3+52-u$`GHGVB?GCK3~+5Li7Z<p-3s6T;4@7y_)ke`g5
zGMl+>`@JnIdD{HH`+M&44X!8GgxCLd>-1+gJ*078wIJ?aj~oB$$@eGCN!Y+}<G{O{
zxr(o5)rvnmV3=+4>4T(XRPW2xa+_9EMNClr9MSMmVY`+6GI7?=Z33+;m^VyL>OH`<
z_h>w0>s{W794Q+g-|(KYRB@-xY_0A-Z{@uwPgqOdelG6PtMXm(+BKFq-*ubhXYS-P
z%Za*g@AD;N%Ja*=PfX6{JE>DY@A&@<mE7|eEe@Fzdn+g7fU2d@tIxIDQd6#9;}2Rh
z`If+=LbjVVm-^$m=WJW-ch=oDGx%PTr_&SNBK9rkCYIhi^<Zhbm@dy!kM$Z2$`4!Q
zWA2n@UwpuH?&|BVnV)3e-(vq8u=&GTzF+0ftRM5=n5n))(pv4A^|vPf>-y6LC#SHr
z*Ez}TWWR1$aK`aqs@%hEi7mTUU6?<$B<36QsiPMivZoj|Uw7t=7udV#$|bE3)}VXq
z+<s1N*I>MqwQ#bKsoRQW^RjOmT%7EE_{Z)z)!8dvsmLD*6t3IiR_xBeQu<hw#o=gu
zq|p37mMkHLf{jOC7i*bC{>kdI-BfPBYU>Y=OgH^(=U_P&xz)EVdd@MKui#cNRs8fS
z@r(eE@`?SfZ#F&1xOsT1+FFBzYc*M3T<d}?BwysTRK-l2`l;PwV$z)FPl~L!e#)xY
z>RoIUSJ0rEwc^QoY34(HTA5ScZp&HrvnfIGq~{0sdEc%?_cH(LS!B21ql~PMHrI_b
zW=B5jcQyM2J63zK?A*+JrZ9fzGVhhQUj@Clbl-5~U~v2%GefSW9v|j-NHU+bc9FQc
zDSqvuCx>P{*8STUT)pn~i*L=G%8n2CS6{pNG)3L;`zJe{7YC>5|K6w&J7HC5`<1Yd
zQ{K#Axe(4HaQ@h%uN9BOH}3hvW4}FV%9+_hA!~jw<lS20e}J7y_rh`O_KtuPvp!{M
z9}t=T)W26a+r+nLuHE_WhFSj-Wu#cny=V&S)>2f{-zd>0#?jE*d{+AUg(fbcW|z|k
z7q}`MzI^tP_3OPAj~`6x?zP$EySZp<m}u@?MLk&yle7mWtL5DbE?cwmO#8qx=Rw-J
ztyPO=+lt>j-8<83`-g)zeV<=%jJ$tDk|EQ5RxLA=%k7R&J<UA7T4c(<c%3}^^pvHj
z(NCSQ#nG&?MJ=%(PA!-lx}mP@)x+{tZ2!5sD{k0dP^x+2uw}}Gx<xrc3sT(XTOPQT
zT7GTequOQ}PT@zJC)usxnr*|qqx`WUi%}pKtJsp$Ckn1>&8W89&L_Ow#`;4=+tT``
z;D@45?}hz+lXpJrT}ehN@8nNgesIhPSN@)N;P|IK=WHX|8@O(;Svp=f5UhPE$Zff^
zeZle^;Y)cZxR>@S{5Y_=@8`UgHyqj?bTQ81|Kqn$YtL4RKibQ3ws7R`wEv{rWnX)8
z&UMjQnktP6x-+V*Sf#b^7u}w|d;-tWqpG{w_>MHXyy>XBeCGON@y446+b!pahg_bv
ztv!7~_uJDvFV1Qz?P6dMJmIze@}JyAtX7v;u1gyqyKG%swWBS?;TmI5Qs2KB@A|)l
zoULEjV-T&txw-YM=cZ5J?j_2XuJp<g^HrC<SD;(};>xAd8UlWz=Wnjnw~IReO!s%&
z+>!@^>|zg&YQE{;yWOVc@!3Uz(*sMZ{640x?ATb(^XvJH&<W=pvTvQ77V=O3RDQ(;
z??7=mrK)z_oj)5_7G9`X!oKrcK&|BJh5jEUGnXD+epPE@?+g>Gx0AhDzl3McozDLx
zy?xWMcenkT9Am8l*_#bk9JSle^i=LfQul;@HlDBx8uvdJ2OOLtVlVXhTuF3<Zcfm`
zqZ>Yn|MdOf9bey}J%!(~r*g-W<f048YowPfVlcRnH#7K~{-zVADk00JyLOqsd&HnT
z<)u!xt#b9r2$SARPYUHG?}%Ify}jVv>FELo@Auu^e3x1KfUsT5<n4_cPi=H;O$gOn
z8BmtHG1#=F+-9nBMD4#h|0Y-IT-|D+dt{Qr(x4!f2~RUD|B5nPIUzUW*(5EEXNNR}
zKR8Qv2{<f!aAQWw(~Z_ns(bC%B~Rl|_3YR+P0hc0<DZnzB?sre+nzYrXfw~;FO$M9
zZ*Q~u?r}?V!`;K>8{0X)$CbAxcuM*=Z}^h3R-jm+JN9G=<IGi?Vs~xwT6uBRYllmh
zw!FQpwDe|=zj^<X>8&EXpF8fP$nQJTAhD<UMe*6LQ%(7H=hw%y2hEPtkze_#WBOh5
z8LvZLf093L<k-zUTihc0$j`T&8iCF$du?`B#mp>S{qA`e+v1n{Oj=&%&d!h0K25vO
zptoOjU&r;!uYNQeIsZJ;QT;f@tUFual<AM`fTg!Ccz^PrK3%C%><`<U17{z;JN?Jz
zv4fHgcb-jdUe$BU_%9!nIX5OL%$m9+Z^F6T&jnb`-rW*@aQo_~s0pH6`(w=)&g55)
zw&Pp#LeyT}_wj@_!)Z4a=UPlINOqC?cg4|(&tQ$_YMoghR=S7;ud2W9Sgvj(<SB4-
z4h#3hhGm~3ZeKXPbdi$SQFqM(n{T@=nBO=Zzp?J==fxLa$@3l5nwF`uWZpdSPfLup
zq<c@Y)!ZaIXUh9yWjFU+WfA6=HJW%`E1C0-b$qArHlF_Hjwf>U%Nwghul=9#{PB*g
z?`|(`r5aZs%H7a#$NY>@ew3Br$_qbNv-8xo#VOA@$Xqy6U~RJPndJ}Tx`m~eo!$M3
zF_CYvQUu#}r3BY+`(u;#ib?-Eu+F7&=dFv|xhGkCWY~DGf1jsnv~)pK>pY*_%n$4M
zKXX<p9FOCC@95cMdw%nmhre5m`OEIbCIxuUx3crz{gwOAI+oe)7Ox_sFT8RWNmg4v
z=^aP#gRd(J%2<pTeyvgv-a5at`nhhuy^euK;|}Gy&)=$e{@{wOyyw!q=dVxgt?=#q
z4I3=(ufK0H@6t6v*Bf>^PAPkCPrAF`C(S&~{q9}n`4_^c8mKvDuMYXYDEaAPuUS!N
z148HX*BPB!T=Gh3PB+gg-n|)(n|2&Oa=o1Os_)OW)l=9U7S7tD<YniPyE^7HgXx!t
zK8LH?mWDs6i+qqY=kxW{eC_XZWiHIgkv@Ov>@zE8L&Y7lcEqp0q|m@FAK7>{K~dvP
z?M}g^QaNe|6c5~6|GUO_Bj3f9XAkY}nq#PPvT*T|u7FF*t}izpcosh|mP<QMvHj^0
zd!75KZF^pCeZK04&#Ti0CwR<TA2^pNRLy_Z8TbC{zsZ)CZn4ErvP=&p$sf&^tlpL7
z_@v!TeAA`t(W}y`XZ|%`CAz(Mi_9<a&C87*X#P3AXkphg)t!nQ`m>fc7j8^3ulkvO
zSz9Rl-j`Vwhcxak5-oo>Kj>Oi$MTnwi7(xj8hIsZZ58mU?E9<`>;FU4@f6FX>@2ps
z4?cFf#5dSnI;FdPmCTg4XVVVPkT3r+YmQn&EAQkDmph+ZNQqB8q8q1lb;-dHmu?%2
z^wo0~Uge&_Z;_w!bYYzTpEqJ9GX4t-jx-&6e*f5t!x7C<5zD@~*}PZge_+87W%*xb
z_b&;Va-KJ~!qd*?Z88)+#CJh^m+JeBtlOHOj0Gkg-Q}qCOC!RuIM`#)QlYhK^S-q{
z=l;!|sv~42+HfxV_}cY?8;**sth^O`jmgMsVf?kO#{vJgihVobJ4bnmk2C86Zi&7#
zk!NNoo||%RN1tk~>}1(}^@5p!O-el{=1UaB6z*|2>J=pr`aL3!vwS-59NmzhUr~#T
z_Mf{O`=sHfD&JF2{pB3)U0vmpixmAo#n0S*K(y40@tE(^;8WanNxHHpw6f2+B$}iL
zeE3l+k+>}_+nL3=^i3i6j;@tOn(Mc}S37X*l=fryo7VZsMhVx2@3{M4)GYZUka%zJ
z6q)>RmB)K_&d%KFu=BQb`~s=h9vmtzx$Y?r0V+#2$V+YTh{&FM{a_Eb`>V(#%jZVt
z+b=npZ)9pcKIxU~qU&F*vrgEXCOz4`UgPCM)2#WkH0HMj);6cwPH=zR=IiM{Q@<@_
z(ZL6;-0LRHh`I4R&-z2`uO+QPZ)5IeM66z?5S6#*;^n?C<&Vz)OPjJx-7fjYs?7nv
zdy;lMS#v*FHKeWOs!_I%6oW{G$K`kV6<$UD(iO#u{~sC)yn2;j;H>=8#6GC!K^bH1
zP5ZdWSG}MAo_#F#e<csc=fG!ITz~ht^{$(y-y<Nz@!x+p&#$-_zXH6U`CgKdJ;_?m
z=J-;!NAXHo55KqmAISwPp9P31c8hiyPn7csnz?b->)+2`9i5+6Dj7Q~?c}T~nO)iO
zPgb@*J|C^Yo$vDYt>$Ez-5ENEvL}3&tQYsP>9R|!ey~LPXsGIqs!t`YpEVEpaH<&v
z{M$LxPT+xxqR)i6rA^soC0kc!Ug&NT7rIo+#51QrO?<smz1`XqH#FB<SqHDz^y@w_
z@4wIt@iRM5^CZ?@KlxljZ++M6&vX0NAC&fCNIWvLUTSaHxyFObi>q$URondhhk?ZI
z($JuvpH|gw*<2xzE9QP-aflP^yY&?xWqMnd=kNdh&f&+EReaB1z1{Htzr5h>d9Su~
z@t)#o+b_K)Gp6LR(S!51_IqWQi4=aDzWRl->dDU1JZtZdE4OObh2Hqx?csapMTAgb
zOi}H!-6CQP(hg7d=luNUIo*)kD{-^JVgGku8#%=%s=NJXTbTF#Llz&yg6o&!HMP$#
zlUg-lH=m#3w>@@yjrR2|lwG#>%<`&c{eu@(ov%)sQMY-<?<ihTwvF?Jer`y~^R#CE
ze{-Emy!+(i`(|%-<~}{+%3;=o7e1PftVtW%1s0p;Jgyb$>xxO=(0wi8)-7|lO?B^b
z<v*+qbvnKLTWBSpM*ptu@;-u>B6!2^ef%R~llJ<;?85y|+@%|w=4(9od8Ndzzh+`%
z-TNITE0u5O-YJ~6^b5z+(q(VB%d0l~F^kQwyIprCZAES%U++1Eigi8V$9p&>)eM49
z^qeVME36Ww)7~%5{J#6ZZ}tDn<t?ZDk$YO6d9tLZ`FoM*+q5f8Q+{Z~OqYFiZrRg|
zykD~gW@Q^a+PQe|wbY8nxNLLAt?OzZuMP=*;4*jPMBn<;r|0WtPX73#TCV!er#Fk2
zw&j+~Tr)D*RU1%bzQWC9-RD1&`=@j)V0^-IcTL3+52pE%6C}9ou38E0?a&W?I>kfi
zbV>YKk!X%Kx88Ey8#BDm9$s~r)%0C<*_=Zf6?-?7i;AvTWPRQ3VDL=23=PvWAFhAA
zE8D;Rj^yfJC;w?jojCYIv*ODClDm5+)<tTnz6#&8lWXe&X?`WO_Q2PCSr$j6<P8nH
zHD8*%FA;pWi%I?Nl(gIzntF+SHeqhB?>f~vzu%+NdwiqnlAG6_ZS-_`_R*v;J3~KZ
zllU6Rr?Vq=%`VJdBq3=oa;&jk<+9N=`)!PG{tN$e*OA?O=!$)9!p$9um)q}cYP>6^
zT6VwaoMle;o=WA9AAT}uo!_`^^2y0p`I{|lN=08Oe+hN*EByAvBmLFJW9v$So=!Q*
zE;jkvs{1pZIhiOiE={kFU*E9sWR1c1CsC{Khn#yBc5XiF^SiH3tm91CG)>#+&$Icv
z7hPIV^docsjK#IGx&_;s*?RUeUWwW4sF~k6Q|IB*$+1pm3r+}?TJN^03VqiV{7~|#
zkobptD`VA<G}M{&u6ev9DS7&&CG*YOc9@xIvFrVB@u_XkDU@rQskM6k&VFCVON>%S
z8B3pOoHy@h^zwUPxO8>>Ze_oZOJ_Cw^-rp5cX_`&wueob&$~ipdj7o=PZMYO%=Yoz
zSyD8!UBZA_?ZdZ9d;RG)n@uYNIrC&<`7{&1TuHchYu?7SIoj!2_HkJUTYk55EZ!zK
z?}wLWfWoBvc{^83iY$2>Aocv(CzT~$HWDHH^2!HGK0Ei@7J7d#f8{+P|4v}Q$2msH
z6%~~NcOOnX#_Mxy@_gqDjK|aC@0an1glyfoO>39I48F%6R!YaEZ7jLO+9tFVvYVEt
zUFcCdl)1XB>hETaqzwfd&y)n_oGwg$Q@iF=^oB`g`5eb>*LZ!I*k1oxxlEGn=XE8`
z7wsks<Cp4)C7pbFK}Ubj`$f*PFaHiy<=dOjoIE*Vli95K3F76t7ard{k+W&5<O|(u
z8@K0=ey!eaEd42wxtx7zSI)mUy|zZ%u4NyZH}5=M*}Zr5<)T~X^Iy)(i`+7?@V)sy
z@wK~eUj5ZuF85q0cJ{W(jSgb9Qx@uk*Zokl;N<UL;NZ!>NZ$T!t4?=E{)=3N#C40e
z2BqoS>N-0-w(if(o0iGGC41Y<zwA33J|6oYzxpnh&ywy1`_)gxI&D5_GA(9(&;sMK
z8Qjxll|002&Kyil;|*z4m{Rynen<K5RX6f1w}-r*a%slD&bb*Y+qk)S4o2Kw|F0!x
z9urr<^Vt=5jWur^-5|7fZq>0RzgCEuZ<uGO&}})p{oEJXs%*v2S~qsHM$fC`SUG)D
zx%@(wpYE#}S{a!Rh18t3%+x=&IMZln4(p7?9pBtsy|ye!<9&Wd)2_T*ev$gcWjP1_
zr>>o5dhqK0ljm$NMd<V7cCS1&^=;$5WDl3UN4<h`mpx+tazr5b<@^T)y@5O>ji)A@
zvb?JIdxG#H2d6^UOB4I9$n&pkiV8jPRpt8`+k4#>_HXCBnqu-LFr<h{VQJA?&fMb+
z-Q4~6j#WrIf8Fr6g=dSZztQB<;7jgZ5o`sOT1MrpdnXpA1peFIdZ^cfxmR&Tz^t9V
zTmG=z%dHUPKVLI{W>LGZ2j|R$b(ig1*n?Xq#ZG&+@{V%aNd=?5vi`T-<@6L6oqxH|
z{Px@ps+xjH_3tgG{CsKX`XkqW@3){|Pa8Bgb6wlZCmhi-Ig@MGy?lkMb21E$=bCd~
z*SV?{mOa&J>TKB;kA+xRF3vf+{?=SEUWLA?3eOrh@i@vGHh<k7v8O3HZ%)j_Ggn`4
z$~R_GUc`0JP<-pG4|hCxY#SRSHJzki-HqR1zw+ZsohNQzrfrzS)8##Tr>ODgw5U&+
z@&;QgvY&XL=UBkJ`<Xx6Y&*j;_lY<8+fF(Lh(BJy`$D&SXYH0N0?Rc%)IH1JxYz6M
zw?!|6n>M^E-93eKQs$>$-KQjHySlbITsf?o@#~f>x2AABr}H{>liT<7yh4}osl599
zw=QQ>PfOv-?eVg&{=Z#g&2CU7xpl(>gUTOCMr9v=80{(NW_kO5MTL66q#1^M-<MR~
z>?ze)QhoTp&~>J_;SXHj>2YmRk^R!0Hh+$R>hGG}8)t50*=(wH{h+gLNm1xy?({eB
zBzAjGxzzW3mf97Yz(6CtpG-RpYkx0qJIiI?Kc)8e@z|@iQAfQmNwYH<?3@zkXS1lX
zb2?XzsleXd`)15#C}yzf36hAM{whd%-lOZXetcJM3tlp?;QOxDf91F7!H*7KA3AbB
zTdldR?$uTqS#@c@|1l2~c^?(VT|V`X<91Zmnu+o2p9pl%s%LY%C?Yg(BkNB2It{zD
zPv#P5_3YT2ubxZz6ZlG<-&K(__)JS^osdAFrZ%gzc<&Jl31R(P+YYWSSCeZq{L(LW
z!sAcL^>}Xou>D@4cE7j#re8a9<Mh|ah|S!~!e(FH^`TU9-w(%=dtUS~Z8u&#J1$tS
zId-}JgckPZJGXegF1hn(_2%{a*rOj9*T;WzK9RWV*F#Zrmfs&LWJ@!mjq@{{|84rH
z_}4tSZm~wvWwB*JQgOS}8CMv_-Hh1!nZw9gY-w!M%N-nR^z2rx3;9y_{OwlbvjKCv
z8M`wadt%i0m@oJ{{gB?;XBpoGLfyXVE$L`pBPP8pq$-;Aio4s%R}#~wOfz-%xcKhC
zwbnUL*&JQET!Oj#cW#qUpYm#IjP%Fpap#Rzu;w!tOn4y6{<i6&$Ba<jJ$yoI-J&{V
z9&clvyI9}IBe;CE>iRW_6U$=ng&)vdE^<9$yIB@5hksCDpk4gK&?xq~GpCz})w}KU
zc=w?r{Bq3U)L9M}EMG7Cx-I-i#zjxl|4&{O`rN#)tg2G}ZRM8Ioz|t#o+U8fIPrkH
z_s^+Ux?j5&I$l*5YZmDJy6kDv)3%Griu-1FOW2##nJ>51jahxYJg`T8!t9t0oxk@+
z-(k6ZywG}$L*At=-&UOB3=CW45Ru56ns381-|-gbwuaXcM{?q;R-a1SkQbf&f7*gs
zUa?jFZ)aWDGhqS$qySOZ<j^dY==q6`MuL{VFW$MFbcyxC`ac<eW^A-HD*qqx`>3bQ
z`CnbfPi^{lz_-{ys@A8wWW(|$Z+5X~&e^f;n#j9Tj1dnP+@Emgev^)vw~EKnJ(FiO
zzY)2glu-I%Uxddqg>Hw+oi!7r+TO4I8ThzZDoB^-%BO`jelJdKny_Hj^qxtsXAJM9
z9Jy=0qH6Z`hKaL1^#ryuwy(L@;ikE4mhX+j8{Ow}YTMon(lBRz8mI4gPV`e$x~j3@
zgT3x=duDFtY`NJfxL$AF@)~uE6Yss}vE=`K_$Br9Tb()m|3A#ldU{5QM<=i>Utu*v
z{a3D+JXb!imkhtbovQj&&h_fQGDW*j=1BtCAI|L*myXKGwDr57TRI~sU)k+^+4S4_
zx6bVsaLb#z@7|v&QE6M||2pnw#&l#|eLByoz=VUg$1mjY-HHyly_Km%FX=(Xf!Z=F
z7VC635#2+7{+7vo<CQYk+$MS^x+?nSr>*avwRhgssXw(^C8B3ljnm>1&xD;tC9db^
z|MGR$sC)W6{Y(Jk#T$1f2|Gz-aFrSOAKm-F{6BYtUq{_a(bTSq3d!6X^A-#InZCMd
z=Q4KF5G$>Q-izO}zLo?vh1JDn%~&1KDk91$Wv?}FE|=Yr${4lz##R-N?=Jg!sV{3=
zP?%cE@&^yU$Q}^sUYyXE_*$&{)Urc~TDHf|S~vZC5x}MEF<Uf1I4=46M*WO$FN(XC
zT3_f(p1Enq2LI2euN}WO;mz8L){pTWwp+6fUE^_^`fS-Nz8lqL1@Y(CukqPGXJXUU
z@}38lm70^)JgpW+sD0n4n7{6s>*8--$Jlq=bE_)cGEwqfa>1r+A{jr`tFNxi{j10F
zuv<vv`YuV`via@YVmz}|H$T%={IK2iLZ7&J_TgQVLl!+_7dmhzWzYTfr?MH2yi9y&
zW3THG%s3_Q^TdE@$_v;!?9%zU&gT4!xV~y@yF&>3h96ZkH|1;bUOak9aOx5MS!*}<
zNGnT9tz2_Oc+m&f#Rs}dyAQ4t^X_<Ru;yUrZ2R^7+^+UPU%VG(E6n^HD51b9Zl~}p
z{lZ@^A+;NFH{X^`dGX%eSW1+ytvX;E)9bf;(xg+gJHpQNiJi~&Ul)_7zt5{yd%M=*
zZBG<gmwjvv^IK@{bGqyWQ>!71x4q)GUD={Sg$6>r2cGAeRlTmz=$g2)I8Y{Z=943z
zd%sLfS-M`#WOlEcWbTR{>q@>h!^^BS4M8&nvg9TSSES~>bKdkSOy`0^pY??mLK?R^
zj{CknDw*fO{eA|gu2rzpy_gj|CD9D=j9N#oUk|#Se%<&^jO%4lx2z5GzFN$g^P=<g
zsno;sR+y~&6>3|hT72p9AImE_y|&c~x(-ZA0_k}x65sAfl|A2IzOCZ(RgRSWE!(p$
zm$b}!_opxWrgO_39mg3rqW6`5d=Qr7(YWvZ55F@FoDSy`R!_4H&V6@e!fT&6BmFSf
z8p$Vuj-@V*zWlpH48GMx3uV2Qe!OMru0Afa?8#yYFVY1L$QnvTmB{<bv{mF(`EIJ%
z|FS2%Kl$go*2Z$HS+5x8rN`8*X+P)7eQr|Er{BtlIqv@dKkv?Moh|EbOm52iRKWUj
z^8Z6AQEnCd4B^{F7e;OkIo7zy$wQxWOIO#-iwh6gPcV(#FwrK)EZyyv*@F2F4`ff(
z9^2BUJU^m%Q@cnv*VOxGEMIY!+A|v~-TbB?^IXaEaU0JSL!nJprPsp?F6rB@bJy1N
z&{A|uSeKE1O`ss^P2sol#f#Q0zUlk=X@T$YmD3_J0}E76*c#pXQB=jNJbl|^+r$7x
z*W0VvbsBtM-_iRy`@LM5_3~E-j6bcqoV?ta^Fp0{{Ic4*be8+hkDZU@O$p%^J^Wes
zCwKF9Ki%W6^Oc&j7gWuc4ZM~8I;3${K$pABa{n6!Y77bL)7hQ6Psa(&PsrMoX#DC8
z&!(MDXKZv1R_sreK4dELweObMT2-dj!)s&&&+PqI@ab-l)I;3}t+oKoViCT(8}1qT
z)H?mkpa1OSGmr3d-!E_Fk6`JJZ<S46yw+`Ln?YY}%%wGA=c^{4yg8ria6#?488eqi
zu1w5eh;CWNc-^uu`pjCjrs;C_aXXLj+}R>wziFREugb2<!))ai33G0xTIoNyk;FFh
z)8dDhuH|qQ9(RxV-g@Q_|M9nve4KZDsFZD2TmMZr`t!<+=X~Ei_G%x@SLl>q6vsYQ
zCDeGj==OzyUsfJ24VC$5J)JA~$Rg(owltBqzkEYBu=?F%w00Lc!J|}{^Xc?aPoMP$
z7tVD)IntTC&E>q=(K}IF=l;Kum~*i)>&1q1R?5jKBJzBTJ*1~zbk5oMH$txYE@L_G
z1s~Rq2aYUTnK}Jf1s!WAEzB>JH#|G{>S2xT;(Y7le2-U5H7>mP*{8WW?8U~D;**+O
zJU@7@K0a%+)j7KzS&dFdjz2A!GAYz|^5)73i96jmC&wO;4D<QC;N8sx`IQk5v%g3t
z9G}|u!P_lIbK~cS>-L%M;!J)d6vWLCcVl{E-r5~=bJwQczHz<bfbRyM+iCxDoimpi
ze&wweiQ8bVe|eYf;cpgECYyt&)p~N9c+a;{E<L$+gYa89uBo1W?>}wt6R(+WC$!MX
zRFaiJ<ANZ|QIVfBV>o_aWctUMwO#Hvcay?gDLvNWZ+A`>7)?=`aZK)c)9i*-S7tWE
zH!qkLczx-{gc_wlcb!>#nOdWTSnQ{?x;|3<{!mHiOSXjtFW=pJ+$q0)Do>2Q%CS}B
z{{MuH+c%u*>}ik5=>Mbq!O;7Csrtl8m7liMIQOo$u6k6~daQ)~-~ZHz+iM<g+yA+-
z>Fv)ncAI%WHCjIL%0;H<6st_zH)Z+aqWvbpE1t()3l4KxG;!&J)`Pi!mft+I?H*?%
zv-TsVr>A&xe-tllI;vK4<%Ot!_cS-<<sM0bzt2S|z77zXXYDK%I*B_a$zN%X#JA15
zo?+&}22Y|Bc*>onc^s$JpInh?vf}E+^4asGo+=%&$|}waT);ZD>gc8TbH|&FX2!56
zybXA=Dd}<diS(<P^B3EgMES3p{B6Hos)*uRuIO#ravc7~4Awg}7hSP-{=1?je4hEQ
zNEP|#J7+tKtdjcF`*dY;)`LstjQ^M>z17=s?Bc5ho_)7`_WnqbdvKhSYt@4@C*Pjm
zbV4axNN@4~SPxNwD_eP2B){;TwN&`{BKH|Z-G3W{pE5D82;V5VmtE~cY(|=bWv9mW
z$9ATg>yO-i&F?lZJ+AfW`NvakxPB55xzu+mV4B&>{Z=dd5^i1C{o`{@w8<KNvuMsK
zyW@ZQdS!Laty%JQ?P4|`#~x)S#@|P-R553(g#Kual3kLv<ACA*Z#TrZKDxWCx{2jP
zw$S-jvAHfDQ%`AJtL_$g5y2PD|G@vvj=m=Q>p6mfQ+cksZ=dqw_RYoD7H*lHcp~`i
z$?nuHVfot)hB^1liiBo7ZZ=(&tbEWj=k1Z#Vusc)Ci6WIJ~G{~J@2S9>zasnyNmR7
zE8fqn68ZT@jm!Dd<l3G)+=?0b3G4jI10J{B4*UOo;gYhp$6H$7Uap9gp7g&(C3-5`
zLer1zD`c2;O-}d*?wRuQ<U`-vOZ)ADcC{C)n;AG?e;kulW?a-KJ71`ye=A4s%g;3n
z{;w4{)p2Ckg!ElaI-mFyHLU77@BW;AF;$y+!%ETM9}Jh~Mjg2Ke8+*}=Cg_a4^K5d
z5_rkrf$9sE(pghht^V}jRGip0MsKe(7iMfM@Yyx{ll6_tgE9=3(_cO-i9L9BU+w-)
z3H#pqb<0d(-XoXtd({>1&2F+P`%Y&q^?Wije&@yDo9xW_mrpSFc`+`JxU=|(@49@o
z9G9srZ=0pQ&1(Pkr2h1j8=Sn?!%fSk1)NXw{{Ot%RHHTc!JJnWtqFTiedjk1aev(`
zDeZo|NK!Vx^P>&VDb~U$9<%f6AK0&C%=TVzO3Z#=*%QxID|r~#ocXjlg}qPjWBj)k
zGwJJ(M5kY0`{*}&La;)d?<v(Ed!Kfc$^W)o_GD($ohizb|D-M3_JucB^FgGVqsMdQ
zhl#>|22&q8FSjV#oB3>2qv3R`xPrrn=lZyJDgMp<^~in^=h|<Rv#+^UpW~kRyy9+R
zX4*A%>Hl%tnRVW)&CCACZX?<L*Dowc%>R-A%c>u7TLYTEpWAe2SFZkol)uiNQx3H)
zUhkmZc;-*jqk|6}-Id#AyANFXb}nGgMBS*)f0aI8Vp}H`9y%FyYQfiw*YaFu<=naV
z@79Y02Xl_wcqkc4)p+ZhNEyA6+Fg6gGU2-A!Yzq$y>^fDUp_lD@oLDF(ii5e+&a@1
z%yGSTO5fs7%)bhiz5_E?NP5&RwJS5p-tB$zN81bu?PP<7`sjAi>1%kNrRd-3a_qe*
z%p<SWr~PmG&kLWewI^(yYO$?u{txvTvz(K-?494=&@M`B6)hCgnLa5tbkc33{r~SQ
zzQ%B{G07sOY5i%wnf@yK^_W<`Fc+@6b0Fy7)$O-!<Zj6`Z@pUOz}oTjM1aW3#TFm0
z{9f`ef6{UdsqOOV2Yk*gOJLLb7XD0l?R3t=8{(^8MEET}`L$=?<U`*C)n-(mkU5_(
zu)s~=)4vt-KDxU!mwLT>mm0Qr^EzW|Zp#R)n=LI;4K4)QzuNRF(qWU<{vDsT+h1M&
zbH$}U8=kNFdiNdYN$m|i35Id}+m5mpE`7fF>n-i;Y11~(yu8k%)cxvi>-y7vt-thh
z7B=}l3-EJX<MZccmw)Mmt{{`Mk^8q^<<VXFC2O7h0jp`;WvSuLYSj|eU$gZ})@Ft5
z^Q^CY*XOhL_20PSb&5aIz2-lYklb&XVb?XwPIuS*>r>++*F5066?<2fRiNhH*NUK>
zp*Lz*<mHy{?y<bvA3n3HdWqKQtgvIn%kooVAKbh@XXcLfT{4r)*QE0Gn@(S$Is3=+
zT~#|ze=glRz0Wjtwn@*&?9!&priuT$w;HUuZDe025V`xJ^Y)8tt{%9z>u-gRx~!Sx
z>c`83CjZVd;N#*q)8p={;rPn)`F!X3{Kgc6OvU?ie_gz`d1CzDeJxM3+HY(0D=RVO
zXE|LiH!O8)iM*yJ_Q$8VFwJKDsx2QUUtRK1zg=lnd5mbVm(;3$rbQM#_vIWH1-H%l
zlyiFR%N?8k9r>2+zVh6aIwzK&HE(3Om`^J1{pq%kPiOM`knLZ01w1YN*5Ob%eb>f!
z$N3h_Vh#(Go5hi86L%)ROt$|jU-Gouu7QymtjiY8j&zGSCzb#Am1)x%wj{+*THggO
zzjI^o+5Y{KzqU<}pXN4($-VbaEasSR^dpof)|O|c`hlcpR`rFtn`X;i5C3%Xp`(lL
zjoP(aZ;PhN&e`4mbMu|g=EtrE1*{QiUD3MXVzW5EhsFVi>>oARzr|Xb?$l<;eVcB+
zNU&v9j{5(t$;=_YJEmMVJQ1{Q!?cY7y^`&R{0(PL_#u^4_%z4!`FradkN%xg)138A
zJn<rjS@hiZ>}nq!_Uzm8uPfF4!~K2j3MQTh@2U%>F5Z5n<eg8wi{)uvv8DZAYvNtr
zbr+h{9WWGG*R=LW)Em2&bJiaG#&4DhP0eVKzPjw)mHth|$EO<Yjy#fHcJRV_k#l!B
zV-~J+pMJ~Wp4PPk>Fmy_^Z%_+p2rd|zI~@IbBX%ynx`ECpPt7bpS3+!!6H6z+GO!w
zmpPXk1g+0rd@q0TYehoD5{FZpeE#0zd$G;E@2sj*;=wgfr>At>oM5ISyI*vJz$fEw
zmAgD*8{f%h1vr0ppFVT`p|5BAHu!JIWRJf5@In8|-_usz?pYBWwC|71guZzXW-u9e
z-$^_aAhtQYW8=~MTywKsi_YHN<91&5zw*5`Rs9RZ_kPGeqb@x~Gh@v*mGn9O`;)B}
z%1kv1PGz{~bouZb=7rhwH>C&P|F7$|l(*>B!e*VF2aC696;3XTIJ4#Z!h_D%<#OVG
zZ!Y3yys~#g{NtNO?xyoJa(}pd+<v>D?(Js#9leRn(`RsBWf0QgOlvZBSSn@jygs!0
z=_ko4PbXTIoDx^Lv)SfHr|GYr<M*fVS4c{}*~ww~EBDgQg(?+Y99))qd3P-e7HDgg
zrm(qxU0pZhvUH?lzo+s|j*Tm4{}JLn*YbFVLT1J$gKMsFCpco)O?or!%c4VT?w_95
zwSM}<zE{P}e&^ZmGx<F@>$HgHyL9EBw>SU9n8rPM^3HRMY=2x|<9!yXcW)Qy=tnlS
zeBb|YmA!7-L)YUzf@}WY*VH|9`)k0L+VxYNv$~fDcH3D`Jy+-}S}FD@BP)JG?p5`@
zsqq=<M#U+r0sEp$|9_k<e1rXL^0uVt_c#A~=ii^U%j|vEt>_#5<r))pTcZs3MT-7e
z`{2v;i(TuKCkgp`o@ZGmlN0V&a`N1T?+sUTqP;erYfazoZd$8ddCA~a<k{W*Dm$*J
za+fZ#%<-S+kn;6lfOHQl?>Fv4bvsgB(>?x+_L-cCd-&{`eaN(uki<)8i=zu43!HGj
zs*-fdp-i=WacRBZ_UW(QO!myPUG!(dvPY30yZB!;?T`-Mn-d>Wl)?Tje)kWjnG$OK
z|GrLQ4V@ah?6cGrg|6lMrY@W*#g%+hRl?3hyZ7shCmUDI6j{_zSz6DXuNSk#|6+P;
zsLzU}E%A@yZiZdj)$ZEzinC;WVN30T8JT{1QTNLBue<*ApuI$0^R85@Rcsgdx7jCG
zw5O-O45_cUxH75WRV8=*@i_(cMccWB_aDhU{<J6L&&@U&<3HhV{`K|#ZO*k>ynn|{
z9#a!G-^Ic<moB(=UA}l{$8AZ4Thl#eyYozL6Q9K~=SQqv{jCW+4^QZ|n=cl*pUQIT
ziX-FcrjGg1n$L6?yiQHO&dpVQIeF8T+|6Y@>*7=ej8+&**0j77ZB5F2k`bx$d(IV&
z3#*h5dvDl$S4J-G&-RI|>w;%=u|F^A{=uR0bKRRM3p0dYzk1s0F1Yngo7ki1W9`a2
zeD6ICoNib8^S<qe-gBYM8ef)5|CfIef6w}0wvldN{f~xUx7FGj1sW6rT~5ra-ty<1
zsCA!dPhE5C;Rm^f%8O4syx)KHf7B~R^`jR|d`>QF2-7PnJROwgAjI)C;03oNkC;Mn
z%f1CnFC_0+NT#ga$orN>>vipfWvAN?3;y=fc(-?5#Riu}&zl}SxxHQR<5t0#b1{==
z>`k7|_EJ<#J$xI(+Pc+h8#}cPUL?-Y7P2qh__;RW)0~?dn<vLHyX<PZ<|iecGOJrr
z{KeyJ!x*kR*<0i*?(aVG%c0DNbMYFHyNlu*TkoVb1y*UZyD=q2?@F?mtKfOn^7~?M
zh4b8t8GbC^5WMBzUhiF%28Cyz9#DCs<kK`I%6Mnhmj5eXZrPoBD<po^{lmXXo}X}7
z|4w<r49<VCy%TRK@A^Gs|E-s&LwNKztv<E9D5xp(!9Ioqc})&86qmO2T<tjE(6%yz
zU)1+`ywwx=D7U3^VtAStB<6E_6(0AfJ39C0-19Qp{B_#A0-PHv7{0fKac5k9rMcrl
z()Oj!lYOG3W-l;Z^Zj7{{wLRtyszGJf$6%pR)4wl&5l~@z=ub79tmMS{%P0O{bp`{
zoqa_oc{jD`E|m?^mKWQ_;V1n{#F6z`8mrGeSEZ!gSAu?vX0JYB)_g#FYtx)r_v94}
zH5X*O+vd@2+q$xl&%t<oJk#Q(YXXeQL)sX06;A$pn&k0GPWWw#->O}T+)K1ORXOzp
z4~p&Csk5xOFI<%O)|23@@GDv-vU|M_c0Z0e#r9tR>%Q!K!6nuEmuElyJdbI$^m^7g
zH}B2O-JPMcJdpG5g*$4Oj#yoZislWNf3WHP4z`&y^(U-7R(rPcgMsD6TdrrP{m}nz
zcFlO#x6D&VjvuKjo49ag(&{^|cdgIleu(m4ZFzV}ze~XEL%Vi<%ei=}B#ZrC^2}!8
zlkXg3S4JvzxKA&3p6kc`>GPfWseJp~CL9Xy(|74-T6W(dK=S8t_6=1`3AK;D{r<B1
z^Y4q|-^x!2%zeF->CD!N7rm9N81J3)6OsHkDa-azUK97s**5R;me%lw`R5r;o~HKx
zv+TUXdrJD7eid&BZe4d{T1&pn#I!4)X8(NZ?ozP$linl#i|UgX&eSn;m9{a?aofmu
z%BOkes-GvP^0llt`?&O@$ov;y_JqaGe5YQ}edp2>&*%3ity2;IC0f{B;dAaQm(ACT
z>2)k7Q=>MzJYkUdxABQM%Qo8;d?EL?M8^KVy8Y;)gs0n97^!O>@7-p-(l|gr=K0ns
zz1o6zil1<Z|9v-M>Z8}U&h&HX6uz97U0bYJS?V@TCFrGGaf#Z8&9mKX1OMFmRuknk
z<Ne7^zK0IZ`Ozi+X@<kZwY&R#ZDU@ZHm&?)oNV;1HTKHNc~Y^GVrL#7`ErKW{9Tm(
znVnm96uErAQXaLOrAN6l^MHw)$=1Am?JHU*r+uH#q`(>c$zt9~Lx*!8C){uN`>s*<
zli}At-?!~wW+TJ$Qf}e&(!3i@!aFKv^Iv%>6?so;gKlhkQsvaB^2Mjl6(64_FzLYU
z7<(Jff||{L6C0`>ANKsNR9a`(wE9F<U>|R%cet@fa8Yr^o!7pF>Cxr+X|g7Ft$rSs
z`+qDwJ*{^)JFDV)jeyNE<?;7@6=VON>gOyE<MX-LJZ<kRX}NH-|Fws$9(2A-n7g3u
z!Q(eaH#WWUirBGT*+{weo_bZ7+#L?nQ~Q}d{FS?~yNo4mg|4Px+MIcBylyix**sEN
zYLnYD&4icF<)7p$>yAl>Qzrhc*sywb+1_^xcE_49+r%%nx6EMKz-p&z+o$sR*-nKA
zy%LM$g(^J^+3k)kw>-7Y#n@}FZ13LeH5HpV`OdZ8FyGqn_RHRuSs^YPr}*Dv&OUT@
zPr>?aYfpx~6Jz~QJvTw_YzkjjO#`dBtHfIsH+J78*>YT5uh+_bJ<svr-xgQX&GE_R
z%xms-<~$26c59T6JaZw+xcyB<irFz?ZS^m~dpJ(VZJ!+TRcHan`$=*f8z=pq6cYX@
z>(I#u%U`poDfdpfDrvLU$cpdo@qm*|%^z*%Ogq?87bI$B*0VIa)KWdmD{q&}s_g-j
zwExCG^`Dw3v%4`<X)e37!sb)AlJ*&x&3^NKXWs|IXKd#Jg#GSM>3*l8#b$i0^h9$1
zD?=$|rd`!veP<q>_2Is$?Ehlxbs6(Mhd1}_WzBKo5|eS>)N?5JzgecrG{!wsTUCxd
zxb*Y#<{jMZg{|rC5^|wUPJe`SOc%_k`T5{U{pVDPC7U(g%((oErC57s=bWQ^1Xf$D
zdk}cj!%b=C%IV82e;ku=G^mdJr1c^8*y8k4iyRwQ9$tRxi22feneRS{#cDWSme@Kw
zG(4b!yGyyibLrl$+#~0;T&GK)=Q`5-H7femTx0v=jj#5#)@@z#Y4VAQKblIsnr>Zb
zHLCt{|JC*Q0+tTxwu~0-u%##43zjLCO?BH*yQEL?DWi6B`>{9)AL|J{%gi@8f3r6F
zFrV$z=W~jEljqFLa(h~LUu*YqPqx`<WotiHwo2<(2v+{z+EKr4#WVfmk5=U5wye+X
z^qLng&?YC$^UB!A{&Jt!+R#}^+YWV}ak%ZiIZ|RpjBL@7=s632yga<?Lim0|!J^+A
z8Kc#I2uN|3$!>P8O<r<g@&SXer<Xm~zxpk5BJqaKwvA1jPV38EzR%NesCQZ&(>De8
zkiRp8H|yMxkdRgW!fzdR?m^HY2@8pp@3iwws&}kBbGf{A#-uwxJ?#C$?kZ>77~M|w
z`*yT$R(t&Vjr%R0KMDDBuCM0IE0zb7^v~V6Rd8k<(?of3$FF}5=l*@oCOUDkn|em3
z+$nbTm2cAiIn^t?IP|8+b@suVH~Zbo5Be&3HL7er<e{i4uzXHzkzm!%())#3m%~-n
zFPw3!%{k<7u_ACv=@N-f53ggr^7bW{7R|o9hhe!zOtiG^R@1m;CDI?GZYJ~W>v~bs
z^ZQVU>1Le*yCuKQgzV@S5nEm6w|A}F8>VeF>$N<N1WB$wYx=tWliH&#lFuv8{qK6g
z^3rEw%j@U&54G`KiRk&WxMO4G;tXbMfl|&!JHxd2lFCIBbV?4kx@wz;OlW#qm;d$7
zKjE+!g?kPj@erD}^F|SuaJ_GGd9?eRYni_-9`)BKY%y%i{J(lZgs<TrzPd-EZdNb9
zu>MNkHfh7<mj^Y3^Ur=e@K$om_pf!o!b0l<{*+3&>c?2Uvp=}OILWN3@DXF|pWN#U
zZniAaX1$>+tlg()Rb$`!+c<2Iuu1B_xdvP2XPnsCAn~2|wX*;IM>}_z_OE;7JE8T#
zX{PC+>=O;=>boZ&dGn}-pKDI#^H8P8%<z{5i;N<bdXLV1KUr?+i#Y4kXQzt(Yz~^Y
zNAXmu`u%gyxm%99`1U01@MBx)l*SctT_TY&*!a)mj5!-qOb)fhgcwcyyX#gum&#|G
zox2)egtaZ6?zCJvaM3H#5Z9$4mfGquo?HxdOV2JcTld7brTb36Rpw1A+AoTJ+b^+e
z)4QuyVKY4A#n<`o$!zJ1`2OkqU-8F_{~1qto@1#~dQ$b(nmV0+A?urJr{_rYv+FCZ
zzR4N+B4SJ4p1=id=L#1_x*Dy1YE=HG&7G~BXWBo<$epL3KXM3~pDp*G&3JBz_D}D)
zRVu<K8Lbkk)ZeLjEuARN@jI=~v+&M^iZU)OHAN<aGIP!O&(#w-JJR;)m)@T_|I}B`
za&Nc!V(O2~9iA(AEpeTplXE=SbmMcOt&P93Ua)S`I{n=FL406HmD3+F(fpK@MXHa!
zA8=fFt?F9c*XH`tUE&wTrd+wTAbm}bvQxu$Z>vzZ^^Eghw+ZSVw%l1a!B%Qgwa=Q$
z@Cb<o_Zb2i_kIzby5n%|<yY)l=hq)N@u}u?>Y-w<2fBI+w$aDFJ?9p%Y&2lXuy=Ki
z+4FKiWVT7*sx1?3SA|5aUhrk3#j=~%ZgNcYmpt8&eBH*<e)*mqH-!$bo3|@V<cqVm
zn%JzBS6-QH;O7szU#4Yb|D8AQy2b|i$_sNRy8F~GyKl63`=#hMoq&6nw{I1nr1?tV
z|6BXxueaD7HaJl$Jb#NLLvYSv=~-N9{mJLJ>jEwaXB6zc@!BvU_NUO<1>LXxbGBZ8
znEQe48dJ%f9!@3=my$NKlh^hw&|ji);e%hSipudux5`6-7uT+sxT4CT>fifC+{M=}
zcknLT#!~rc$EQ6j<%BKXOXyg&rL7Kic&rs-T41+IwYpkM?KdO8bm5<`tM&dryWsJ&
zMWND}_qQ_FRMVYCjM1WwPGL0+X|oSh3S88^tvP*~Kq0q?x=fwqW%lO&7p$)jnm;+R
z?Yfph@Vet?-LebH&P9E+xhENuzu?8`>RSt6t<zm!Q&I4gYw5Gi+h<O=FRp!V>sd+u
zQisA6*Nxv_UMyHGl=x#3&z6(VQ<cM9j?8;u_;JSP?lobnzO^=cR;F5P-~Hg_q+&tQ
z{qC(Hf0pgqov>|A&5qxn@=FizT+#4FJLYi1!E+*Ww}tIBu-Cq0Q#WH-pKktnoxCZF
zUp7cQe)ggM$+?`Y%S<Jy)fok5;jdEGZ<zdSp4)ETSrOAe@bRsEbocQ7CGw|kALnKH
zo^^3oQ_$CdeOX#PH?-O&Sv6G1K0PX}B^k-nV;rbv6#LRl;`Yre+wN%EY|hqLqn^9!
zo{VJPQctEWR&{l&PG(0kPG6JfaYb_5-8|p;r@p$^THdoi%j%SuJ9^<(XXB+->HOGR
zS7ux~5pu>XDkJz^;FmW|#~1ud=(bB{EV>(Z;MZc^scTQPM%>q$va|O1_nG(a`ZP_~
z*FGO7arA(BzYM4DL)Vke+H6IUuAkT)Zmc~Qsv7O@wc$>T>Q7|>wwC^S;o23CQX2C<
z#s2X+u!W)V&9ZY-_4UfE4JS2Zx=y)%Q|j!Bmm5~}vM%;_IjXg1#kLpciXCt1_ip@o
zR;aGxX{~3L(*sooXK_Vg&aW~*C%<Ak>u|@va!N+P+51<0&L1`Z@uKX`1y$8GNx{Yk
zf4^C!D6~3K;<sJMD#1BZCM?k0*JS@nP_eV1BIEX&bnC14#cZ;acAR%vcZnfjw&fXv
z#o~vTb2Gc`<XJTD;nr*HGViN|US`SsD=@yl*m{5EowZ_&lSGQo>~bwRZT|1>sm1Q!
zw`JtCZ%uhN>%m)|=ta^?n@(J-V9N=-Vepsz<?Ov84Ew`B@zjav9WvX<k>;jcoVla^
z&K3Em`kD8$f61@U`I~P&b=h6jAH|I>n*;VoGyQqC^}KlS?{ew82mgC*epFF<Smf4C
zBhU0JvXi#7epc?E6SU@6(1&@tO#j2AZg1w-YQ0)leye)UD?KCW;)%}-pNRUZe)pSn
zl<}|i$}J~EfBY;Ek72$$r{&RPkMHa!Pcjx-miwtB6soG+jg~kz$y|=(A8&=v5*?G+
z6A3nEQnd%|147q+SuF3iDa8Ek>`nbvN_+e-pKEJ%RhEsN<oG8!#q_W0@8_$9)c*^+
zHG5bY?zJtve^DlN!~C#!WnY)g&+u6I#jJbsEt@Gq7w6lYG!)bQDYS5&$&PiKySC}F
zi^?+8eZS4M_Dhwla_{O$W`>Q20xfepbN<!bS6Y3%IzG=dfhU0HPe`hjkUCq`+o<P-
zpVw`gTaz7ZuxR(T{NOUBh4+HL7Nk4%+<Dt1_MoS8^_dT;V*7vG-S_6yLE+-<F4r8q
zQm;<!PFyM3|6o?&<y-}$znbFw0#dh@t`59$Niyg8-{khA-kO{|8|FSx)aW(lmr9!{
z^WCy1*lOa5rw8gpO7s7{x-MrgpZ9X>E-rtDt+(20b?XE6J9RWUEuJAQuPMndH}Tu5
z4Z06hcJ6iUtDODxwAfrd{)@*t?yNd1C3VtT{&4cXH7Wl6=QkXhX4bLNHhS0AY17|r
zaj<>8eRZ(=?E0#>JxN)<BIYgc%=z<XudZnQsAchg<K-EG7q<&r*xpmMxb4Zf|D~7d
zza1&MWqtyu!cVqmthZd85Xqg_#`Pm5T+-0JK|aQ)UEYsRCc#c%;W`~&Cx<0bez%s@
zdvQPXRXCY;XqVsd5TnXBr`J>)drMz=cH4ll_}lY}jq49&e2&|H^2>zhJF5DR#zy{n
z@cf~{t0%by6`RZEm0M{Q2mfA>`sU>NG#|T90e!7sl+~MFw5+{4^TZFUl_ISP)gnPI
z%Q%i~X7LT0T6Dql#Gj=hub6&r^o-8Pud>_o$826M=l>ql|FMxjHM13_q%U6og2~Px
zd+(n|51;iXcxq3~Yr4MT_`mm?O19sbS9-m47Psu5)!hNL*5b~JOMHsVF6c_jr`_50
z<b1`4ZtucxQ@3r~l+edtDez?G(MSjGwL2Gd)>M7tZ{o=;{;=`c#nMdv`B}3<<ZOga
zOYNLlQXkHJcHv`th0co4OHVvv<hW@Pz31&!H`$HG`+l5W$zdPCHSv0PuixkDseiAv
zD^;v){@ltSd0214vRB?G_P_o1DY0)w8Tb3MFU)mM{b1zHpLO^;(^H16Z4TP;p-Z0p
z-&DA2$!@nD&zAhGf0bojRJ7&j)IH}i9vZ)3j(qqizvsC)_oZZx#?Fd>^fhZ41&)+6
zH(HebnGtaC8N;n?UWdhX(M_jaSRxs|nsV0~s7$gATjgVYAo)d`qNrkHeN^`05R=04
zqZT49t3JOxvMv7jZ&Rh$iI1l}`sAq^8sdN5?_xl_lCKF<?EUR$!*6}oFItiOcd?7R
z3G@HvZ_%2*rHjj0+cM*Cc((kJ%jJsv^4dx4*E!eEv)6qW+rtplb<k|eAEErr$k$fU
zpK9c5Ok1Cs7F%9bNbSCK-|h9~fRq?Z5uRiIVvFY8>%CLJ-B!c*tLTsOhVU=<9>{Lb
zQrdoCft{p6(^BEzN=8fiKO8vJlD~V~w`IK>zNp;awd!@=+&8uCbN`0UJ07k2c7p1{
zpe`rj*(t1Z6;4eH@K^jLbZ&*z$ysyGM8sOYx|7Jwe%8BCcmA^3ALGMcGv;@zE3iaA
z>bU-%MeufrW8evi124aS5msm4GNb30O2(h0{nHI9UxoTMOf<aq@sR8CBWIty+c}MS
zj(5iCdtWa9UwFoUSO1LPs<+~J1@iv>2%62MI$KwiabNiR&D9$-cPAv+*w{+Gusi&&
zL~QfLRDKWrliCaSJbK%nVN+rLZ|f@KCe>8yMp2HB#a*4hO%nn?doZtx+vD=!>5c2F
z#fyK2T$22;@%;(j#&t1m0<WTdV+Ho`7{8r*D)II|!|fMS*l(q7j!XYI@&1eu!^GDw
zMVE9wu<rb`{Ylm`-QSgGY`B6aYBrqRE@Nl6P)=!gg~xZc=~CkF)*9VEm3$}VY0&B-
z%c}3`nv2{&u`WpLOO88eG*4>Zyh96L9xQQWe3v>=z{C30-dz3!Z?Rbq<t0|jux;zU
z`9N8r%V%jyUAIL3{;8n>OO1C}{psuq=ij+TN@K^T=~)|hJbp9x9rGThfPXdjg+J|*
zxxtXXy(L!2DSF!c<34LbR=>38xiz)uuWVzo<;&c_@E>X)K72SNoVMrFoa)Rk5#<iu
zJMY?TZ!}VW^!3nYiSkGNGm2O5;k~x}-k#mZG|xsnGpo|oDptI266x8}bNlG}60fs!
ztoJ=lh~>%fv}Vck<qiJjv2A6NqIUVq|K}#`2|DrIZO@&pJKolQj%{D?CG+syo!z?|
zj;>Byd1Axt#yzt32Gs}OyI%iybXQhHLQ1^n2N@3Iu3O>fCLR}6Q^>xwBxLIM1x2|g
zZ}t|==kq$|TotdI^Tbkc-X&f^{p)<&FFAXZq_JH+{Hb*P#5mJ<3z?+3G6}zHa-9CU
z1sEzU++Sq!({3%t=TmZOkt^>xT!>>^9W(c0_*xyWcgG&sE;rrp=k&lK@X*%=#aluz
zMVzckKXdK)BJ)2j@&u>#v0RgdSHq{PX0aumQ&wE4Albi{_u7GqJ5N^U`lg6i^&1uX
zs(MN@PA@+HOuBDx|Ml;ao$8ajV&2vluAlqZ*y6yk(j{xeW+{C(^z4;rw0%7z>|5%o
zMn1hD?jWNO+kg{c8aB}nrsU7RxA6YiQ{0<UYMZ=Vg0oW`-l(PfFFK~tt6{RvUQTpF
z)YHk9TSJrsvLDZOmvg#w<3;|-2@X%qQ>@i`kCX)XFOE8-R)23=%G)pImD`V%)fTF;
z#6^9c|50)&vvS~saMg(N{s_+h8yixld9Gs*{WkmR0mV<hj{Mg@wyl~YDl%Bmz0BtD
zn$GZFA4>xd|Lm7>bpF=B#_i8D>FmzL<^6p6Uvt?uuYdS!6;JlIcg3OwMGV$|`<hmC
zvBdgh`F7u2+?(@axm8HpwmY?s_44<>yuEQ<vXZg>-|ZhfB4rtw{OP8C4_ddGPuvnZ
zrCajib%|r18eV5j1h!6Po7tBhzHL3P-}B9i!tXO{o}{svZ%@3{88JU!Tj|{@3&TwI
z3b{ykIh%HlPvWt=dOa#i%2Yjl7rOQspWC~zdh6VIRaVdc>usBDG1F-7JfG!D(>+<{
zIjGINcHTp(@mlcmCpD8Fd@-20u;DNx^U>Qc?;2|Sw|%|!a=FDEhm}T5sk|p$xVcyB
zDa72n@4al%#Q$%lnx7mE{?po(#<gf?dQAf7Lyq#`vkVFrvvWJ<CjG1{N=jr%mhiql
zW7)y&6-5a*99`WbEWU^A7ZaCwb7kHsGZ{U;qhh=Cj-6U{;Bdhgk(X;`YKHBuC^eh?
z``MqpCC_x7PHx~{;ZayF)3~JMo58PTv!?0Jly5l9_&Q+Wx8*r{%LPQ&hlePuiQB)Z
zId^em$iu26DGlZ0e5=<SwCHaNzn$|YgJsG&j{Q;$XYX$3l6ummWg8hGZ(Qtcar{>c
zm-39TJRbIolf?IR`YX@#6l;2!p!Mdg{*$)X=B|difvQ3`W;gOm$cu6OpH`E5SU1yP
zNrv}&nYUf){YP%jKlEQ*<Gv49&&@NHuXR4}%`#i_a>p%R=1o!;OxDlRWGOa06>oAP
zkm=W<Z@SZ&m9sMT+_<8(qyNRT`(69)Okw#esOFj{{owo&AL(MrtqZoWMGBht9?4ag
zv-R}*<!g3Mv!>NcZr8fz<@PV7e*R-tZ;D*=En?$T=h@%yU-exl^1EvLj@%tbkGlO7
zeb%?RZSvB9CyUpGpN(ALa#Q5#;Z5R4#dvB{61Bd#?w=d<OU&m@|J%&IdtMRWx~lH7
zsOZ~uhzB2IuPj-zH~*!Y;)~;lHMP=0PsdK#^-TA->UQO(kY~pE`_`x~lNM0jy>XYj
zs<`{<V9QR0b45G+Yz?MWu$}+eZO_#-Z%e0m%QOqe@a&wtO6GP)VWa-NAAVjm-@?E1
zpOlwL>i4%iJ58U;lz99T`M1Y7d7AW-sal~~nftjP?0L`sVfmlfZFAJDKAiJ-skUr$
z;#TXJpe?gHt>?)dePq0by-~rluQYATCcov?(+owYlvG$-eOPR;e@4($gWp#@uAMNr
z?CPt>8R2Vni?g_3;rv^tSCzJ0TFEL|xAepTIqSRT7fRRc*>Pd2{G7(>Vqt#k*Gr`L
z8=0K3*gC^~k;vY<l)s*z^Do(75qf%L^L=-bydPf9%X;TBt-H5$hG=j6J|~w=e_~fo
z`xGBNwI?&gDEFWJ$1Af%xS#obR+{A^*~<Oz#rE&4>ZU(i*=GDH`diUyztB*6f#4Fo
z`~Q2EFa7vqjiU3d^xD;;j&6*r_PsSO2-cX&maxKYg5$X)S8e;I=zX>I6HB(WYS#7q
zn6>P!aqw#6-_tr(<XR6`2fTg%YIE20s<j7|X6;ybLs)?+?9SCahn9X+Uh#fg-T5ck
zdxD!@Phou?^<hDn)AQ3>ACA^?O^GX+AZ7EZRix`@L1kj?yr)YIPA+T7No#eOW_&K>
zxW%1`E4iaQX5O}x->bfS?Sm~>JQk^5+4bVDgk0ItI=zjS3|_MrGdgIo-PcQ;Y2aa-
z>9yd?(HTqmo=ttm8fNug^7Hd!FX9D{`>%OdI^l<t%3YaW`H&>uBKELa@7lTRl;$#b
z`0@t2s6N<!J7T^60wY<|r`e2!tBhBaU!PI@Ir;ATe@wSelq5Vnd$@ecjqMK#HAUHN
zma*s`t=A4xstEm@lrU@c^}{}&N;OJbjy;J~OxlujbZ@X%(Vav2TFOU-PKsqEE7kaI
zJhiT1>8i_7M|o0Cyz<MHba^*tqvHGp&C*)(KWlC~x(9}C|1jU6CjW$YR(HGp-37mE
zK4u!3#|I_GO}$~BAktLui*d$&zc&p``ERfBZF6Z_AMy0;=}NJ`dDHF%e=IiDUa(WM
zYE5iW_``co(_;A(^FF@aQ+g}(KyYzwwB_QB6&Fs4vN_zU5q_)9+3t08jbE_jzWU8l
zBCfud_IGG*4$N$uXvKAH9{Vo2lD*GUk~uv~QV)2o*`T&wW-EsVSGS6J+~wYMyIzeA
zksND2xog*{*%!`_5MuOSH0fNC&BpFXy}uu<<|Hggy~KWHhNkvUSw)4H*X@$`sAnCD
zv-{iDedpPdpJ7f5c3PPF-eQ=O7w~#|o?`iymLz#L4gFK<r#~0{ayM$tI;7^c^pwv0
z>Bj?7JG#%kdg7{C{rXq>$w1aMT3R>eGrL|5H{jT_!}pr%w>N!>uN&gl{ygJ+eRJBm
zofCylOjZ*w%$j`L_d?|KYp=u7Dq@2ko;w;^e`WddTJ0ZQN>|Hf?OwqA{7N~e{mDsJ
zG@U1(k*K=xX8%?Vr<0*O_QYmS{^az0Vpy8I+{LKlQ_X5xTsuC!>kd3xTlHAdB9*!9
zoJi676GwSJ_+}r~y!Xb+XZhsE&)=+Ax^g4StOgt7>52T7k58Xa?7sBEV&)94lIHXe
zyqvqk>)#iOAHI|!_f2@u1%bNy$ur)2HD@_|k=ApcG|~3;<7o}EXB6+a`ftf{sRfa>
zNBXLF`E$-xei!wwqV9nSb5ilaztuMsxI%l(uFDv0ja>dTBY$$Kvgw@jpKJ`?3RTQ~
zwa7&J#DnU**)PmvUkZLX_h3O(?;cf!Wiw*#FJgCi|C=|^e`B6!XOo5agqE7jw35`B
z?_+&qcxxA&m?Zwuu=e`y7M1*6Ih%?LiZ(m(iMiA->64ssY;Fu|S^ozvrMe%cCw!b=
zNcyk!yx?;3wZmogxZ?-pdd@wb_o+?z);U-9_g}Z%Ul_hL^X$C2IevP9F}<Jmep8-M
zk`P+sZpQXVe{cPh>hraij$SVMA^uaY)B2QQpJZ7_r*>0TYJ-*Et|JUj6lXmToGw!P
zEaeq{U5@TU@gpDGTmz4sli6U<Yjrhd*7Fm_7i~6P`?bYHq2%~~!_ybNrFx@tBB%as
z6`yJ2HDAztZmFlZjAq!(B~NSn-s}AQ#3E((W&Y*`SAX8Wyk+aJEn;{79*?uFGHZRr
zW_<f=bf`pAc~<fjk59{gWj#AIDfK_c?H^`>pWA*!PBY?@$@7kom_PH*3Km_44{Yq}
z3%a)Mu=RJhuxou~`()`a$^Hd_+}qe5zsT@O(tfMXy;bq3psLQqxanKnDxEGS2Qpo3
z;TE~8;&U^--o&Bf%JjN5v+k;2nDhVjTd|OT;uqOfG^bUbi_<K#Z(jI}J7$`-UCH62
z0j1Gvb2`c`<raCox!S)!$-0Yg|KC{syp@liM=?CzGUNF?3BSOFv6dA=-YfM_s;b$u
ztL<2&Xz}XUT;)l*|DKc!E@XR<?JdnT`|KgB&j&LT&)od>Rrb7Ih_gfHfg|lKUs)B*
z%NjLpZM(Lfzo&8U^xEb}lbuiRd7(BxRIBk$+2l>Z+w7!VT=q(=)jOAVXzR>L^ItrB
z|NOwU;5GKLPh;BNJcvqLu}o7wW5QJf*2_WvOEM*$PM=!6TvXBQNy_w(`jLw={+5Sa
zU-+r*ybq&Cb0BlmC6nj(y(D$~PFdTDmU!fT2%BMCFq`-3@?<e{_Fggb)%(S?!>3ui
z=5<q#?_8JI!(8}2x^+|FO;t?>A>J2X*Dn^$ZD!81t2rNLoY&6C*>)wyWn1fyj=i&{
zJU@{CbwljbqUwifFOMI8E6}>+q^$k(f;X2%HXf+c=!#o(;@;cp{;<Onzn@FnVCbV1
zU?6=hfcy8`b9)wD^GKefS=O|5@vT6UKc>triAIJ37Euer85AAv?YA#hXT5OJxGKBV
z<8em3xce$+{)8(frLzMWB;U<%EmFH$us<+%bLo|sWN-bZuCUM2`7ia?YQB+7Gy2K>
z&F<&}O(}up&jQcw%bI7q#eUZFw}CT4bVSa2|4ro&+w1zhBWcS=euMd%r<w{G|N6%q
z>Nyv&>Di0Q-M#nvri=Ehs*@Cp_5U+FZM%ZZMcs)X(l*|3&e(swv0py4wk_}3M~w$|
zo0z8E*<#Sb;F@XtGilb-F6%!Gq2Y@%9E0!dv3<#Gx%6)2)gv3{dNM3}o?+p3KW=|!
z^W@_<=KN-HuMV^kk*g2>zFA-itBvX2;)?hRrhClHRZUAu;{IK7yyUg{y8B=KfW*2B
zKX+!7d4&b0#|1k76Jzu8S=g)mcMEI6jbEjQ!=HZ@lKyaH(dLBpTUYmcURJg#PT&qu
z^gmPRY7+0Gxbn$&mB6Dxr&FXFBWHXG)~?@hVtS6q8jp;nEq8xzZ_2ise{yQUocz@N
zhUGgsIr?Ag#d0=$+jEHf%+X8BdsA4Zx?DDX_(9)z%U{1*w^Q5aU1d<rte*RGOM(B*
z`!_0g6zPSY&ia4%W#-jazN^b8#!LAgTk-71cNfK%oYPI7u3!BlFvD(%@1p59-@i0(
zh;$AQUoGU~`@Ub<gEOEqruY<ZQv1us#4CQ2>%IinmaLsqy_uu9!EYN^qm$Z9mD=yi
z8)9o-@$5f;e`m4X!57yWt}fZTcHbP<C;N4iyJoz0w^%nvtS{JS_szxKN3GvKnHMTt
z_sn|U;@_XDmhU@o<@RDhpX)0l(if>Xe#(vhe8}KOlXl#-qu(SwQZyT4p9wI}YB<oQ
z+7q@zAVh21Yq!QI{@nY@5AJeI@|<=4q}BBA41Dcc>vwMdeJ5Enpq$Hm`NmcD8;kb%
zUs*PjXG5*7{PqsFyw^J6OK+UuSUpR|-8i@K_Vc9|yS7cZ7x$`6`F2KH(XNIhzaJTw
z%dG!5`DAW>R>b~Q&0qz;&LgeQyl(q>-tFX%N`5>cc&XgX8vng>rewwU)jnkJeH50K
zvgNegBIWY6g%3j)><g8VWuLS(*toDHC+*S6bFVZ+l2tRW^enq_`S)ut=_+&eZC#gQ
z)vT1$N@F}Hym*@5bM=_Whu}2{e~dkEEDpNh^6t>&TWtqsq~<keeRJ9`9<P2-C;8#~
zJuEXn%P+53&ylV5N8DlCv|~RX*WBIzKK<+DYS!i#?7>S{pEqoKb;-A2UQ|0P!;XhB
zx~rX?mv9Dc+)y%g$xLHohI_(!`bzPy;$HrXIGwf5uU1!d{qB!pZA=%Wj%pb??utA<
z%SCo$#{E795xpr~E3=Gwy)3UOCMmDbmiz9sK5W}xp~ASrkC#f>f6RLow(_^un&^D5
zHRgg>*WG>^rRygDP0wqR{Or)qYiD+egy?+dQI~a!xfgLPjY&Q8+<F%6HoYSgS!Ly7
zc5ji`_?lztH<ifSZich#B$n^J`gFE@XOfw1+v?jZ1#W~GUa^VanyDti5u$R2?{DP<
z<FDqa5551-pJjOeoZ;k861HnTPyKz2!OE!I-T3C>7na`=uGZg*HZqC6(Dc?w`N$I%
zvCM))yB6|p6_9QGeY;Bi;%yhDPOHF>{b|#eJ?i_T!>Po4>^9Gg6W-N<cO?W}&Y7)y
zx}s9eWXf0DXfcjBrQF`hZ8ddei)H+Bmf2+%F|8`Udew|g{hHY1Pg+`n+sYjuuV@o|
z_{8GQT_1J%${EuxZ7aCm(G<zNQ7djw!q@f%0UI+92Te<fpTNlWQYFPx=h3W5wbNDC
zcNhFV*d(IxOCfA?A<K#BkF=Uv6F*u{Ui|+>_zlS)rDrd3?QX6W&)8u0?5gV<Hq-x8
zu2)>p@R{@8G2;x=;_%a53#$Izn)E`6bAAHvI%AGR1DV%G7tgxhx-Q7>T$EYt^MC!5
zO}C`ob|vzd%H@?x9xUM(@we+TsFB!qZ2t_NldmS3x-01jm0r8(wfMy0B(s8lyB_bY
zO8@uh5qm$YW3c_gqlacnI3&M6H``#^7N0ZUbH6Tlpi}zYKbEtR<FU-I^&B=vvu-Q2
z${*Q&CAoPre`jMQ)0_hK!t_nDJ}bg3MZO%Bsub{KGO0@x*xP?5dsDNv=7oLLGI>iT
z)|^s4JOA~8<*Q7WJ~hj}VXv-`+OzO_;R@Ajf4LlUW$hJRc(fL7TIwqJjJw8PMCFs$
zH=Ap_OXK@bFK_-A#^2Zfd%d`3^ZnXW`}zFeaxg7_l)?LK^2Z%pL(bhhf7ndTGR=GM
zQpV=};SPdtJ3BXA+5PUH@r#eFKkYsTKCCi(_+Q+4cXqgtx~o9g;zi#L_ex(+)4v=k
z@c763j5%{&?7Hl=p=nO@gh<;<J(mty-}$1rW#`q7qeVSD857cG9X+?#B=KP4Q`?z&
zU4M^UuFlrT-qgqav|_Q{v4Z(7%Vs~E*z)mU(X?wE4KqGlaHuu><CCjuxU=EljdL#+
ziS|rYD|;yy9ALe*W1VSU+>xf~QfD3-t_e8RKlRFP^-g9E&0VQaj2w5bk(+v=bb`?H
zd&{l18<%d>JkT*y<XCuk+U9@H{0sLjd6{``@l{2Bp`>rza-N@^7@Kph?OFQkMZ+JH
z&y((iEj80#YbE5a7{kYUc~SSP{dRI6=Kq^HXY(Pi{W2Qf!hFpO55};6a*KI=`;^(s
zKmE}jzyJQR&waH@aP{QdO>Zv5M6H=~=*0HKj-1_hkH(Y+Kee8J;LSXVuNOGJcy0Cn
zu|cnFdbLi+`7f_`URiUnHTuu_?FqiyM3PkB@N8=LZP-%lz_4Jue`rhCmgk3~7B{~=
z{an3LOK}pfXyaL?5;g^uRJCb_GbemMm91-By2mw|SN6MaYFew=JH4GZ*f!+4#W|Iq
zoThN{^Q|!Zux5$%_sdd>+kd!lxzt4Gn_d5M;;WvWkPWL`Xj8A$+r9TZRvq>H#i2Cw
zE30#&v$JW)-=3C-MP^suU7fdnW9sc^>fD|#KZ|_2f85=1)`6vRWh^^?t@Yb%;j@|(
z&b_=F!nS!{{`In^TJ@Py0v-{3{^j%RlYUxlU{bx|Ap5rR@6<VbQ<JQ==)5#Iu#oY)
zZg8gVf;hISDXF#`Ji9tIAL!k3Wm|T(fBGHMzbf6Q4lcRewkl=ghr~YRhc_<B+vvw|
zF?uD=*~-olRDWurd8HwT{K?IHb`gE7p3h7~UOC90;}U1S=O{dzp(E&I>w&^m^Z(si
zu{g>yD<wzwi1P!jc^)ZS%uH2+bz?==mTA<@TXDqybNhq};l&@EyLLn?CMx93-fJXw
zW=oR%Y_ZuTl}Z!X|CoM?_?qp%xh=<+?T}vQuHG&o7r~t`9$wuNy-g{)W`fnzb%(u|
z+!fuE-F4^4ZZ1cibN6%JzAia)PHpAN$CH`^RXeIK*Sz-p9>FSY-1lnvyu8oG?iqPg
zv<~jtE|?y$NMq;C8*dl0Upc2-@$0Hmg3~`~k-6#r6HPMrDA(+`Z)SchEnwacwmBPa
z+)S!@{@8NA$M1hCOHVYX9NeG&Pb(_vZt$e*B8Oi@Kl_^%;8ne#M!sW7mVrf~;eW;I
z91Hf^nNv!`Bn0QG>`sZbw)Xre8MVKavF>cr(b*>-d~(V(7t7qiJ4IP~+eQP?Pk!>=
zF18OQEq<!z`0yIf3NwW_c7M`}ey#hzdBdqyD=SX!?fW3r7wcjEOK0cZo9>6#xi5ZN
zWiy>=r`MXN#UFD2tG=GaXvsf)bKr-Ys$v&%HVAngTvy+>{Mj{4rvy8tZ(%`ZB6hsb
zSkAM$<#1KZ&a^&#W{YL*r<fi0*zSEP*Ew1klE=ogFhFs6`9a%u+sV08?DbT(ZMt5l
z^)#1X`D~}evklz04X#>q$jaAtm|6cy58K%yU~d13H9InBr?rCek>?L5CB9h`=>Ky<
z&+-4QpNuM_!=6s>c3b`L(@)ogt0rt;d>dCyZ0o<e_(rGIpFa<0?XFSr;=C46rB<FE
za&5CJQ;)8n{lRx-Tx<SnD(%%u3-eeJXOl6*$>#BdJD-dq?XO)8@3FirbbgEad*AzS
z9nMb4Vh>~3_}Ra)>~5@_{M-!9*||#?GFgR|t1a!%@+z+knWkuTk3Zr4GLZ$mEb^jy
zY@RtmZ_|yI9a7mZ6uR(5O8Tps`hD)N8A>GoY!{vFvg7CadHZ}H82sn;Pk*E;vt>s9
z^~DuC&VBj6ns=sMxWUe^o%6S<q)oZpu$NCmB3Jxxgl&2f+ti$8ao;)i*oJIXv{PXC
z74t)+c|xGd-?&-Fm4l<UJA6tzk}qELj8DvE?|JThey6NHy`G$2HNQE|PPcGYqfOk|
z<sv_mH*TD9?ZW!!Dh-Dwe>=JDws*wu-^q8}Ug*vGS?hG7t8x{W)WLaQBMLk2Y{)pK
zbnal5{GrW7MZaGj%2I!OewB<}$<f!@wH&FQ-_w)r4&Pa5zKPxC+RE6N!Vm{p2kxVS
zF;D%!_f0r@;lz1`q|c@g-pWNXrq&khs8n^(J9y**$D-9rzcTdRf7iKt`R6|0@7sJI
zPTSC-adpqO=4dvZ#fQu}WIx|(C^)yp_;c!>(yAl2T-=k*Ix5)@ocHmGmS0?$cIe~k
zvbZxRe)lq`O}qcRcZSD06+zWfPML`_c%&b(ZtwmiF}=u>)0>g;SZbxPUj_H=UDB4T
zKG)u{fAomoXQks`R*ORBCrg*?c(H!LGpF78Z(h7Sma(Diz_lFv#W79nd#+{3-+422
zE7!wS0&#(zwu^He_rA>CS$RXKVm0HHKNCuekKUhoob_vfV9jd(n`t>YckOeQeJj{}
zX`LSbzUy_RjXcZREsh=Zv$gttx?0xslGF5?n>VMhJY2x1@o(oWi&+8R4!pe<#eT<h
zdjD3-&DHTz4=-iE<u1xl{<>{f<E_YxzvfLo>u@LFoPGa&W_xG5Gk1$S@~v~3s$yl>
zZ|r)0c}JW8SJ>R2o;gPAZ!OR)e0{%Io1sNEDr4p;al`194`aWS-z~gY@HmR=<&Geo
zX>DO&R!;H^buFy!TlH5w>k8YGllxf@+Q#U{wn+%o{oEbzo@TZ2-+~Bn%NeIK1j5#M
zU#acXZL3--=6le@mFq&n)%S~EY}^y4>*e>UW_j0CE#F8V?$3(nCHKxhv#929+u1rt
zD}R6OvU}D`x3AltDzCkj<(6xmvs2Qx!UMsPGn|_mz7%v!51Dc4=dWz`4xxIJs|pSi
znd}~@sD`vU&0KUQJJ{*=yoGUBXPd}u-}?5GQSh&un(>c~ZfCbUH@&Z(nDA&HThO6W
z&0N+ywb>2V<F+eTWn4M2tWkU2zm4Bpj5qSBo?iK2(pl!&66fnBmrVBd-u$!oLt*EZ
z>n+C}W467_c&xd9+K<PZwK-PW{E%<Cv8tKn$F)BP{~r1Nb<R15ogATV6OU@vO{-YL
z{aYcR_{7rV(Qh*+nTlKtJp6xC7Ozp+g+BG;=3#5&C;XpKx3e>9?R_)TSFVMhe>~>v
zmsxoEQ1YE6R>}w7J$HWk=vs8XNpCmLjSiE?tu7WBT)u{dKKl-zyQj5|waCWk@+U^~
zio>c8qK|Hrc{nTQ;n%x7r<%eK$%%7Z@H!#Jv_hRdN6Pxj+lMg=4=lY?@?Cj)@6`R}
z8|~*NY0VM0o2%<C6uXt@c9#E{z5998vQ{3*o0Q8V$=zS;xKcFLO5n`Ps+hO+VqGle
zGVxe9Kc<)%Y?~##Y^mi%!GGIhUkUko=V|O<Jo_U4BI_E7vyqS13H#W^K6-k0;u3*7
z4gF_dYTbUl#k}I_h6k&ktvUOX+5f>kHi!KBPWu;(1tnH<m&p_uC(m;FQ+PDW{J;_2
zO+8<4x^++X;4|5*D*O2FzEfh8ugozIoV!Bg{v%gY*BjpwlUGdQe!663>yOD9s#^p1
zP1+NfXZN!8hwZl+W<Bpc<_EBTYK=|qR9yJmZT-1~1rw{5trt|;FT-Eb@HX?XNl*!&
zGT&tuHKBz<`A>=iC3q9%y96H`J@@B8z|T{A`4&y86l|+Vn*8uov(&#M4>mctR)$Dl
zc5~v-zcWu<AjpN`=?Aa0?LC{f@@sK#HkS@FI>_hG#D3p=P0isdfozV;3_hO^{ysY+
z$+e=Z<lbxFg~``69d9&7|2ThQ(x;0P@7gA{wOs$h!hN92)pM<e6XPD{%n;L8Pc}-b
zDC!;Cs*)*sIb})UpUSHT&RPk_Hk?h-YDs^wCFO)M@2XXgKXGjAaav!>v$a0%=;Ex`
z44q2R>oOVIT<$d9Z1Z*T-SKY4_oS<@w_ehEY%*^XTkGbS4Qm#*KbZZ&v2e-~*Wb6d
zGZp6wugF}~^mWeUa^D+QoqirN@;v%@?gi)d54PL4cuA$Ux!!%S|9=sq;10{nky|6{
zd|t_4+@@Be&3FH@u(+kIP4|YiYsJ$Oe|@og>=Kx}YIR+DS7c0;K;~Khf8AVit~S9j
z78`x8ERVM~->Cb5;n!x@W8cniuWFHKOFnmS&+(aQS-<YtoqQ-`qqy?3W2HtV=d<Ut
z(<a{BXYoeLajMhA%tX;-8QUq7<~{hj<W$x1yM2x8E-laadF0ss-N!EKot-Nv*diE~
z%%J1>et$dP#egRY>_O$FQ;R;`-TY4SxBIar4089KR2wg<2nzH!3SVvc{Op{=#S@9n
z6)nzz+}4s^ymuwmWUH)VJ)PT-FLxkUIC$63@9Y2H+xE7`>*gfk&ZcE@t?WMXF3SH}
z-2L8L+-y56qZtzW;qG0_pvB*r;_H1p)*Ba#rkQ%KZp=G<YpSQ9bHFL%+$YEQ&1<Kb
zaY%AY-)M+fr?m5bZN)+(^-Y{b!MdlyBEqy5#auG{ozgpTZu0+R11sIFxjl*&2P79w
z&)=GTGH3a=KfROBNS^FZI_YK?yHzCRaIM%WzdL85f4-`dF3MEfcbe<9PR{>hp|$Cz
z2R^;@T-P?wE>7NCt2_SIqv$)&Z96BPpC9Y=U>D<EwukElMK>tTjBt%m_iS4<f6mv$
zlUF9VKG?UXIHjGv>Rr(C>7Ds3ld~+l^5?Vri*-qQsl9Kw_h$BO7gp6@u5bB2zfSnE
zQ6Wd#RpiL5S8S1!N@~8%j=AMkX)UX9B{0@IV$#PSxm%xbDw<4sUMVW;_p56nv+6sK
zJc*wPr*?DvRlAfX&A=>b^G$k6`tI2Cs}1(gU-jLstN$(U$@colfO%;`(r;ItZ?CZn
ztX$J?`^C<2-O8i8R!sl5zvxL>)|ah!Cp%9TxA?I!@8@EThZ}CXnRFjuyykczCAIzW
zzeUGncualN<u<SVY*4tIYgz5S4NZr6Pp+TY<x-lu?w4`5Q)k81SF2w6@72^QWb!&>
zz-r@~CZ){ooV&@p_<6&ksCb1NvkW#ZvU%javXoVEM(F9S+A6N4veViHJ3o|Px&8B|
zuvfp+*VzKe{?${vTR%SBmsK>MqxFOAo1~i`{)(#Ym6+#Mrqup#X7AfnrtA;)^Z%qU
zclReh|GLL~?W6?mY<>NWD$`kwcib*n%;#P>XFH3Nn~mF(t)5$~)lN=$HL2m%(<sq{
z8k^mAUD3^X!DntBztZT#;i-wYm&}*S`d0h3{p036wiYGd_Y?-|2JYo#Kf<@Ex5n;b
z+vfw!aqo5~9qdt9)ART3s|n)uFB}9py;J@>_BgEBbTY5pvm^WQDqAm)^CfY2PCj%j
zDVdWv?W}6rrG{1e)23K;Jh*+ntA76(&9cy#bDP(Pr(SvTb>4*!0W++--iU^Ccr?|m
zweQ<2CtuO^DOGsgw){<VZVBE~$ojTq!lxgrzufiS`c|b~=JyQtox1<0XzJcDZvV0L
zsHeZKq34!JiDQf3OD<2?qweecNz!Bv!#B3~iLNg_uTOs<^mMuZ(oBOF<(ran4Hj`$
zsOh&}$})1<`ghYe8`CLyA$;+Ft4&!C?sZLXtZr~!^r37<@BSrnZ1dN!c;6PXcsSuw
z#%31zf9eYNqi-zGJ=i;yV@I`8Y(U2A9kIVptg84OH~;wE?(19;)6azZEWW$<S<&G?
z?fqZ(8ZElE{D*YE)7Q3JT>(e7*)8V|(SF(MxIsV6)7Z*Y^5Mq)a@=<L=N6{#xqtjG
zSLE#3R+BgR{y%YYnV-?*&6j2~c7!vZdLLw#v~H&FYeRXTX1QHYc%Nw5m9OucazN_Q
z#yI`OVH}e?A3klBPq?mffj^G>HMiLdueB48v4t{BdMd@9yW~UO-H!M3ROXA{IJD4L
z!r1tMh~PwjQ7x9wZyp?0>A!s8KieEvPTgbG{YnhFTz@t_?21l4c%}DGs=$#OEiT^6
zgUZ$RF0%Ez__F+G&p}U3i_*#b*^kWK7U*4n5&p|1kx_2lXMZh=)T_4Fncuuty7IjH
z=cAWa-@@dt6em3XTO8z`rB!dWc;D6SXI-xZzmk6J`@t~(#vQ?ylgn1V*4s1l_9UL-
zb<4k>;N9@^lQBm-YxKdBv!cKANX};ZHKC>bqg;I>$DRITi|@={^0vr~)#iGZmHJiv
zwJCLBrwrH6%-d9=ab;SO0pr}`y4rR=<+HCRxEQHVdFrXJzjszwi(~lXM@uvOeBKu&
z@t1B;cu~q%%hNqwdcy08>s%afY)dTCPKvr=s9?Xy$ss!QaqiZwra#_1%HjI9>*tC_
z-{@rBXK!P2n@?3lcsiZ${4Vy~j45(?0^c)rPR_}%Z{}`w3(Prmd5VdAK#)ZQ$FY+t
z7d4nyFo`6y%#CJy+w`E~@;tR=|ChIX)fE1$QqQb%SVc~WEB)w?jhnpRzVV#bkbL#8
zXJY-{Kj)j0Kio=@Y4tYZpD;mu+2-8^o60X2tQ5Y)ejz$Pa{33ZPshXxHvcUS30mTn
zoO*^)Df+4C#qjm#3@c7ed^#tf-`~t;h4;>iX)#SzM^7`_mR;~_l>YS4YsQ@)zU#ZE
z?+ZB>ayZKA?PUfgW8SkHA}&o1%y{A0!O)yPZOQTl4HtW-wojSt>c3}6v23AP%J#++
z(j4L^Hq5{FVfAi{#*0$@7a3mPxyclzd#KIwW2VfJ=?98m^!h{><u$$+JgpvR_C$8`
zl0!aMk5xaHUukR=J;|lG;z;A<>t78fr|T7Xe(TOWSFQH1Mfa1MeoEU9wVv}o>q@6Q
zk~ziJ{P2rDo1R{tTz}!NM>1JACI8kMWuKk$eafVHuD6t)-cGlE&Gl99_gl-vxx5#r
z|I69KvSV)><DHpLI}2|A`M&?*8Sln~nXxPR<5tYe?iMcW6i*lAPwnF|I3FYB*|S`x
z+soU0g_R}S$w=R;3;EbjaVe^Qk+2izf7IpmcZQ0=hJVItua%A$e(pFW=n<sEWUjWx
z(9}Rt<KN7MJ~Ku7qEcTT3|-&<BOqz%%eUV432%0PTV>Rrof#azC3y8;`GSfisptG}
zSvSpNKE-sadiw1m@1od9)v|4KOfLD=FEM#4;6H2XhYPadoxANglT0KR#<n<G)Gc82
zX_HK>S>5zcy!Oz~c-Ovn@(cU@W|`0VvCSdTeA|x>k%hOo|D0aCF<atX@(ZSKq73tD
z_P+UiyXIq1d0^bB8<89G%#Q4jS$6Soy5L5qRWrBAe9V2nSnd7cvvck(IdtFX5^su@
zH(!$KMmD9ywUcyaH}IO8?>ka8$K`x#m(8-OGXdsTc_mG9N-tf$G1d5eae~0Q^%K6H
zS#h5ChQO9S{pM9Zq2CRcB%Ek<{ZUhX@{k7Krloru#OF1AG30$=$+Ci9KT-YOBLR-9
zCZeLgPED#el?1Cc_A|7d41c6KnNRGz#Ao-(A_X$a7uE{5ns92K_3u9OW1^$2e)|of
zmZce&%hC@gZu6+*vKA4ryRqopg9D{{o1Ytfez5b*%XzF%cvdT~`ul5t)#TtxYx(Af
z{1W!M&w`JB(%MnSo7!^X(vrzLT&=hGzj4y%c-0+XS)<a+6w3UJr{mTVf1V4TYyX+g
zU7dPCBugXt?wVY^vK3F_rG6f0wAq;_^SxXCjzAh~-cF4o;n(+64uzGx(^>a@^^SR8
zq|ZM}^nF<5skZd`tb#~;&!(T3w*5YSE#ilNd1U=+rkF1OTwnRQdUI`0?6><U|LRkO
ztZ3Yw>ueF{W=soy(7V4|K(Knt&$T{f8<`CIr+k}n-{miR<5f@P8sX)Vdkm~at(}iJ
zS!}uRBbf6^K+%TNvpKez&&&&He0hA)0hQJI%Coa0lG8kXEI(qd5#U?RF`I|uz?37V
zYg5Yie`2+fJ@)5P)$d=P7d*wSPQR}?oR##^kKIncC@|dm%F;Pnr%UgAF}!cT`*Kfz
z@9|~Y+Y5}De|KB1?2?u)HEp|cy;19#_q9$2?MME`2ComQ^M1OkaP`x<hIv=hxHm0e
zUVU0xdvcOoifpc8Z!D_|`+l>6#y<g@I@dQ?FZEx((x-au%8WBJw6h;y`j>U8`(3~`
z+r<+fdUW#5&1(1&sla0OZAC^>vhNR;jX6RuKCkQ4ZGX!1Rp9pA^*!-UU#%}D|IYBg
zbx&zZ^H#>t;%u*Pe{XE_+Fi`A6dlqd+a2j4^28^@<H3O}l?O~u4v6L7-MvU6nalkh
z->fN{W*pdfK2)QzAUhzfO23{hd4^}fd)~`>rfa^aC$SXw1oN-#GTz;0e%1Er#I9}n
zmpL{5z3*U1WnE_beU|%e9e>X)f=RJgqUV&ZFkH^z=+AWAM}FGZ51%X&La+Y2ZTwEw
zsB3RqmhXz+v$UG5q}F+@_ba>4u-5lLm!%D}f!5i3`m=YH{&btAJ>`ac;4hn=2ak{L
zt&Lg1*QdI0H|JlrkR^hB=Y-OEyC%J!9~0_f$IjY(b<e8R4FBrf-tY8Q>VGe|O#epk
zS#1u@(2$5nMYCtH%@oou@;*`cnt%DjutTj2DtO!%Io%88GWC=VeO~|L>93uVJLd0N
zxNP%!hx?ARU-{U--j^IYF(fLnK>DZ#hsl+1K6_H8e(2fotyPM>tR$0d^@o!S>|Zpf
zznhr0=KbWn{Ee-(*ZRUFk^}taNzQNZ{`=$A$}i?;SN~V}@#fFObHCl*WV5)=@x9{y
z^Kioo<*CeG>$B8+|K9h1>3VMF?fzBjx$Zxl_L+9d|ET%(%qV3?qebIfop}ZV^$UBR
zE0k9yKl{5!_6`50xF9`-_@rjJ$1l1PO5Z>6XfwH~{(tfRCRP7~?oq$zxiFb|TLn8*
z-kiv5Ir+^Zj{Yx|c73MDci-N+lu!JYo)VAa66O}3$7)68u9l~hFDw$?%+?ki%Ih9g
z{;-x$IO`a5_cMpMz^!5XwOp5Q|B*>Ea$UIa>Jy#as`15(XKJ4e{B=!jb&^lk^kmQa
zr2m>m%I91Yy&}^>epjcfzDpE6cgf0gb9KOL_xrBV8jQ_h8Iva+w-G#H6Svr#Kl4uN
zXI<8=spV^&d8+GE%rj@3)~;T-p7YYi&mUB_JT2MSRaE)5zG13K)t4!29=v^JIcdMb
z4$VHvHC2bIl&`JextV)YwP9B5@$KwWU!HpGVyvTiO#gxFt9{2^ZD(;ah&?;sAHZ%a
zR+n^~|9+u9yOVlWf~@M@`%%Hx3C4#iOW2p5SUQ0@TPv+4>7MD9OY%vt3r))%G<IJ2
zmGo3`E9)82M~d#3^!Yngejbg!QJs_fLPmvkZD<99$=^*&w{ASydQjrUfvXEmF4d$S
z+-Y*CVfOM*-nO^ft2-Qzc>TFv_M=FI|Ih2Lq)lRuQM+coTXR3|$onl>{u@87F#N~9
zHCX1dqzOCoyN40W|4iS1ZtIJuawk{K+95wtO<^(Hs|^410WJkqzNN3{%{*^fexpdh
zxNpLd$;|>MR@c|ter)8i_{pTwy~Aex&INNO=DI{$v(3C=!C5HbCS;gqc*kFv(WYr#
z{N~n;|MeOs+Z0tAu6k(RXS6<_K`l>5f>CO3LTE}r?c4N+J71UeUfvO)_&j5;sGq{l
zl>gc@D_SN?^hoVZQF?M_!jm(*9mBHioS!fGxJhxfYvTtK+wU{?^SY~iU%67aV`b$N
z)~{)Hw(HogL=~MC7M4+n$Xz6nTHkcL@z65Ky*`aIx6ICtxF?>tE0s~_RaJw){5>}>
zSJv<I?-tA{(0a|7YsULb^~t>rQ<-(XSSGjker0|rd~4mC4XqV660;7h(l3>foKT&0
zYt4d22~~$p%c|#vw+Ozv{YmEL^hY~3=<iRP^dfBw|GACKJKff4ow%Bm)X}=i?q^_d
z=Pjl=U90@kq*J3dsIJgln8@9b!*=L%XVIU)nYu+<4G-sW%Ixu#IaakpJn+XWuW1gd
zYc*Q@_O{!(yDFZ(<h0^_pWaGd?ttunKKG97nG|#4tmU=yDYZ4nk7pe((Nzr&S<@L7
z!m++_=jsL3GdDh2ed3tW<Na=TW|&#7O!xN8<gcH4X0K`YkMlp)Tm5#}FE&y3fN6xp
zqF=oKn1V~hW*+`3R+isdF})@4$dAo`*VbJ0%s61@%}}Zp`7`R1!ZQng<G@E7erKM&
z#$)!hdqqfw{=-Rsq{PF76e>2&Tiz<_yZG|?Z+;C<Tgvt<Fi%J^HJR47b@i;$we|+R
zQA}DoK@Ot#_nUr?4s3Y&{MwGS?)#4E9!k2QKY!2R!*iMsT)y+)FFAXS_Ed(~rl~Xh
z_Xr3F-_wn}SUxdQM@8oAKbaLjE+mI2ZI29D%cl2II(h-`*-uk)3gng_NK07#isSgn
z`}TYDc6I)FQ6>L%_Nn(}XZ9|5-q2%ttFL;>o-1)n6Vt4ZFWjWwe^(`hd(*K#nO6$D
zEDj5-So)f@T<2EuFF)NmRp^b|_wT)by0`s*<5@C8h_SDRKhQ*JUYf_gNz2_ls^&DT
z+NrzsVoP%9lLdnE&0Pl4xh4M}?R;dep<KUW+tTbMpF|lCeR$dGa^u^M4IckqA3xs4
zw%vJW%tbwkzwaI}v|V-S=@Gfu-#&x&;{pF2+0h|<!at&-IA)yjs;fy%7JQ>te`GSh
zsO|fZ3dI?VpM@@X^vTN6>Ay-=!j3JaqTCl()mvXa^rLTmP3wWOsYm~$<SnePPUpA2
zR?f29xOmf{;DRTeH!ph%^4h&ydd1<4VakGq$v@WwtzO-JbJ66er!wZ2r;o33>Wgeo
z&(}Ee_%Y9u_Giwy4NOg5yj62rZN)ZjTKw<ioXL7$g=!|Pzx?~~`PB=KW#zb5#_FtA
zzCDlo=C&Uz&z@S-JDYE!yz?Z^wu7DDuDBf)53Bhaab)p<0<*?E=P!%1Z!h_~WVwv~
z{2LRFtNcleQmebMDRPF{pA+15{P~f)Lf_xrG5hT!-S&6`!Iz61*=DTwD0W+`$Kt$n
z8AId*siIU?=ft(ISe>6;y-{tXy6f*8>zG9=45kM!e=blgzT9kj+L84W-}!woiZEJe
zdc9`%zEg+3oy^xUj_WrndpEZ+@gQ41|B^NBVXC*pUb3d&%FvwDwYg`-I@Vns<(roG
zYp}K6EjKDpSn+l8=C}J68poZL?@AFZw`%wBH}!q*c0h^u$$HTv%Qt(*UKGB*ML)jE
zc~S4h-49n@s8)D9|JOU2+j-Z1JUK7Keq_}$!xy|$uNX?sEjXrkYpRa^ge5h*H`*3B
zZ(SPtF5q~Dp4E*t_aZ*=y$F<;7t`5T-E*Z-uyU93jM#i*CDlJQ?O&Q%M6B9W-X&OG
z_$RSRZEp|Hg?B2>GtAa4i@J9Cqx44STa&KL>^H9nlCq!pQ}CbDN7v|t>~-zm*RQ_w
zc6#@r3!DK}KU#WQue^!8vhdgI^N-K__s4K4?w@eyVCdm2dF8OKjcV6j7hcrJo0c9C
zGpVfV)B&~y*2!FpJbY}k4lCMf%?)|pqwCh5%sxkSRbjQ+n;FgaO6PiCsC^HbeQ&dH
zWxd$n%30UaSKWTC)l#EXW4ADJskGMYS5KU!8SB>a33|t`nk@2qb2yvow;o;p$0uK?
zE}QeN;>MaEl|DP`KJqedYT`QldwJj6r!x-q^_BXrRC9Q9gy-MF`DL<aKL#AXW$B$O
z>Ud0Y@!QL7-HRk2Z=c;gX?w}u%DMkfR@kUC??05;SNY93SnP?yvwl-`$-gIjvid!^
zUfy}*_FdE3;F59F9qEn7JhI)6vx<0x&Umr-ja<_M^{u6o7I8eNKk-(~d)1VhDPL!;
zu!w7Tb+=CI#PsDKCNxgoUEH2!b>h&3(*3m$xCOWlZd3^PJ$J3zlppRV<HV*sv-u*~
z@ng=zYgOTgJdV3=V7Y#XO^b8quH}pSE55iK@4qj>7an;e`2U5qk7KSGDrq}r#B%od
z2H6+fOM7}q@s!v+H5Uo5s(BahJlXK-aAUKO)9eW=Cae-OHM&zNq_9bG;)l}OMtvD2
z5vx<Pr@a^PPs-y8-J7{v`yWrlMCTJ*%d>mm9-2`b`st{_r;j!o<~5TpdwU2A>Xp{q
zO7mp-bH90EdxBoWsxMk=`;UnmKCrkQSevWRx4fjmL~?1LV$a<#+<_UNC8H8tm6r$0
zufF+w-QngRf^5HgxRVpENKF=hxN5!YV&U8#2Nxj+k4ZYG?#A#hOZ~F$#%+(3qqkWf
z`d;F2tkBw0U{@Tt@C_s5T)}*<p2TRM6}xVvv?eO960!_VkyFZaS;hU(X3Eh!CnUR!
zRTeHg%cHhy&Xj3|p;ob-%Vj5Q^3C|fn78s_#JBD_SJOY-;!Bge$g1&3gHwv%Q24Ex
zjJ>b+<{$IbesCDn-g4PhYdfXx;=KcVU&Y$E&2kKvtD6<2b$@>H{#WOsc&g?sRMS-B
zKI&;O)hXvk>b(^@g86E9lV&AYi^@JNbKR<TDn-`u#GY6Ym5-l!TirMQJ@|ey@0;m&
zcvpSkmsR`W_l{M-Ch%=wbn@#>dWW}ic|TivERVIdzP4DxTJM2#i|@Yrh>*+YGlL%9
z7ZJN|vYKu2SHHY<A=^B^|JMF}?7d*bij;C+qq84fjvWl(`=%(U!Sw5=Mhu7gxjoCg
zk{xF~jAE@y?XcBvJTUL#yRiMP*UuH)ZtgQXv(LA}@Xq<oTliulikylMCKXESv)Wu`
zG@p7_<m&uGJWk>nkE<`~ZxA<`ygo7A@`>DrI~meyPnq34yQR)~tQ8B|WpiHV+S>@0
zfV<uIQl3AStDnr2sQrIQ_sl}KfCqgUH5vz<M1rqxy!M}Sh2oYAQ?ma%I6I&FTrV^0
z!mOPC&+^}z|Lyw1$f>a|^Kz*11-|!l3{PIV?B<$ymyLJtKMCh=bJ!}p7D*K=%(<yp
zlwGxHVZq$bw`1LBeoG1H71UgoUUJ#YdQo>&&epU|zx{<2)}H&Y;q#4#nBB9h+2sD~
z7IA;Or~YKk-4*V&ZgpFKZ@u`=x9#f<bFtTXKgvw^UHq~Arnk}j4TTPq1qx1CWcs%{
zfBZBr#n0m27Qt!zr@X%)etgrL)yh6^7F=66PrFlhYv09}ao%oowoUN%mN<6CW?~v=
z-yv7|aMw+@x7htTbI^I4@Y1eu_iL{crmR2f^4#dt<2r?{j~$$*@h#LlE*>m1S0q2A
z=ysU3p!v=<+2s}8FCH9<;=SLn{-4MGV^uLvu5M)95g<Ne#S5lC_t}_FKVd3*=W|ol
zn7w^Qp7n~@6;g|gSk<SW^V+K{&LZ-|X7gX$Ml+G0PV4k0WuFx(sV=*huu1gWye;)s
zUjKgo-Ih?ED&MJiSZuq`^G=0Vn_|Dl#@?PA{J}F|Ldn$w+F6SB$s&dg%BNBy1SPMX
z+h!>Gwz(p=*7nluYivHhTy!VCoU?q3^U2eeUQavB@4mZzcSrdx^Sj>1R;aEt`*GcI
z(rnwGH3HASpU~{O+B@HhC;E9O$Ns2xt|s@oofnEHFPrv#kxhqq$<E8)yzSk)Pd{uj
zpWA7t=>FNkSo~o3*7ruM4;D4%vPVz-n6k_@REGP<jwk9|&m<$9nz9~?8=E(+;C9ZO
z%p&!B<Alx`|86LGA6a<3+-#b}xAs+U_8ptCf7P~yU9;LeVh`8<ka>T5g@sAdx5lDU
z=H+X>7a2MT-<*4hD<WdHR<!u@g_BOR-sn5C_v5bSGv{_WZGC0=;c$BM-?S?=+#X%C
z&hYRY5I>q8{ZwfGT#GmLQtnfYyzj=uyxe8L{L*AIzs%7?5B5gu?Aj+e<?;F>ff0q;
z{duCxWBpxys*aT_|I%Ki>Sd?+)#A2_ir&+W7bXe*H|L2s8j>ZP;`?+_h_+(q+thpW
zl<VwEx!8mj&s#n%yK9^G?2C^tYCEpadgZQTEOPF0_v~+%i$jXo#h(8Nuex{af>i&J
z|L3nSFqv&sH`CE9^6nC!#L4y_!Y)Wmxp!ll_?6uHE6ZY9)sJ|woIb_IQy<iIGpDyt
z-DYos)AUm}_U>xTJGb%Vsyx$xtGmuG3$lI~9lLOPvyOCO*}d}toR6REIk>3w{-c|w
zuey%%tU9nsORMx#{mRQ*mq{NKd}0>MxpLuy{G7fT{hkzQ(Z)5xLPz5agsUT7GDn{B
zd9Rz{Rw3>mw5DNCoO?oidJ-?s6x|<Ao4Yl5*;O_Lzx2qNu-%F=xIt?c&kD&Um!d9t
zuJeAMCI7bSt%<;|hCdtqr-n{p`k?$e%VKfyt%uXhj$G%<|5yL_!&=7)XYYrFGX8oW
z!r{LEi~L60=Bhh$ox+|k-c%BC?0urCp7N(MH}}H7UsF$a+pVz7cq4e$c-~9y9sR;P
z+(ipEciFkrzn9@!XnTKaR8Y#Z#WSV)q`npA1ST|IGJM=5!mIpkLOScF$maBj;3Sou
zA`_ZEPZv~I4J=u`d&knR*Wa#tSiF)^_@}r{oNQmfslO&0>O5SH-%qQKcyfP($c(d=
zyHxJ3);ti$Bk*|tSEUyoJ2Ni3I4xJR=oD|`Qwx|iZ&OIyt?te(4ly#vUb81Qwq@U0
zJ$**gn{6^{rW<ACc+U@d^1<wrRcx2<4Y!-UmoDheZh6#ca3tx`a_h%}jBBHBd6%7Y
zHgeBU)lJyySn<riug*5{@wNr;H4eV*-@5mdQ|+ZacP3c>GcH<R{Ke_WX9dfIf@LKa
z&sId+9GrjPhsS@3qL_BGZ02Lm%UqY8yqC74@y?CD+qX`g$<|(R?dc-9f44TyJ*TS7
z@w?^qqrfG$T~qQ?dK#;AHux2-^jjvJ@i8(n%<c8T<3fS0InR!Wt9HrTOip!|^tmd(
zz|;KjUf1o_ee-?SiliFWPr7uvw)nX0?_d9=1)NUk?iCK*<)oOW&y)0x`_rKs#g!8~
zG!LmvE}wFAiqQn|s>Uh2i`MA2zC8La=lUF@knIb_Hh-PR80497Hvd}~KjZO@mz+g(
z_}4Zj^N4KXo~|}KCuZ{etv^ky8O~`W8O6_#|1Q##le;&6-lk<2qgt*XkGt%f*v#}T
ziTk*M(Hl37|K3M`DtP+M*$^!Ed(H)Rbvced+h^3o@fLm(w)z*cd3H?p#nWG-*LE5F
zcMYj7Ie+=y+{>1W1#iax*(UM*$wrO+({0kecUlXdS$rWn#^X(DLvKVU=M}q8|5ndE
z#r<OKPW}H<7yc9;*!|(Q%>1)+7C8T(If3i`{8L$#ORwJ+opYgo<Ixvgl@@$k75{%e
zvO2K2U+rMI>c(;wq0Lf!j&pZg?y9_86r0R3BO~?c`Q_h#%1uc2yJnETX<_G)u1%}_
zW8}1UDSubHVD&|z{#isw>Y>8@=dR^SrZ0Z;z=Xxv`o@(&XWe2>ci|cQdoR68e{Xl#
zW_N-2Ns~DT*Uw_on6uJZ(U$MjvoE2~S6<s8C{c09`I?om)Q_N_A__m*k3AApGYKn;
zd$|9L8q15NU;67>pQZBL?mPI1|3p^x-81tV%WBW?Z2Q}Ky75ENN?(;7K1Li5jI=(_
zXq+kgujh*8<CTsZ{`$Kw->kbV&}pf6r_ZNF+!A%K*B{L<tl(@i{j9&AukhW^T~qFU
zefEM?HRD0#1-X~|6@)&Yiiz!0)?uFQU;8ut*lX|jD!~ommI@Mc&zH~n%r&z{R!sew
zciaPOcLQhTO8p(n3cUnghVE_G)_8f5r)ZmI-pA)Jek(1X&-Z4hlV8%t-^aNb-$@%>
zoi8a=yI=Wv88iFQSE|XLn{8swKY6e)=SzgG`q2c-4$)c$T{hEfp`UYjk8$aD&OLVY
zVb1c$3Na>DKb=^#799B#6r7nBEz!-Jz2a5s>xa{Y(sFBRwwH&B6sBK28dP>n#wn3E
z^>*^MiAmd5)yb=rpACLtwBw`B8a?9!C%kgz*mHS4RJ<%5eaVh7TXDtXm5NI|6sDC4
z%{v_=ka(+TyXa#%`@Q@(_qV8ixmZ&Bt=eSDnZh%c>Gqd4*OXf~y|jDyp>mG1$MzV5
zynxTMgtoO+E`GRb+MPh5MOh}Z#SBuuZ+6YwCiYZbVp71piciveCY<Zal9qIi@Q>Ji
z??i?SpFo2`o$l=CpT0~y(dMFX>0AD+U4K8sy6xM1XNphc(N6oohYbB;31T{d2~~GQ
z8dqJ>?_PiLuKKlgbFNrEk-zK063P4YVzvCc3EgK;i#hd|P4!JXke_(^{mpzU1xvM@
zFR#3gaIT3s^#9Y@5PqYrdmdyyDSsODbAqY(G50mc+s*Q7Qrs`h(WstvUg^#LJHJ<O
z){5WNU^A-NCL9`^JvCWn%eEcUOmx{lr^zszJV>m&__u27dGjMnywaKOPMuVB@w&`q
z%bBUE4J%&Hzo8(nEv@*>gf%AAd0WeYwB+a3^Z8s(ZGWD^{(0xhD<=!R?-n!M>PyMC
zS#)u&P#XV=BPKPw&qR36uv*d<)svIN`E3JZMwD0(r{rS~HQm3TW=Hf^s4cFL&Pa{e
zStZ`D;ytfn_O5NyjPl}Jesol3aoKO{ezEdS*z6PAw7h<u`IecqspZF%l}Qe7wH!OS
zeuciMJ+txjU00z!*@>V3{=d>0wS2$z`K5n<d^^c>Y<b2_JDmg;froLvm%pZ&NqjGU
z#Wmrt{q?EG)2_t|PriSaOC!4T{e1B$#{Xw`xiRJ*$+dXp9<ai`Bk}z#!P7EtIhhPJ
z(%XzAD!+X*+MmTWQGChEu$_8EUqXvLE;yD+3Z1txPL_MFpmgtPhGPKl>8D3No;awW
z!Y?Z|yXfiOHAlC8mU|V-_=vH_@@dGSC)zAYjVJQcR(IX`*)BG>xmm<Nbw}{!8u6n`
z4KsWDvNcR3xBp?8y!y?}%!gf<Tiw4s&fRRI=x}T%TeqU>=^S;}3U%>gW}5?7RMce@
zaTXl8AtqR#cp#g<WlEj8`0e6DM}5y!zt%Ady8fk&Ppv3)`Ksx&=WbYM9`NH}`DEtl
zzkEyofATwDs9?R}{r)A}Hhw;S=BrUzN8FTm6~Ff-_!SAS=s)YZOk}nC?c%tJc5{8E
zsxHkwcxUtE`KKHU_w869{J!Bqd)KzCwP7`%WTgHqHxSQ#n(8uVhuJN|H$`QQKa*42
zuiCW9+bi3MPWWRnXaDb?t5=ruYiImCl3xER=XL%3_G0I$;d{EpSG;<`b3QSy^~3&O
zc5V`j&ured&S}@-;>!o+8_Z%=YW7V&%<44teVzR?me|F%Q8p~%@0s`RJzq0N<e=7H
zi=Im%|E;#Rofhdk7A(M;zFU~@$K9)*H=S56UpCWxUD0oA`v1kwwLcw`RtBBEq{)8q
z=-kBro5C#}I%7KCJvnLQ#K7cZz51Dv{;h3kI%}$yF=U6F$~axJPkCFr>~^gM_5RJX
z0uEn#vtcWv(aGKBK7C1#g!T7%^cJrBwma!!MAXzy-;~t5XU%3U6h5wA>%06CL*n-J
zd`IgyUw7l#;PLABjSuZ_LRS2p5?7plaL?-CukvzPbxIj=xlw`p4<B>hsi87|M!n@D
z?%4_9uMYJ@U0BU9|HicC7kxvQ{JeB|`+hH%{XcuycZo<wW!uj^{j#g)qU|~hxsUHY
z1@=|;c`ROg<BsQRW_|OpS#3Y&-j6+UFR48Du$|An^+|s`SrT8wsc&2HVdjO|32Cj?
zSM5`Md4&SR^O)zAS=eib%wQ`F-tJYsOY)R~@_9{ryQ}l=%@Yn$3!YH*q_A<0%a3z+
z3zC-d@vgFE+#=-9CLg%sVV;ICcaQE?iS$^(w$nb}q`y^K_AZ;<xY<a2VtBc#!WX|8
zwQt`Ev$}PeOcK2l<7~BGqiBxZi`9`AwHM6YwC(Jv|3CYb#jY(mbyz%mEqhte$|Cnq
z`H%TSO{2GX&aYGJQn~UkVK?Xetq=ATG$}OgeLZpJ%|p9Iy?)xuOs-gy{z>tCxzVME
z$&F9q4m|H!_r-$kDs$3RvCgG`r*MX!eLd&plT$@`*Uw+u=pNLyIpvnnQdOVl;m<GK
zzxL|UolPxvYd6fcdSkDCmV4u&xjSC{xB2dD+Ze3GB7IGKZ{XDQo#w&qx>^eQe;e(l
zuju%Bn7=4}#u7V5UFGdTiYIe}m)7fcMNe5)XuUFKqU2`1$dk9Nj90fFkBT$cwqdsZ
z@)L%?rcPRNRi-9&-m+AKV^&?y{+`rp$nNO4pl;(=-x@a|w)kwKlr77Awkrk8zhBnU
zs4Ben^0(Ia8zO7#a}^qEE<dhquXXmjt*`uh;%A}7+qkFf-+DJ(uPE)f>F(uI51n5e
z)BTi9aQe(Wq7$Egc(4CgH0+eeMfYuQ<k#<7?(=Y&M)8k5$zQfiTzzoOqg6Fiot56a
zU|ntZJD#aWS?;TD_r+r^tZ4_Axu|)k9VuQNQ+v%+bV@3Z*^34H>{_lj6h8X-tl1%Y
z^T*(J-JK%8U-qxQVKqB0kE=v!#_wS5z|=K-ACH=9clq(p2|T{hpjv9O=H-$~&7($Y
zi#M9-tl<;CayB8(#6Dt?uCMex@3g{M_6pWj??c2yZ)z{xy^!Uvri}KkDc9b5%`;hC
zC>QFuMZqDPdAgAC(FR4c`UioZuBf$^*hNg>eP1JVuuOaJ-wM_T`}3pxEsiSQJ;?d8
z{?P}n#qOE6m9E^kcyv%Cr!gTQ^Md3yp&J?h`&Mo@F$mlB{5a>ciJk%eySMyQwp_j6
zE@#!cH44|}JX>jW;9<<0#L`XA-%h@`Mudk&ps4eguzJ+5r?u-pZn18d6eKq#$Tz)1
zKI>s^X><6jwtBnlygdoom%CjPr>89Z@HhN@Rmz7CvGc3WhCf=SeEH5RmdY6EW5@Sg
z_ueHTWvuh(?tuxHKgyWyc`N#xwU>E!(MIh*T}d*hW9r_U_WufZnj-9QqMom9LuzZx
zj)sCgx5{lEXk1P<n5(Wef1jd5#m|V734JP4m|i9Hlm(QtC$76w{^N(cc`_HvDNm8D
zmpS{L(`%f4{<%H6cVutooNB3eM!|=!EZuo>@s3?inGfA(#=ekKNq+Z<&+=kxWy?06
z*y9)XiH1$tsQBmFh0;5(+n#6UD_nZ?=X(9_cCGvNaa!jKg$wk)oqCv8afmyJ=|*k2
zx3kBZE$bdFI@B-qIK*_X>Q;@*MgR9sUfW{cYS$3jb^mEn#ln(GyDb(!J2nV4{$bP!
z?9RUMf12Pvz70R$xXQ6@$W0J1(~*xkZu)A$6^VTpIxnv|?iGJQf2E4Akno==$r~OR
zA5D3=^2GX2w@rU<UKDnA>QQHzkj4Xl7`;wK-#_Q7F`-d&Iq&x05#bSq=DjU#Cq2y`
z9$D!$H9h?&i`@N)=Wp2A=d@(^#hg?#HlA{F_WN!B{;%LazeFHezvxNjl2E>I_WL*1
zT-A-5p!tSLxqrXH>gVrOu3j-ys1!F7a{Zm4y30CP<oF8hg8`hP7e5(_EW6+zqx|Sd
z**hMAr7K=;*--m;O4v!K+MEB5+HPFUz92(2gXi$)u(?4;U;VZX{M%+}TX5I<aI)sF
zZucA8uUYTmcpI-JF38NUuKwbc#a6qj^yjl@H;QyEP5BtSyZ!hj&6EE)Z>H{Qdluq2
zS?8&@)*Qa6Pps>@gFZf=dByqS@f{nr&K?n}6PS3@U_nTjZOH^D{To5Mcc-a#9Q#pc
z=P<({|IS@UpWs`*s)@ehQQzd>ew1pz_u-}Yzno<c{<_`RXTvww=W<QDo7qVheT~1p
zEE<naWtmS2x$=}LZqJhQMnBYl`EcFIXjIXa;f>IJ{c~18)f|2u{+~BC&XnfZtM@-e
z+TY#JCuQv$`{^2!{W%qDQVKW{mTqlK(s1-TblzjDcx2gA#k18?3^Q-$?Xg(spSO6q
z%am-D)|{L=^?R|e#P=B1g-Bn@+A?MPtL7^fMH|+n^?i{)dGt}{+xr*YS&!;-b|m%W
zZ9O9&>-6!|!buHJY~9aY?0zx-LCiG{-KKr5j0Kv%K8e~!ezCe0XIy!pIi%>W;HTF|
zmozQ*F9<oa=C_vJTg$A!8C6O1XR8@2|5>Oqp)2lx^(Tu94c{{y?+Iw!-+L*OE%@$&
z4)t!?+f8e~t#q*sT*vw3-UpE^>5Esr-m$l{cm*%l>#o)1>-1UW|7q2+*oM_ka|)d*
z)Spf`?R%A>;Op+S){(0pPJJR;P_oSK#x<2CIqqj)>|Na+BbfN{Y=em4HQCF|A=`R4
zA9gmJB=Gy-w16^oCqBcrwna_#@kMX#v`_xX;Ld)3QSFiNyv;^xRZ{lFe2=G|?%4ds
zAz&us-MQR)p?$e)Zau9LSeVk)zRmVvct`Y<9ZXJ~a}$cL{y7xh8``K9>(gIxZJT5E
zoUPlg&5sST?^?uBe%?PTqUL6AW&W(QF;7!lD`&3SaOlWd)hAEB_E;rPSeagPxU%E0
z;Uy1Ya}JLWZ+=$ROkdE%6tSfCU*FZ>pe51)n@VT$WZ9^=EH;_@uxh@Zlx?ylt6O1y
z+>bbYh9th=g)85%94@+1Qf1S|Ami|O|J&JHud|%FQd6|bF|%_<Se;MMEwNcKJ1r)q
z{dsX@YUC9uuUw9APW8_{_!x`KMLu~j1O}KazY<cl{gH&#lL?kq``DUdZ67RS*yU!o
zNTv7HlvQ8U6|Ge@3f;ElKIWNyEbgw(bdTJya)*+sZ|)oZ`Bf3W?fD;eSMJsuGJf}W
zCd}>KkuGpa?{rGbmy1izY8;7}y+(fL`>D<%B}SWGoHG;PSNPL)U<Q|yR<(lBNmf<|
zmc@DEUT*4X{sHTkOucbieUHP$^Kr+|?fMj+Zu#`KNX>)($URk}pXZpr<&?JzaPN%Q
z+CSM#>WBY|ZK@X8oGndmY5!H(m^ZUNJau|euxr)LwcUnHcD5ayRj#uC?3Y|}E_Jiv
zTX}GO$SKJ=0b35|CSSkF5Y&7vs7_*=qb-MB`sOK>!985-w&cn23Gdo}zc(Xp>!cgc
z?D`i89rJXOp1JVxdviPghkC}}<gVX3-}Km4;&j$#1v$Gw^*5|1-bgJ!?bxu@dZ&fZ
z3ibOPTLf-SoB#LWl7>hY$?26+yA3w0eYza-eZ#4;2jM~MSF(IPdXj$zv-+g=7{SwL
zCs}oi&Whs^>JB=glGoCddVa<`Yo!@sa?cj1KVGK$=-9_4yAK-1Ty$X*58hjm;P`!t
z!@-<o5`R}D2ueh~7uf1KUvv9e2_6@2yUE9dcJjxs4V>MvBknR^@cWRe%kR4;KYC)C
zv-3jxrYAXze{?N7QJxTKRVm!gBz5?KPZ9e!)0Y=6G7CPw{j$$*XFwD$UqO+6gTQ_M
zGc4`O+rOMzsJru*kK}d9OOxv(KCU)Wd@W_8!FF3(=!wkBWfStwRL(zScJ*~#{a-Dy
z(=Sh^O<QnQNX2GH>AEXsrN!AbPp6)$esRI#kMhr}3a%FlEe&%X1}@=xUshSOH|X%H
z+cp2Yugk6sJG6YgnXhwB|8kwad!Ao**QdW*U?Y2Ac3QR4ZQi>aXG(H8HfZH--V_~t
zFs#=rR%F?cRW83Q*Im4Q&TMwqRrfER6AqPn7jc_&J<xPBc(Cq2i`UlDgxk+pH_v?d
z{CVkz-G{6sx7~Amo58=&)yrtj>Rk#fBEJlNHqPgl^`B9?HpsA6L8IDCY_-Z!W^eJW
zds^~-%qTIME~C5i;aUCY-kK)+rztD)4{tbs@z5e3@ecF1&4NNd*V^)1>{{t|@6F<t
zi&neR(pz2sPxN&8u=U%E6`QA=_+?ZjHr2q<En+##?+?|1+Y5?k@4s^PV2JQtG0U^N
z=M~JA)_HfoJFEZItV5664LJ*$=1Ov<d|edvda;_m&+L~wuJ7gFn)QsCr)Hu<bz0^3
z>56@!2j=Tqz1+=v@yvCeCdCPA4}Z;ytDSs2Qobq1&$@$0*mk=38o8_7liay4s}^Ov
zY;?OUTa<N(_r{;U!KXYlCNQVHcu?cbz>~kEIU~zG&APNWS!!O;rh7%a6_fRrm<ttK
zZHYg&|BL_f)hfkLX9dnXw12}3(}K*h4CBg=_S=^>=g&F$rv2pQLwmkDpS~NAU=;Os
zU9=N(RJ@#D@I~S0Tek!#dcSdbInB%b;4k-0vvU8<;5lf+XCJ%u{%+HZ_U{ck4FPkU
zXRW)uF#M0U=epaeQ>CK}Hh=i)^TRDkp*dPxZ}aopI(8F|DO_*j5YI1NG*N!}#Ope#
z(N`@V_ymc6zZJK4iR1&<ujd^34S0HQw_Z4Tq@bd8+O}CzYb*nF-$+DV5OerZ^x>+c
z@AFk(SEpS1oEtSYF+FnINsmi{=eq)DcXYZt%uL=@`{{*J&2gRk!C`k+Rb=P~2^p$e
z%I|q9bAR8xZNKI}{=R~pbGPblZ(~jImQ}irJHPPhJ^mrd#>_NPZN{Tty)!mWic!tx
zIIy7ZtKtq7Z!Widuaz}VEbd0XKV9(R$hVpI1^3u#%*seAJ^knXu3Duzxu@qG{uF9f
zlyhdg&wq*ak#3f?UDx_qryjd;YDb`luy0l5fz^^SOLaHZU0eD|C@J4U`NP~b=4BgY
z7`<Qf?r)rJc_%KvWT9B!Y8OR|%+S&UCu-8~Pn}Sve^tE3<KEWE*%v%m@76DzY*OjG
z^(9;Kia#zYfhRbp<T={hdpYmboc!XDLp*IaF6aM!SyY&rC_AYuy&&wL^`!|4yuuvv
z64xvqFDR<os&Vw-^d%9RDdFm3xtq^S_A%ZxJ^evtZ}asR>CEa&6gEU=s_lNVFVKMF
zLHKU}+v*N{tJWq?-@mXX?cCl?+ruvUF#RevlG^x3VP3D7<+4pu$G7=e9!?Nl=K1US
z+LZk4gfjltRns#Tc681EtA6!?ucn@@;Lh}oO8WE9g{0UthFHxumCU@wpZjISV)ZN*
z&8<R=M=Ykqo@;-5Zb|FO3MDH`zv4HX;?owbo}8EU>%#r5i}*?_UZwpoy&(OBb%9h@
z!rX%5e#bvwwKhlO?fKfcJHu?1f#s9Os|D5nuzAI5wd-lS8)-YtP+9RiYu~ja$?GJZ
zUuUWidCvLqdv^reUd^7rigJ(CHchVmQTTh`s;rDGIqpaqhgHGOJF=3x@2?GC6EMl&
ztmxVN-VPJixVe2vyj{lj@rfK+OO+UfVwXN*|E52Cio-E>-leQn@|J&#uHV{zTI<xl
zJ;#k)&SwZ&HeVFEzBjaQaohack3vsdOJAJI^Ej!}(NauY+U8WtMit)h8r29xn+nkm
z!>2~Os`6jXn#Ub*yrNM3`v3Wj1-oWND)X#p3^tCq9#J%1QY_l!#9Oh{Hr-=9f@^Pz
zzI*yO)=^oaeX<JkN7+2}?1#Ho9j!^a!KumfWP8=J+1IY0;8{BL)`=T@y|X?ZJrW&W
z!w?k_buU|BS@tF0uPdF4U;e+TaO~=nZ7sr~{x7+g<iEM}v&`~I@K0`;v%CGLR?7CT
zS~RbDwaI<vOeGiN9fGMnvORycI2-MYX!LGPh}G%6k=ep}kWss}Q-bH+&ccVgSgu$X
zzBx4Kb-!7LZq(&dI)yt{1v+eg`fzE0{e`Cg!7|5UdOs&z3p)LO!AtAO^QQF|>F_Ef
zcj>>iFj^xfo_98sIrAX*Cll}Wvzi(Y@m`+t*FVc3B6`Ye8S`&7Pl`W$EK7c(^Fl>6
z>HqDud`~vLnDcS@%mbffUHaWFX)c^|B8N3>Z`4eVMzJiJ6V|h>Hi$E`O)EOK$yc%U
z!_>`pTpq`~->KX0_rhO!U7mQeq{xAg%~$r`tzu#7N`2zR+?e#MedVS*6<g<oUH+A|
z!BTa0dS>~9xEZ?w<lDdJ?E3g$!0PDT#|yr@yiYq2TY0^_k+Zf#@tW7C6<3_2Ziydx
z%3xA+y(vMD>0j0Hyj3+{1S;;N<hE(JZPc6LD&4$LW%mD<U*?y*;TAsnx$A;xxX@Ic
z{T~>-7EM%m-_Lu!ckd2`<DBch^YjGkt$6=C*XAro)SWw53mJ-jZmQ<t@i+SU@$P|b
zOC7#A`h7fb+o$KCnTX1~GJ{W_;*)9%xKrcnVvApWT)gDD!@UU~-W7Y?KeC2V_gBE3
zRDsp|mbTSZHt4&l><ch2Uni+_Va*qQNt=@I_8PNp2xlbSI&v=Q_?rK@lYKsB&e|rk
z^M#4&%1EV59?7q*yyuME@AC^9Ow9P98eS&E{EqecvzwV==||t+*D;+kIrR?j-5KEz
z?-oax?b8$VZG7{rpXm!*_hOc3liA%>HLujG?wxW?fj4&k!Nr$e{<&tqt}KHwp{1f%
ze-XQe%UXX)<({U1qxUCGaC%}V!?J0UTSvBJw#M`Z;r$*{zE*w~@@(hWo^rr?N1UhX
zoJE_To%x#dUwL1G{VSEU*WYF4=FT=;yz9_|e{NOHGj9mZVY@iXSxcn3q;=mqCQ%PP
zX)YPIZqeOZ%Rb)SDy{#ktYn2jQ(AP|WOn8$zXiU{x~lX|ZS~&U`x%rhzKNfgIya$D
zuxI<>C?nmbXF<6u{_dU?vfN{lPO~}BWlq5=g~x0jw`6ONIQjWEczv}v+949SY;Du>
z+mcx|;d6s|q>}~8JwG|<q)%<ytE89j_oU~7cjhMTGw)L8MRnA_)eDs{OP?mYJgT9)
z`Jd=H=gu{0dgZ4x44bytMW5OcWpLS?o2}#R3H>B)nU3~FPk(R!`RPEta&qC4m0xSz
z&hh0<TsB=>`SPxReIg6_d(twMvww&Bcx_7j$bR<7uRw!S4b?7ZE8{pX`PyvU@uejs
z)A8T2zvd^7n`$R;<zLQF?_U3qJ>`PC+0@l$ufzEbL*zDJZwTwvd(Jd<;forvUe?c>
z7cXx-s`~E{^HPWKGN!|&^+DxoW_tvWeQx|6t9hwHL41?l!};m`Ce5E8rql~eyunaX
zrM)e&bvrkM-&-BKci(;;SobeyXQWht;Jcej^PDcN+*N*Y$NdRw|6XalyML-ycJR-h
z#LW@TF01%Br`BC^om*D?&+tBH!YQMi#QxJSc5kWOt2gbR_EMqd=Ia+1uRc{*x^}P9
zv6=s#tyG9!`|@_cH|d1}k9JmdM@0vGWqa_^J#N`v`L8?z=L?$_s%BOy&OBb#6E3#?
z=j-n2%wNMdHM99dOW#=+toY|GBa7F*Tz+2<ce@F^7hlB%zh*UHoLBOdb=K4`2Iq?Y
zRejcxpEAuc(>DHindejGEUf^><4fK!$Rx2mFMORF>NmZyZnmMpwrjl%=U-Q7hTJ?Q
z;o$gskMF@}I?5r~HdmV%SQvy)-kvA+<NW!pA2grNzU}CsohABt@}(<J9w_fu6*;i+
z?Hu-FbL_r+;@(kZWaqa;YKcSS_1@<yh6e>cyXFcUUC(%VYv`^YpIEeyByAGRQmNy)
z*6J_6W>&e)aq*r**>>}ScowOx*?2zNZexDR*<(`|bNv?A4p{x(YO?=~Z<{8BUHfB^
zF7dHI#)J9ox3gC2&)$8zl9bo5$YZ*s$Bs{8Mf=oKd_wmtgp{3oCfs=Hi}(2=ljK)k
z(GOO<6q2j((q#MB+VmvVm`USN*r9-LXA7=0h59yyfBgO+Btyr2+Uc!JWQuk@OLMAx
zc~QYN%53Gv9YJ&ds$81qmVRCI57&j1wDSxccC!x18?*n@;j`sGBqY6y@qO04jyZj=
zwlYllw#IW|#kHQYAngrm0usuLo$W5L=(Q+vZEef3lHi-1UZv)|t3dj>ae?KMt^d8-
zSQkqBufEE~?&iDi@5R-}49@4=etcv8;#C?~K1l`5{damx@u}Cj97g&jb(-hD{`{eI
z;N&;G)p^eP&#x{1`dRYHc}+n_hs^t2*VBbA@u^PEm02Hjxgw{6Q_}wF=Zo#L_JsU!
zKYHEsQ<s6(&&2-u{bxkncxP;SW4L*-@}=#8@2%_&olM<tPGFSszZ~N+uW&!xsaBOo
z=YMjaJ{b~y&%tx=fy8c$j=<mkOA>E;^-Y&t%gd15@!g`8yMd+bj#9&)jOr6s9CJ22
z;pyHmH{yR|<Me4$>r%8H6*(=scD`&m>$c64Kb$??_AVfKvJU^A$AyfG9kOm{x+-Rz
z%en3Taaq%>AhVnM_&3HK+426UnfbK5rYw#(m6}iVFIIYZ2WL&`JACACO4rYMIV}3$
z%S+9CeYS>8-EWX4zy1Wz^7XGDulsx8r)x~LvY&Z|qxR3xXrIq>ncixOt~`G7%p#}D
z9dq^{|5BSFs$6|rRAlZa|4m6Rq#SxIxSaQ&*fO>1YBa~Lb31C!o@QI<(ZaXnlxzD+
zpM!es<_iP9Pbn8?;X3#yeVN;4dj-}H(@r1gn6Sy-TYP6l==AMJ*Y&PEtyh&{5`KCA
z?|}8Q?;bzkr?KJ7gw-~8Lt}p57cbW}vvX5;ahZMcllAw%Tl7r1dS%8Aal=;yyE|7I
zhD3a^zTjUO9(%Xs{g(JPgQT!|N3C_%zMl7V{mRl86T}Pd|31FOZJu;FpTJY!#JCfo
zndwIJj_elR!QND6{fSL<q9F54`(3YhhWAa*&PzFWsN1+^*QDdBhw>)LFqaq9{a}`K
zKXqI`@YaNfJB1#9Iqx5xAL#TuGUK!l^Qv_V)*Whez5eB&#q}!}FXW%LNEV8I&zvg0
z_D}9~8{6wIt|r+UbU)yJVErX${hDvjgXLx#dkCbkR45&s5tNtjdbrfrOlzIcGltWf
zc@%OzLS4HPuFkk@F*|?Ziy51YC9Sm%oMiX1nD8Rvn$Kh%OZF2g8zTy*<pk7=_Ib?k
z5DOHU_rGn=oEJUs9lk2Gb{5rIZc+MLJ-OJW^LX?1mnE??WfyLI`Hna2#*fp>d0kfR
zOsk!mymV1q>v`kkS?&K6-c0!SQ)=$wwUJ7R3nn@}cNOAI&b!lZ;-wt2{F9XWQ!kBU
zbDZ)R1rm9z?!G&HdRm#={iiCucGGj7JbQoj#G2Bo_Ze%?E)OlTt=^b>Z$(|wnkNOB
zzWQHhPdnofUH^g0P33}4hmGwsDc(mvnq!{HzCU=&*7$nMMAL`vWwB;6WTLHZ{PAxL
zR8O6gtL7idqPTvusSeYBE$QQt^R4wWlB0vCnyfopbanYIo5~qhYzsHU{5@pn<a|R&
z(qYdjFVDxe-`T2}H>{4B_xHZV(Ps+Ne<&ZxtP<IF{k_E0_Y5nRTCA*Lx7VLz8$RKB
z_xazyKmFL{JWF<Ak)8ehNxu#le!I-uWwAE$r1mon+xzAPa+aq8Y9<A&xF)}wdAd*y
zqy3TB7q%tIw6L}d%f6HJwAT22{jlt15q`ZBb1NGjvxdtBZnbvoQuX-CcwKLwcw5fu
zRTDS&atC~Sy-#{p(EQ7qy=N@UmVBGR;l<`r&v;J%gwxv7=hv;@WoYl7a#OHyPHyMJ
zzV=1Wotw-}%rcuJH@IwU+g%d(d8Tc|g!dsCtwNILb*JSO<Tyn{^~M-|-E;e(xz3ht
zo1BHDs%NnJPO<$K7yPPZ!}<#g8aWy_NNDa}n%2G{En%wG@;?)I<*D&6z5YN`LaO}#
zG{vC5&n$KeX9XOotVo(sy|&OK?%Z<Lru`TDogF5=<(tJ@c`$)V(LPk{Xp{d;-n84!
zukL*^o2OgCy}{$hei4@D*}r|yPBoc%{==W6TzqXT*H?T`|0Bs^opbkY&c*0d6Va>g
z+pcVqVEHnQWufNJZ43ELa?kE7VOv+Tp>=&sq+j+AnS<A_e<_-Os{Q%Q`zqXeVd=-_
z8tj&{+s7yAx>}}hwcU*ibI;Uz?GMhm7$C~od*}N-^B<8@9<b`Xnemxz>5JW6!rMfr
zY`k8yO7QB3$IJQW|2U~1SFmCmW61iq;s*AUjKnu6M}((7=K24uVwI>%?ZtI{a>jd~
zhkjc8fBtjnb4S~sXJx)RaB<&jrR>XFE`%!TWZF&^s)`kxUDkMe+l9ts{+?&2N$cuO
z$&7N5I+(X`=Cj5)<ELgqjaQ~fON;EjVs`dr`m9fXAD%w*wSEpy`VALDea`Rp@2Bqf
zJ8`Lg!PBj8<GPsL87n-FNPIq0_VrH5f>yRwQ&}~hrq0bx5Vl>=e!%<R|6p^en3mK(
z->cL&+}_N@sqW0R{F%YE9{cy+tM(`~*5<|T{rGF?W_woSZ^wB&KeNcJc6y(hGVL7i
zybwmtj<bjM9*jEf8u4HIvhyEqIcf7ZfgeAev)uK!?CZ6jv-W?V#;kc3@}~AtC)>9z
ze;=sr&3?=&vvJ<hdH1D@&f4cLT+FaeZ-sx}8+*aR3#)z7AMDEfaz;DkK*Lq5-0-3W
zM$-@cUQsn6N?8Ayv&?Gd!yn#$a1pBxsMJiG{--ACc=e+Ib5q_axfA(>%^h#wsE$nB
z>3r)`%pI2BYzG_qelPcrW$fQ|a?i761!Waotc~^mIU4roO?52$@b*8efzE=J97}=)
z8<?a%Ngn>w7m@qI(8Hklg^HPRangnIGlwPb2KN5GwUX^Yf9TIu-7;q^^4=eNCa^PY
z+Og?7T5qrl38<IM`!;FAF0L3R{a~&cb4~AZs9s?#w^x5~^V?Uy1Al_jRB||3!ezFu
zQ`9<aD!wox_o8QxMZv|B-(tJ`q)&z_GB!<U+WBVx?0wgHMb#OXygIs2d+yG+&vmVC
ze_vO|%E9(Tx%<M#JyMD{!!obto3;i^xzAbl@#a0XO;?&7s)Mgsu!nM7Kic-<cK$z4
zj)aOuO=V$9)1oimFPDmwslFe1D|N|f@yj0*&pPJ>D*e1*oh4jvS-t$^)y1=x?tG_n
z>_g0h*;a--l+I2za(p2WKW|F=t)E9_;!a$2yZ)E=U<7-EP1n8^QjZ?67%$nm%9i(0
z;ilWY?@mN>nymkRw0GJ>Q5LbtX`3$}ica(Yk@ehVdsxPVW65XrWZ%>--lTRlR-%kw
zz(P2pGmp)hIb6g&?}XZ?*S}75u^AtJ?6Yfktqr?V#n~4Z6qiMao?D*ys4sf4<RVrP
zy*F1<=V|O;+npQuZ{fbL*Cnz-WYc!pDJaF1PgIzi_L1KqO!uCZ4yWV&$h22`+Z!A=
z`|8`CG2W!}ub1)A=WaXUNbys@o>{GbB7b1*R8{By?ma>lFHCiFPYZF)v7h=UMS9_q
z=1o>_o5YTves_cC+N;R=&*cGW-Jcc}My&rU#FCQzw52`E$m~M$qICzi2OgjHKu06E
zd&eTSUf)=wxjtt+tv>kwjQp2;b1IXluwE(K@x_xlSMh&e!+*q}B+JMw_wvWN{_fkm
znoC$?+1KCwvuWaMWdoa>E${6%S-pz#n^m-7_QcNuPaCe*Kb)GG_e)<ozG(5{sO5=l
z#f5@J(L6VFc4j)|hr4k|Ph<Ee{_2VS3BB-|uDec|zf4{y%c!%Y#AQbn*x!#{7rZNr
z)429-qgdqny;U4%r%vGc)h_!&Bc@`@vFXD0Zt;~tsT&<v^VFSP#`J29@czk;vD5y(
zym_nTgp+gZ2J`ohhra%{>DkD**ZMSL=OyQDegXj>w#gQJlb>FF_+sY!T_1k!wRgEJ
zcYls${|?r&ocTiaMg1YUimM#-o}`t8D$W0r_r=ReLg)2fw)L%h&9=%q1k~7UxN`0@
zpZJA<>J2mf-*ZYg#-Dj~G5X}ar|dnEIk%_Pw>)pY+WRqA#DuBrTzC4Kb?#2?@+!Mz
zwmg~Uzjb2Qhg3V!II(GJKZ+0ae{ws!@U>U0`RDwsqe}Tf2|?Mck!vbk-r4>7mXba*
z&f`OBdEKp#^?u9S=KL!9lH%@Ck+8AlrIyEixjQC1?R_>SvhZ{<Dv0)kaI3GV?E6+R
zQ>jZi@9FlC-y6F5o_+1;o%-$SwK9{9I<C1rFLi1RruTN<=NFvsUugH`-9pD)<%n<R
zx3QF8QwVshyg|NySIx)d{Z7w$_Z|^0*<>qJCD?Y#`$3~w<Z5-xO*O~QG5UYw@?EJ`
zJJEQ<&8aK+jgQ1!udL-he#d$9d8xI>8Wl_Ksx=E<oU^Io59?me->YkC4c?gTtmBPR
z58PXG_lf>j<?J61A}Zo<xO7z1|KIf4QEkz-Pi1bFFS~TF|FV~9Y2NB$HLaqi>ePfh
zbKW=JnqOTD?$lq<h<v(i?x}{PH==tuuiQGmO69Fg{DqtY%Ph2mwgoa-ZgogweEOkE
zzJaSECZ2Bw_i_2kxpxl*6>6WUSh3>haj7DcFJ3m90U<#KlCOM@?cKls;y0bMiVHdC
zl-q3yGdTLQ?EaZ&eAyH19%z`^=xas_wXsat^Z0h$)*oUTLf)_1HvXwHSZ{xzjH4+3
z;t8I-^fTWK<7)P)r7Uih_;R*$L&=<wQ%AJkUQ=Rtzc9ShNwu%1RD3qajL9P9w{$1i
ze_p!lN?3=dPmyr%#t$jmD&D?xJS_cL+IU&t^o<(Jew~tN*F0KTkUR5o?)>7E_qyAr
z&JHm->DnDGyT7T=p6lm=YYseb=8An(kY)%zXjOG;*)xvi{RRK^OXg+2e0)MjSWu#!
z<6fUvs>kQRIdf84P5r0szMadj!H~#!cJWpVKJn@D3QWhu+g{}tJ^6pdH|R#!)(vkf
z<XbmQE)nNE_1bvjgRPSc`FHhBTz~sye$&#m`C^??&B6~Af?B?8(ph=<&peLiP2neY
zWnMb*R?y)<pW*$rmTqB>bicPxGI;CsbE%ix!W8$_HA@Au?!LI0nxDsX;kwcDmwT@5
zKAIL?vY6$J?$bOz!K0PRX=MdbK3}_EZ&81@w0Oy$>)L*2j;VSIhHd<?d-A--O<BEZ
zCr%ipmdm~=I-PsLrS8H0V1XcY%~D~7O_f5)j9pI)5}gdLmNhD#jbCFP@%Y3qEA!nm
z#T<h=x{{<8_1B*D+<P}9D>adIm4vas-J1lZ!r*@j-g<9Z7If~s{%Gc6u@X}$|KycV
zVxP}pQsON(*nD}@`z6LVizVLP<&;TVzKd-^(%ggUGH0i>3hd~~N?eyNmHce^^8EGf
zpH_ZQDyh(XwUX_!=Bu;`b;m+yH|<};eO3MRu?2=kZ-gHT&wu*BjQinQchUH$E%VeC
z>}Q-@9g~&ObUZ1miuqvHD#LSq+G{%(WQ)2PZ+G0VeDRYL`3ccG8dezu>L2r!Zst0p
zxoEbt&Bb|}zXv;)ZJ7F8L!C!UZ=vE=Sw8c6W}^=?wh26WX>Ipz>lU6JlOjKx1T)6m
zWQb{%<G!^2S=VRhQ)U&*LPBy<gj(G<d$X-uqQkQHX>z^D)cE}FI`b=ee>y$+BMg2`
zDdA(|U#F7CK0UO|zWdGbgvNIf@ewO(da_pR3u+Y!_t~*D_;vpTHl689{%rUAe%4c`
z;`C{;!!D+$B~Dt;IanV5>&Jq`<8kfRcLm5r^WC_sZT9~|tnROgjyX|D&u*TXV5r4@
zCgNIhg9M|Y?<z}yE%_^-^xuu(ekUF0_nooY{$}l8|7DgPJ7YsV^eXl;o-J_cy1VMi
z8$0$jY~uf}y*_#E{e}ZiOYc8YI_O*%yldi$i&y7e(kU)K*EzXjGFvUnzb7sZI(he1
zq*R)DVwA$$lV>)p%k93hBl%FluKV@RMV$k(S`!?)6wI@)Zk+Pw&tJ>sdM9r%nu-3m
zXP>?224Ci@0~R`3g$H(Tn&x1Zcj~@`&HfWdb(q55A6c>WK<;17^4TAZ7#h@5dTY5)
zPPPmP3fu6YA?v_AH3pmcUajK01XA`y>vM(Pt(+Ah#v^^Be2d7^z5bVqA3yb~dbPg&
zj<%YR>zqrv&Y_RI<24sE1x)T{c~PI{74UW5wXJ4Xq8`hw{F>C&sVVUwSWwN4Q|!Ls
zwIw$<A8xz(`hCMroztR+*p9|e$iJx>^i=TP>X!%D)<$iR4LTstR+Jg|^3{VE?gvr=
z6_+S1J07%L@@#C;BYS0~<Ym*guGalxv9tH)PW7PHm%W=7IIaBOzbwqb`$oe4s#V43
zlLRX)w}@SS-IKF_HIHQ6_T9V|9}dNIpI#t&=hQUQX36wF2i{G%eCg-Xxzpz8%+A@<
z!e;96H?g<+Zs=RZH|FARSgRKu)A(`yUhl)k*dN~Bx6gB4WO*?4ON~<8@9Ua9?>y!y
zb{)KUxY)Hl{?Y?pMXgs|`J2OCX3Du7olrZsJ>tNfTep(W$9NQGpKqD9{Zn1S$I|4F
zpGry(ZgTsmeVw`8B74T<p2|O>T-@IBash%5Pjq_5YP~C;qH};h(6@5WTyEz)KB<+V
zP94VIR`@h$G(LaE$f?!99&~u;ju(aNpZ&U##4D5hU*0d}!$HlRtU~U-S5HinmGN;p
zv45J|tDmp+Ej&*~Z#TLAKkv{l%kX=T@+H+PHUInT@%;!|6MkafvI}o!WF>53u=-OY
zcxPLu=j`)-ooC+MU9{k};xwhR94$-N$m?Y6_7q6_wq}C&%VZCSYPHP~7k}k5F{poF
z$V_!U9`Z7E-mfH~nj^eb4omM^&-k>`)Iu%blR?hEhby=)%}yz@DqIz;T<r2VSwZw5
z+isn31IMTAYj<9r?{sZ>c4Xu~%Nt!1J*UgA&H3)5r9W5pZh*<rFCKam{}z5cu70~@
z1^2sI_KbHnojLSpV#&q|i!}>%ZY<ica<TEVhZDK)-{|6el+1Rr&E3IFWc$&bnLDrX
zuXD*VSDbr)eZA|Gqt5<3(yyoXvlr*)*xznCwl}TA&yRolX$kEKYTRxD7hm&vbh<=o
z7~GfAak+9w^}6eMyKElQ!kDJ-^7*pUHtk3(zV@N(?9J1jM>ieoJN|Ot`DgcchR^u)
zjXiRPY<#sM`_C)Yp*0UX`nhTkdAwc}B)mG6!|?jQQx8A-yjy4Xq?d0`Lb<Jx>!Ozz
zlpkx)HFx88h)i9wXOqSHltk$r?fvrv)|6N@Jud7`Tjo~a^S^n^q`67`uM}=SGR(Rx
zwRTZ_J-e}tc3`N~skzLH_J3l~$Un1bvizMne5%%a<WK$Y74UA^ARqCeJ?EO+ri-)A
z{!q8R8GLc}Yl({633pg!tsFB8JMV<eHoh$0z+vj)^)SHqiHc^^Y59&h$=fpgl@5f*
z?6@W6AZ~Q$Ui;#m?=I~0J%3|{j&<za-Fe?U6aNP&UE9B>H*AU3CIhcUd)Iw3S+j4^
zvUz{POS8Xhob%biONC$5z4rLUd7O_nRXQqG#Q*!)yTn`Z;#8q&Wmeryi#CQnQMvYX
z?#1i<n$a`nlx<pUr7~lA9rL*t$5$=gy2RH*CN?uNsD78R*beSXn(51|4_}&f^!cA#
z0-x8cck;bl{BG}UrxF!u(QSbx`n(Pb&*mMGS)(EQaGF}(_j9fcEr%LT1kL%swX*c+
zs%`0I8GLrE4liOC>wV+D5FEO($(8qe+>Gj-`clhMQWsl4OHk)tXuQzS;_2n4f~k6o
zo$fU#uGS9T`ZB0>-9{7Fr`{s#ncj727;U@QSl`#LbZ)(bsad?Oboz>lQv!y?H=?>Y
z740)-zKZGJF)3z8Q}=F{uD|hz*tXkG-*>QPz1xH%$II>Y&%R6bX`N|P=^^OMa{R|x
zIaf!GG~+9qzPU}98v1(KO#f?Ho&r+k8ExIxGMz2#-nllp$F-L#&2};id!(T7*o<Ss
zDW4S#-9_^XKK?)SvBS&OGpq01>nq()PwKLmGk1USJTdL<iPQ!9SLb(Mvt(#g-gW2e
zm9F9!DQCoEbys;gRZqLWreS5a<b}J(ZXQmu=-PN!<NYqpl>%j^I%l5j47R*+J<D|F
z3X{JMQ&O{2iX%3wq;^HBA32?-^yYY_{_Km#ud5uI_n=1T%GG0MnT7TQH#u1qimyDP
zBLCv{e6}4^-kUP^Y>&EGwsXslmdE^w@6Yn__wM)dH@f9?Yi5jO`;;SBZ<u;4nZI$S
zQF8r_BRd?*pJeqlI-Q){d$pf`XUHoPrH!|poOkWtDmv}HvZr+E%(dF({(+4ZB}<)H
zYwWL=c;CMI%wtP&ulos;$p`nVUtRz2<Dn<~_F60#R630>?_bt*<aqd|CGVI|&fC?u
zA~w(ZMc$muDJ#48oY@o8u&k%*!|exC7habw?{fV+YmrAn-1W}c>~qd7X1(`5p*Pd%
zt7Dk{7nkipYTkV3OdpB<S}MEJozrKv-TV1@eC(I!a%SJy_;O8Q&w+(!^$WLEI(aNQ
zR2=79F+nH(V$Z}oW;b@dzkhALXrQD>ow`w)^27_DxBUtU_Me;BzbR;A-nYh5*Wfko
zdzo*oOxXK_<FW74b<b|j)<1e_ljyqF2lIF%U4qU}PyX&)`|v`Ry6p<q7p!&*KYPzu
zb#Y1C*7>pCkrjJGG<KV{?QE@InB%-;PA1P|<1dPuuR@k_-8I%I-FUtCjkl=4+r>S{
z<mLGr&jnswclpihs>y2RjM_81eBW=oo_lWh(gSCW99S1TUTFGjqSoHRrx#}h^K#0~
z5O1^)uMyjL-Qh;ii}%|YPOq^Hb!J@Jy6xKKx=)+<7H}mRXt=-nX;ro|@XV4~3E``Q
z!|i|WpE~8bX~dF`=~Z7(zpRqvuy@?=EuGHr%&~-Jqn7n2wj)VXlvg^v4R~vqle#74
zhp^uD|N0Z>mNs#3-;&M~vgX6&KV`v7wGOk~GG8mZ>(snNuJl8Rmos*sT5QsxZdS45
z#pP3GzfxXqS<DuqWgfj?WpLL2yCys0B||h7jXGs}-_H6e`v2{d6E8GpdDuQOS;q9Y
zZSTp@KaR!eH_W=7ul$$(d$RVV`N8j&2HQ1u%)J|UX!54jGqXM|XnP&<@!x!<BN3Cc
zg4_KKvW}hMP3T(s#`SFl+rg~~x7#P|-?w@#kCdU|>B-!?R`V^tzga9=$zb#1G{q+6
zH8mPrMNTyBntnULzodBEcmAnA|2dhcHEjRO$oAyG9PK61<q8Y0bxphwbEx%LgS4gz
zuTRdma~^M}C_dVCh~uNzu9z(nQiV<1m(6on|3Lqy&M|>!ts?K2y<W9UMBso-FNb&c
zzXhfzbq}bUOg(1tAc%7_my!yn*5|IfQ8u$nml|uTM#o)}xnH0g{ky^b{=<zDoGTR<
zzVykm(4N73d4_|>XVFVMKT6__#r|J<H_?av$jl$_?<~8z_drSMdR;ELJ!_&5-6_;(
z&#6=H;oZx*cP;y~>&Nf;Z+LMfZH3Qmxp4O>Uy}URZGJ6#eZdRieLtggi>tpi?c7s;
z(*6h2;>GeZzm6qMRO{_xer&K`b>Wg*p9_DUxOeE9LdBKpIWt}tGEOUgpBLtkdvvq#
zlVc0i_k{^6Jeucpss9a6)t;w&-x}yk8VERFozw8^v+|)^SBiA@g&OP)kQI>UnKJFd
z+3s_-S3{O0NFOw6nf*TO%pH%%KMS_b-KX&JCjUFR_r8)c+zO>!=d75gv84R+^y)0S
z`f^LnZwr=8+qQ!LZkwmQo_Q?$(e5dorzgKk{&J=C=gsn`w{Mh*6rGzp`wM&fuP>^0
zmeSrvo90|F;5=D$=hK-B+y0-sD9)r{&9}F>`&(lC-LhNu$F&&EtZoOc6q$9j>huBE
zgd~AO({~xM*xazY!!B)j@QCWX$(p|p)?D$epR+HDSuTCv?`^X=#Md9ZCD7;^ox`$5
zs<vqt%Y?pJY(7^#7YE()D*u<@U68={>YRy|LO|M=2c1O|btHcDa7=$0W_~H!YE?{|
z*P9Q2_q$(mJLtdl@u`{5wywF5;V8UjuY8(H&jKFCzK2-}&p3k~-sP5He)lEi;mugi
z0|CXYFQ49+QpB6|Lzm^#cE<VH-g<xktA2lPyXC{H7Ojgj{38l#EPwsEGWE=YjaA#v
zpTCl_?{Ks}uan(#t7p@0s)y(|gkIlSI(M7y6mj`Ere6$&;(gqWj5z18J1ltk{&DDW
z={tw@#NIAzvOD;D{!*4pd)K8_M#dH$)GY~KaQoS=yByosCY_#ObdIkk>!$q1_+5Mv
zQg>vRNN<yiIo^59y?)P}`FXP*{&~XWaq4o5&Qk5Il?Oz3AC_5i^U{HbnyxJWXYqX5
zcBr)9f5(ap|MM}XjbaI0Qw6K%&6L%YcWi#2_qu{7durP|i|3s`_RB}TSgdEO;ky6Z
z_WG=E+f9Z3JrQr;bCUhet_P==DMnt(Nz{I{G$Y_+(lsBGnrq846r2vMRdzpldVbCK
zH%qsw)>&WBx*hfH&U(j&?{WKVr#-4YUhqHr;*>@2l_NfOGA9Y~S9@!hSpI#%$ntjs
zU;2sbeKU?cG2ux~ez)=O9657I{Tz|(9jqL!tkO<zX9v&jYvDh(P2WwU`Bi;HUiTe&
z=l}oq2me~Hx$e9{&HP6tzgEq0-(0R9|N8&k&O{N1u<qAyCTwg8RrxKw*kMlbx7lg@
zIpOcxxJsIPZ7!x;<~go!k9g`Z)2LPQoz=Nj_KTt!=X8mfMgQRZa%I&^r$5Zu)4AE(
z7$(QPcRtT@<zD-uJ5%;a9&~s;)%MUT+jUa4hb&Sw&MrT3FDPQ~wWTYgr){fR+ShvZ
z(VY1E>c9V;^<;Xt<@-hLe{qIkWx|2|GBqcjeA{gE+hT5XVem=KbA`XRvU6U%w0>(D
zdvns4jOef`yZ=j)_c{K2xA7Z`Gw=URtJI|~iSoVMCwIc^XRPb`YW|CC-N_MYst?UJ
z%lfD>E?oQS+pBrH9~M76mnn6*`QEcRbsR5@)r9J2-;WE(mJePL_adTvk>dOgo^Y8N
z1`0V93wQGIPdEI%ebvfd;n}axh<CQdL`nQF;}lSC^lzJd^;X`FXBYb(2_KsF`&xKA
z_d)$7-+O(IrQf^k5D=IAT{`xgxX7`27F98qer{s^uyfh+3+=a$9o5+KamhssgGqa)
zwryT3A|S#&wP(3RMs&8?Nj~eZZk89>tk*Ia?vIPAulrK&`|w2M{1sjbJ$u@oy4<r~
zeJEV-2cKtKP}kFgYjU|F<9E~vl&4Ss9<o5m<;BcrPL^S=S@s_F3xZ!w*k+j7BXaB7
zyqnRtmW8LrG!`2_Z;a##4QnY~)GTp9b-B@@-g`>?Ts1uh>sCjteWq!~@p6vkv{NUP
z`<Q(<+Z<9|;G`^Go%A<n(Y5!tz7?x!N-lHEdZTQ6u(k10z=N1<MoIsr&TStu`p<u#
zAOGX<`Ij3?3`%aR%fyw=Ffa&RvF_zumrb`6N}|qgsA&5gdoRb}hLC#E^8Miwzr_<m
zSqh$c8ZkSupFZ+6&5rk+bz;eK-tHx@#iT+%uZ$^8sn}+h5cEk=(oS3LYtg}rKYG6V
zG*0J<KPh>k@%__Vxd}-^Onq$cy{8&?KI4q~7#5Pxw^l-YYQRHXjg`+^Llc_c+dK=|
zW_#%6M%Uj5E7M}5)<|n+zTyhlpb%dBUG0d$!Ww_=stEbTi5C?A?Y!L?Ef<zF;X%WN
zjv4wnK0?|ro@pA(Y<;%#x$pOfaqWBZ7YLj=BJ$PZmyws^wgsN%lP_5AQm$Hd`qKg1
zO?Kx@E;qL%Myjq7;82uy+P9U1YjVDS{)wlDLz@LHZYiX#IdoU_a^{i^Gc%X1kStTY
zrS7rM!hQWI&y{hab(b%h);wdIXZz6YY~97WOF^1dzb9@x(^`2qd7}M4E1kr+1zR^f
z`F7qr&S1Lh$>U2359_rFOt)pyZrpy`k=>b#>6FNG9`XE@-x9vZ##sy33;m2(@uhLb
zj{OsoW}TgI;*iJH=|)So1&hAmS*jUtEMHt~^6UiXzOy@?@?TA2lIwEQT5<Q{mprv-
zj`dwVCz)c|nd{B}%w%jj!?G@E?nJpLrcX_ZkxiYE+CAI~6RR{F((9g0+}`)=?a5+}
zjPvPwE+=keZVD1V$)?dLB-WwkC9vz_lq5;3Ur(;ASmLp&gn7@4o3j2{@|FswYzrUG
zlxm32zWeBu*@=%?=hr+@yS4g4z_lNz+S>0(h_n4vDPGv;*6nHZ_~lml!g)2E`?s2<
zzWlI6woY0n(zSaN<9^28)69;`vZH_AK9qeW>)1DGmnZ29oPU0cy7=v2m8`18m$TDv
zYlIZ2$tmu5BHV1*7ASs&Icj>q>%UhUUv=z1Au73`{J`mA6D1bytC#p6%DpwHkMmsE
zv)*}zR8;)xv;Rw;SjBqvedheET3HflR+yqO@A{L-^zV+lf3am~G}eDOyJ%gCKX32J
zlP8w3)|$^bf1SCcygKPF`|a%aQ@_2NlX0|IXGTF+jIhKF9+wXX<^}UE_Tq0ipB$Xm
zb#nHkBme&1)=@6n=zsI@>5ZrR_CDIP+RwSx<NeO=D{ro>*SbHo?%~qhmw#I%vwbe;
zuB&`<cXh~*ZdH{V&W2}~t~%Ahp|>geFvo4f1?xY43e;rLnIpRH8r$dTF^R%oEffBK
zs$3cPOJ;*s-Os?UhoaoS^zw<v`L(?@zbvD5H0p$s?o8&EcWb9k=znuOFOB2U74}QQ
z0>@5oVDj7>@^Mj2YVvcp?l~sEPi<nTs5kj|cK=!5)7<i_9sNG<6J?x#)vEF-tKyVn
zM;C2-uA5NFwK`aV!S|-l`nM0QU$SsamEN#<V)7SB`&DmsBPSeFoceXv48De~+S9gg
zxWE0)^l41*7q094^+962ar&G}x9hgHw-1SLZocp%KGyfAt4C*|T#WG92Q!2p@Z4qe
zJ*%m(H?;e)<>Tqi>5M4}HwDH1t`;=3Rbs9b;tef4ed|$O?v0=2zx7{lba>|!FfTkT
zZ*kSU-u*dMA)Sk6eLpTybG}smw71zzpIINw3N4SR)Rz0iwSBU0)H|J+`B7T-dWOjg
zZE<matCpmMs1MDakIJ@1m#j*f==mU`y>*vtuHc?c;t3sdw{y&SaNvIY{^=cTUnI>k
z-{<WQ{?xseot2SeG1nX6XYAWpY<Fy1-k|=FOTKG?v}fom^(}_Nulm9m%9|s#W?X)e
zHJwder@sEclFFl%8R>2eyAIsD>=JgsO7lv}Ber+bbpJVa_nVvD5O#BZQor`<yHCu{
zybnLS9qbVjy7<aEKsaUk+>5u)9Q~_%^I-ceu7`%Zqzh6Q?tgXL-s;w|bJeRYmUrZh
ztoiE~zgCzbwcjLivdLwSz&~xd8|rLYzRc3!I>mW&iuVm$pZTA!CFY!}>6LG|a7|tD
zd}H*9Fx_;<on}`UUp8;qx$9!buBOUI|3Z9t@6WL?ec+?=THDNMCqG{!=SlOld;h9#
zYRYD(e=~M<-fJ^|t%3HmkAba=Py7~Y-MpuGa+u!7;(s<vlc!YgdF-8kOYBAAvZdDM
zpFHR3Y?iVwt9hjo?Psihdz*C;Q<&L<&-wnhBHl09^r|5#Y+fcuy(s_X?7Qq2cw7D5
zcPuCtFgSBmuW?~>Wn9{VLRR%;u_{^P8PiH5wv?Jk9q&yv3eFDYFAH{$eBWH_zU0`>
z`kTHQKPGwqm@QLx>|Xo)`>U8fch&E@pCW2}H}6?6Q}nf)Yx&YNDy*F&UEXWQF81)5
zEhlQhSsZ_4@7X((4=p^s@lV5_v~3U0ZC|`3(a7&2Px+QkCB^oY-fVAne_(#y!7Iq{
z?Cg=8J@cO(ET3wj$iu6<!Qy|uRB-d0Q$h({8e4@f-4{>Xee}vk<L_Kk{6xQIE7x2X
z_&0&uH#I6RZZ^~E_IAEvk%q*JM&gM9%U2oRV|(`EhJKi~=Vg111IoqA&m7_QK08O;
zD{P|?zy5+n>!!cC>6!70%|dm(W4ZZ3kICES&696h;W^9tZO4<TSI-E~spyS7^d#PN
zp7wz)AMO=xnBo1;C3^MeRLiTU_uM)5aJ4L_mr>Auzt`pR`qOOv7x%4V)NWpM_3%;u
zD~b%OT9x`L-X3bu-@zn$p=9Npp0N1CTpVvUa3wagS?Eri@FsZHvDs4BcIKz-Dlb}M
z&wp%=;*ajHr?$=)O#l4KW!>EfZ=<C(|95;`9F(Y}z$+krQ0!uk`LDIUw=X_>sN5p%
z(kimE^7G%@s+k#E@81wOebN3_r@_arSNG;IUlc8=J^cC0`nBdOgk-vRt?RANS!vT%
z;2mN5V}XTcz}~cPGMoZIiS;%vtM7c4b>mud{F0UT#bYaL>r3-y)lG<~-y^dA$gHUL
z_^URl<&W1gdHwb-G&(ik>x|aTZP#XL9&PB2JEoz2zvTATWsh|yM{E|_xM+q%S&W=c
z^P&z(=knR6wWlv_^scPD_|Gvd{P4ND?HLCqB|c<-U0fNv<5*q&>GO?u1A0;oo@`f3
zSbb*p#xuPdmHt07YHObfC?9zqH1`T;$77po2Df)e-BPj-|G;Cv`njK!Zu^{%4;r6U
zKPhK9c;eJlRrYOGqRg?nar&GtnX25YY#-QeoNKYh`)Kax{YS+Y?@`b>XZb>Jc9%o?
z9T(?$3F!|`w>VzN3z?r2?D|$r@TQ@s^_1RM(f4%Q<m!%vDepdT`TM8b*_(o@8+adn
zd-w35*+F@UfU|!0r}sKmiO$@*{$uWmUBYkgOZEp{ja}*Wly7F+BjyBko(kC~zTM37
z8?N(x*q>c2^ZViwIs2&Is=GX?&a<{Yuu#iz{$2UCpV{ekWzRyFei79>%@&+9tkaq-
z?oJX;j6HU5(WhJI!=<<RhAK~Cbrdwa&9c5Culrn<$|cE7lH0e`&)t1AeDRJcNzr?F
zc4~j*-yv(LTu}UOdHTW72&sRq`*$8uciFaUx8atLI}hKI)oCw(|K8WT`}QBNME?%^
z$=4@m=zC1ona7phz+_r|wr=j;D{)7P^W8LfJ1%lx-ujVi={76LDbG0y?)Lo3JmOux
z_rXb#$y47vmOecDOjglz+v`UR_q`}!*1Nw<`$nGVx_?%cehQo>H#EP@3Q7}Pr#^ks
z;w6Hmr*})%L^E8m3Rt@%c1O_Dj<tM0#3Xs&+~4-EIxuK)$I8x-nb|_hi<ZTl>J3nP
z7d&4mYw6<n$fh@9YDWtqj$bXd_>$f2^gBvRIU+n}zIAN=X(#hK>*<<hc?=WVlU~f@
zD`1$_rG5TOn3!7l_o)}39S&+pwqRbg=ulNIgZq!h6-IR;rC&oo7&oRZHF^E2?VNd^
zba{^)@5S<><I>jpx0z1xh(F@u{$hR8@5WXR6$Q0L_s+F3S*|j?zjSSAYsQq<bvDbM
zi@wR5zruHc%j5|TIeNlMic+imXRl(CKX2%NS6%ySp3j>Ttm`)TKbiNr{b$3$^Gs`(
zE(=sz%<9e3Dtq?k4k;I(^RKtga}^U2f1>PqvTy%_DwVMKua38zywlk~&r>_~S^w#x
zq?b+8UYB`3U;X3ny}hP!7EzO@Wd__`lM{b?f_qv0=Cv{DGBcvTR3*Rdc^Y@~ko=<+
zD-SMsGeg30(FsMye;HQqCDQZu&YQI@_&r;OcraUBPp;OvY4@zV?i^lv{M&VLtCv|V
z%^T*q<mj9Eh5yUWsE&AM(6@G`Lip?{pR!&|*l$^~>fVIj-aET{r^ocJ;qpDXvLeIg
zPuhRs|95{|PhS81Cx5Gfd}EU2QvpSF$<Uj7{yP<~J{@;v=G|4itEZ&CkE@mXn)Nl|
z&*hhI4Rb5}8_K_Eg<X3Ys^C`F?-|o^^)%PnuN@URr(<}}?d@Lk=Ine^k!`1sGwMuD
zI{jMp*P>K2_0y+zzBbS_UGR6I_rd(7`xEbW^?$Ti{-N`n-+GJ2-7o1EJ}jNFx?+>{
z!otr@?$axiSv@WV-+nbE^%+~>+odPO?ySj+R(`LzYg6w<wnYUzdNI)_&N3`pHz9au
zkmkZ5!R@}52G=4SvjTsH9*}=EKPWd^$J#4ro#1JMEjLoGJMLJy@$1{G`8zu+=9q;)
zj9gR}Qv2xv^L3wu6^yFOgAa$koe{S~c7g8=pSs4c(neK9s~Onxba*8E7M*UH_$&TO
zfW@kLz6H4lsvA^Hjs)?XkG_=uC8S>Pkn5MK_;~f1!S7yOWOp(>?awI0dh6rK`B`c1
z>UmG?X0n#eQR>P}`kD9lf#XDviZ|P58dVvF^KQM%op)#FuGnIQo)RXz>&;7)rk=a`
zY@sDnR4M1phVE6*j5ajzKiqDyrNHC&Yw7>{&KfHCSMFWPrp>ss_;px@cf#+-`Ey0C
zdg!JHNUe`gy1VJU+Pf(;j=R?=w?5mRxl?>1kI+gp86(j@a!gt;Vi{ylrmfig+gWEq
z@2VAsmG%)gBbaX1<;<SwH&>`S)UfWs;s&YcAV-~)oX+`au|Ko_&Gcyt63VY}oFir|
zesqys?8=V~7ChP0qV3DhyLsz;Pno#ffz`%j(*1zW0^fCy6efiRT;bTiqIf6!xi?M*
zeo6hGH?4cUefMN}9Yf92>t;G|ZC_sY_wTE;IAK@KY@Y=Q7e7qDnf`dA$9iUeS6#hF
zj&E&KOLwjHixnu#e^TU~`sB{33#O%6ZZ0_%6A!jLXMeY6D*p!w_D?Cx7#1J8`>guN
z34dV^{n9sz-}mhHntP>xQ;ov?SM2pi6!tkkj(Wt<`t!T~o;#23Ysd<`V`r-~NPiK&
zXm|6I^6O&yZcR&?ZY{HYp72HQx8|LPWf}k8a+NH4v39%dk!3B5*2tarb#Q$pGV`!b
zknG2u{HFURZ_Fw9Q`}=C(#~<3aglJk)wQL2d?st$o+5X6w|7EJ!1+52J9lzyKX<ce
z^CHo0_V!hi(v@PH@6B4I^*n`j#bPth_7^;vR^0dJKN6Pg&N(>ETGY?SKXb08>8<$3
zPj)y8*GE07e!(^0n@z;MzU}V5|66J=YREg-WPUj)v%Z3Rf!5Z-N5$%^Tc+KusEl0J
zTDou7s||*BEQeGSbf)}DC}&xse}3VcZSpTUS0|lgczN`bQ<CM!Xr~`xaRI-$CuEhL
ze&kza+@CDYZ#d!Gl+A9_EM3?aZt~1tduSr>AB6yB!<TFynO1L*neje(<%3BNj+Vwu
zx$;`Hvc<;Q%=OCZiLH*z65<OZRRZU2{=2+Iiv4=gsYx#Xjh3$KUX-I*wDPJ@LCb-2
zYr?{w`<f<S-_?A;V}a`AtRqbfF3%7C_-DAXdV}R&cIE(g%U`u^XQK;C?!H?V8$6-w
z@%HVB!V_In`p>p)h}!-1h(>a86xWlQhD(h6re)SE@;OzFUgg$)*<!$3-7c4Vzh1vl
zC--)K!1;Wp*{L?%ui9=(+h#qRkeO`Jw%zaav0pnM^sCI(lw`QCs3(3~xOws_hf{Ie
z3KoX7tl&6t^n==wtb3uSj{Ki_x?sxt1yAQjUpvkE<=%#@<KO!h%)4YR?<u(O_PXC}
zN15Ur_p%*+^6Z+d6T4^okyl3#{EJncbW7NW?Lv~koaO$~$)9d=C8lp#YBAF=pYifm
z!JS)QJa%sWxK<`<i^6TC2ceI@_^siexsuJ5Rez>_^M9#=b4Q+Ky!a8n(kNta@SJp!
z!zq3fjy=l1<)~Aa+g2nMm&E;c)+gQO6X(;FwfFCg{o}Wqx6-RvC1b<#hJE^nYm=5p
zO!<^~f<eOJ`F`=ThsnC;Yz3RMKgCRavu@Rid6Iu;wb^z!oSFOZ(y|D-r=PnQOIkn6
z{LCn~tmmvr!Q4;BizZyT8d3Ldedv<gQ)6n6L`U8It#NmnB1dA2Z7^fq@tfI9I_#ag
zJR5}5E(tU5oMf&NIQRIGqQ%KB90qH+X3r_j6wcbVf-UisT1vLql0Auc%>&LwU0Bv4
zUaoi6YR7~7^G^I<6&}x|XYkF~_;b_k-Ls{*AM0H;ySFkW^oG95i^+59T-Mu#?VZvo
z$fxMYp)zsODZj+rAIc4TWLR8wR=qjdk-IMKj-mPQ-r1&4Ubxop$iFQkE#iG`pQk}b
z?L9W_3!Gt^f3$e#a1^sRWV5nHJFWTBcu65AGg@y6n}%<rl+oU>{n4M_&ryBqsS{;X
zuw;R{@dt|yUYj(v1Vo!#XXUN66ctu|!_ZTmQO+Rz!e&p`-p~afSIp0;5ihS{oX#L|
z>5KXIpXFk{s}|)%@QDiWUk*_^_BF!Uanh@u38~XPc>cvt%H+7z=3uqz+1fLS$-#o3
zSABZfc0?d$QTUstjd~^qos0LVOo;PHtho1k$K9(B9*c=Y2d3=*yX#WF8Ao*D3yHf2
zyyAbTMDD(6@*>~KaLWmYRab1*E#b-XSyO+}ZF`XKG|dR(OX(-1H!`?;emJjst!I+D
zt>|)Xh6!4)lvI;X+}$_txw2E}5{<&uYZI^BU0wT2ezAqa;?girxuXUBdV&I`#(hu3
zEZ-_Fo+hkt=8o5&B=v_n(#Gd&j5%2OxmPY)wPv|(7w_HZ35LHGZ}M)IZDRM<TDS95
zz~ua*N8VlcF3#gwrPXzRma)cGdj|Wi*Y|fEvj3DA<+{qHX2-n`o4d<@$8UX7!nXOu
za<0Eq&T`k(X>`4sxpTE}=UbKh(q*!yTonr!zTn>4Z&WXGT-Yk=Rn**>C)CCF964$+
z`{Qwa^{pFt1#c9owEg?`?~35xpgq^x6Rz>y(cGE1^#7hsJ1_iV%?NYmJ+Idvu)(!&
z_ZHtrA33V-@K;@NDX9DK%xXp!w?oa%?j5~7f|8bf&z`b)zIw%dZd<OSc#;p_R!<8?
ziCHn8MV9M3Zt^I8y2EloCd}IC7k3MT_qEsG=AGEM_xsV-J5o;!JDP6aU_R0O`*6~M
zPp!=7&V?UMwQ-t|A8{)qYD2Qou8<dTn(FT_zvlYwqV2?cH0`76$$6S*mzCT&y>24o
zMk9tv!cqT4`7>6r@GY|9HH<Y&S=;%_@wxr^^bL)Hw**&j|GC6)Z~Ys8ey7Ki-j~MS
zyJKCb$+t~jf2uI!vZ@pL(}l0R30BcQoApBfoAK*SC-=`hw|4qxsdd$Rk|h`}*<V)m
zU|moyw$qUNi&bj!x))0>8J5MdtDMrmxZh!)x(L_%l)g339k}#(s;|zPvgXpd9rjnP
zA8K)()U>|xtU~-rPV|GVyHYpr+dHvL-Hj{w&8H<ru4(!r>DSl)uMjuR;&J;J#?m&8
zjh8XU)<Kuu!TZ*vnsa6ot;9RKI~JZf%BFtB?CH+3Lt8EgX3lG^T|D`DtV(i9nLxem
z=NeAI#U2l}?vy)NEPs9OXG8kq73a>Z59n+U$={RezgeLnd*YXEX-h>P?%OCTq<P~1
zyI1f2#ePvt>RsoSpx(~@M(1Mmhon5-*4OWKK9v2^(%S62FT^6+>}q}XfxmUq=N3ei
z1sR$9-ubPqv&83Za`P0oqRF@3{R?`buOaiSWpC@$(AxZ|+H=-=rA}NMb#>B*r-yg0
zknp<fdH0Ngw?(>;{;j+06%zslx&EBUzTXnwnZ+0|=So1Y$;Wbor!TgbI|t;YOK&_Q
zZnh!)&X<_~<(m2X7bvd(x_K9ig4l{v;ZON8x4zwdslMw$Y2x*E4fQ^U)35d2ZEa>P
z>^yE6x$Nog#j0#e=foXpnli!cZ!PnIvlF%*<+;>#@+Z%$gf}ZLxG1a^itfH%5?Jy4
z+ts^)!3SH)x6W&{U%?*U=DF!wi1La{elvdr{V+V)%gy!UM{0kqlV8E%wKFC-6gq89
zT6ONOz`NT&rRO~_w*TvqAgPmD)yMUz+H#%c>tE@&q*|qxww>1Fjog2jL;AzMwa#ag
zg<k61bWRTzd1IQdyU1B}&Gw*U;+mE2Q+Jsx4M^MdWU`#g(LI%q$|uYZ7r#7xvBcuH
z_X?(-zTc#;^?Kijwc<~0BX-5E<rj(0&er+1VqN&tt5a&PykGysTm0r^8xOThLVv^s
z-mm{s9Cd7=NO|gocHS$#U9-y9TE@JY?|bYVcOFZA%1z^E<&zfX|8m%S<>sTk!3FEi
zWr}`QixbNIRKr?*ZJEI}fsV?nMH8;@dw)-2F8|7Fq}Rz=E4;twsU$1c+l!uy6Z;B}
zHNOA<Y0vq*8CzM3n>Np!Q+@2S<7wT)4XVeT=dXKM^|_#z*MGZ1$EE|R4aTqMF_mv~
ziVXLBv($#++~0DA{z);K-2oRTFALzGzIM+iejSzrHTy&axeZoj`7X%6Z6@+s)~WtR
zY^`+a?oUaLi!MC-n;TTTt%y(e0_U=w$5vKvyc261u-+-ta&O1)<y-dVADg(&al;{F
z-|LUXe{9iIlea%!@-iay)eNz}Pv?ER`S@N~Ny|f<v&&j9zp7@gIlYEm_PomyJ_|0M
zgMTj_Z1q!^Y_1n~)qd6G1;P3arrj@DUhF@6d|gFtZnstVyDK|YHn<<ITA3BZYs{Ej
z7h;+{sc%N^uWg@P*B#xmV{+dz+q_waw;OEy9d+o>?49q<_<i874+^WPuKRuA={wdQ
zCxy8=J`0vzjo7F-d$G}h$+@w&r^+0c`ugBo;-}RfFYeq@Hx>Oe_t+h|pWNEheX3Zw
zw_nwd>kVDhD;FVs{Bhft3%RFMc88n1-Z-yBJz(*j3w<IJmil!i*RU+uZemuxc5g<T
zywb-N5<5%h7AA+C3w@Z+FLSr?#EF*rLq1U<#~D`KYEsDmq#mUtx>0ZK3j3Eq6^8dj
z)HVN4P5qEN>qGxv?b$Jn*RO1^KdvnNBrdxr?!=p{yJqptQD=Vq*y$`Qm!P=xUvFUV
z>{omLH`n<n_ZGGt7U8J6aYlM+*qnP`%Iv0ny(}*foVhvQMmq3Iqp?AbE4%fS@a}zm
zX967AlNM-2wn?44_~WIp`K?QZ7AsAjte@(5regWrr3wlMM0gYL{e7pr%jUbpMq49Q
z&5Ihr@&7-b>TmSVnsS~qt|KHZT;K%9uJ7xD7dJk>d&Tdhsn{DShlLlszqs8uJnL-X
zw7%lo1LJdd!;dYqYjf;y`ch~(%lYqFkxd34XKpY&8W<{d=7V9}`@Gu)QM^T)=Q!|I
z7ko^e`$CxWx8(M=`f1AA>uPyTT>2QKHyv32<DBxH<fty|?4Qd&e(|_-(pxq&Phz8_
z`^Qr&=7&wNSh@Y{qg_288kJp7wQx0W&CxxiqN=QSSciG~T;2OKx2%7%&-BVoL96)1
zFRx5AQ^=O{lJk~GQ=PO?;egp^e@VZ&Ivvl&c5j=Xuq<!~!yLtXemCuwpHkMgPy1Rt
zCG2pujZA)8<<k})`Ck%eoX(zUWKAq^Z}|Q2Uzmz&se^8B#m|%_o>jsZLREUo4duiy
ze|lkli-n`aFUjIH+Y+buJGv*THLw0#+;%-oqpO4MlAZLW|KI#l=CHd77oE1;-MDD8
z>-MPmuPe`+JYSe}aq{)|#fg;_-)8UL`i4>L#aoH$zA_=}{pIINq-qx#Ff_dRtM>fd
zkEoL&rt(E?yVz_l>p1n8{L$aJW_N{0`{gf33m+!PvIwr99Wi4;F2~GE_Nr}~5fQUW
z>L$)K4%b`0txJ)8;$z;`4yUI6>bhl@t18s&D|nRutJjT-W~C?1v=1~`REe=)5|*Cy
z@}2i~`2#Iy4VTMl{a~>8(RyEbqrFGLr_VN-w<pzac~+zJ`~9VaW2qMoy!U<-{(hC*
z?5ecJo!^|!P0L>DB64AuM#6zhmCpiv&I-&*3314}^HRw9srr*2zLu+(x@8G_1(y8Z
zeLE*()r&iGHZeARK6Zb7?44a^Yk%ws&s}xR(&L_VS%po5uhad|&)EhC>hg{VvtM{2
zQ$L4y`dig0{%02DKfPZOxK$#mqULHT+rG?25<e3z+EsOQ?f7-@SI<Yq7fTi2D7<c;
zyT?-DW24ru#`uH&@y|D(c`yCTvGUw|b_a&+ex{f;mO_3P?|G`M*ic*gF#BwBwR66t
zgTc*JU%x$CtYoC~@JUDEeP*HQ`LR}wM?a+;J9koA!(@ZS4)=AZZ2ru%R9Kgowe(2G
z0p_!Rc$!}I9=C6~`rhADruOuuQ|12OqnwtyODy?1d2QDep$B5RGk)%fG(O*YaH>uC
z1zwx9ecPWJoV$0he%i!SkF6^z?0Buj1#AsJE&Dv_UQpA~hBkBVeGixf;@g5#BHkVT
z;@rC2hDVD#=w|ZMzrjDZ_4FKUnq}M}|IT^3#O$(pWyZmGU){<wwSTzc%Os0zn|8~&
zU;fN^DQE4@-L$y9t;bF~>Am8^pDS-Z%W03T{dRixtj<lVBG<aBsNC3a_I`{p^Uc?b
zs<dzX+B?y{?BIq&B}uo~Jw<YQ&$8Bs@E=Nynls5^|0nA?dN;4Hc%yJru72A!pI=?`
zGFMJrwe;Jpvo+Tr6b8&OmXEX7d2PRbuG9u;j^3=bXWmZkioLh6*h}QqocPMScSSyI
ziVr(+d$p<Z$%VJ>TZF!wU@vpgszNV=PlW5C!@M(PO_wF+%sL%!Xu`?6>r#%WRo)Nf
zj78^qPF)sybTwaCl6z}I@JF6X#V^~$dD3e(*-epN>auC4^s}#TJ}loduXxLzRV)!d
zXGDEGx65BrnPr38WViL}9(1nZY@PVb?YYd>C~Sul7$+tf{61*cqLAIOFk)kBW|&>R
zsKhPqMV~kWQh&eve4$#)GNFDof1Mfksf>R=xg+}<pR4b$+MT>6hBx#3;vj|iTc$p5
zqyD_$)kxs=T4!-TQI|2QOkO9$ao4(~+Z?=Za-7%9xEGSU`Iu1s+RQ?aw4+&HG*q&h
z(#rh*eMk@vmAE@iK;$a(A;GAuGp+sJ`-3KH-!46Q>on(!!e!29f5?Ap*xUDXc4_7-
zU0;2*x?pcr^BI~`RvBLl*glt4wq(+Aos&YsOV`>tC7P^oGv9wZ<EH_G&@^eMo7tyX
zmL_fHf5WmTL;CefNwE#R1sT6Zj<w&v)A%?0Y`e^ahR-QdAFGb<5L~e<+axS1!}^^1
zfx{boU#$NodBr~9xW~rrn>!4nwsXW-x6Hm<s3_iS5_QkWPg*+2&e%Ekjmgi#X_wQ4
zg8xlx-}PzzLiSV+wg;>8xu#4i4qS8m;8V}+?asXMA@`Tgb(ENSyL4`v5!YG0T{k{<
zevMsVyY}xKYo*c;J3g&iCe`wYy=G-bl+r1u-!uPK%zJa8<a(TYe9G!B1+L-)GooL{
z-TKt@r1oxR$kq4q+xh2LHaHl)(3;9uxaizeWyvkap1z%Mukh40)2gM9d5--(+3f7w
zYTT`NGy1-R>E`_>N;m!O37hNrW5UtNx#{&KYd6gE;E3W~%T;wLyYi)B>7+P4ufT`|
z-LJQ1%kMQ1^S)9SS8z1(*Y3gtU)M{>EEQ|M7TS0IFtcpoGv8VBm;1};IBY+E*mB8|
zgh^i#8j@D8cyiwGiMQVu6QwslT2DOMvyXMALF(B{e|R!ds{WkUzaDwD=jV+@lTuc)
zEjKTGQNM_}=u4v5WXA`S{YobYoS*cyB#ddL(wx%X^VL>nt1s#8oxF5{vY5ngZ{Z@o
zQdYL;W3k2goiqB{xr-JwbX`5S^+-vonM$E?@($C_#(KVI+fJ=l@SpDSwNXX5_T5d*
zcEj?gvaBsk*VZX9|JW~GvEqV`h5pG?!V4LGZx>cRBAg-?ck)S#jqf4exoe{S|H_^#
zs+hVpG_GAbHUG(-kB<yazv?Sn?XczR`^T%!%$~^mtk3JTLf}bN_Z?YghcC6fUBkcS
zu&%+e>tSmm84opAHr}vVu%GAr;xD&88P51Q&vNG9z6;Cl1T6oRbUt_m=iKGS5{Fyv
z>YM$P-|ikh?^g4fC%$(3jyA5@{_E%M|E!T^eqC=@zinQa{p-)4w?B$3KZYsD?T@{t
ztg~?0wzrWY-%lR(-n#6~j8nVatG;pHxgAtHNB_mjwNf|J|0v8aDV4o8vm+<#`oWm%
zR~#3=sb3bB`GrM(+H;*vD|tA6i(h!9t`>TZ(fzO~?`22N@Fj=OpG!?;usL+UBG=8K
z{bFc^tN*%b=Qcgiz3VJ|giTTW*DRX=YtdJ?<1U}PbD(j~yU7aM+pjpUZ<LFl*z!uo
z=+5hHD|Q84tQ9=G^QP&0p|;0XNndu<h3;{ZniAH<G4cAkvNgW{EV36E_~j^nH<$i@
zIsJKbc>b3s7iA}{x%Rx==k3u`p6e_lWsjO{YCpC8+o$O)hn0O^7qU#&SyFMXyO^s+
zOr2T#ZPmRrHD$NJY!zewH=Ee5aYf$|6chTbYBBX@R>145n)xf)64iJ0@-BSbz!=2b
zUu(mAGUw{fnv*;BESej?`mT`vzBNp0j6c`~)$%UKw>stMiUq{Y4)vd9y?~8#uGLbH
zhk{Q^w?27fU9;uK^iRuvTTVQeIXCL{_RLM$iQL~i<}8qiKl5yx*^<)N0&Di2IHmZC
zb;Iim><<%Wb>z(4zqnQ7@uRbGGymJ{O<5XO_(j?x;PAzBan`nVFPHaA=LOg?wzX`q
zZ`(7yPV!+|aE@N!zurSCQac`Yf8V5h>fs`d<C4|apRQK+x$(DZ-OcN(5<7iPop8Q?
z%*@h&X~M!^Qvw!fPnhv;lf^L&_a?o477DQ$0b)}pIu)fJu2yIA_<c^_zQkr;dgbj)
zPxp!+)Lf@`yzuzzpFOM}_HGfkvO0T6#o=@G$qQ*Z`@4P>S@%ARh}Qd{a-1Qt;q_N(
zzLI#3yIk9(S!C<D)4s1g=a{XqIcEE0L6Q3`muok<w%FZ~=_}04c)V0DuAoVx^txPR
zhFnpx(6iO&(@zvWu3W_*=X$_;-|^ye`{$T%Nb4{6XMZHPqSCXg<)dM)#g7TPl4q`&
z1>`6E+j~<mf$vjFs6|?-VYNb5<@uWrSYAjke*MwIN5$aa@_>Zu?aQp@Pg?Jym0w>o
zG4gFd+42_-izXW#_W1gi^^mg5zsvt>G=Dn?_5c2_*mtGn)v0b4yI;IM&VloDJR&>N
zA2V+ZzLc{2g+#*zi_B92O{Z7|=BL{4R#`ipjqgS5oR`N<`&ZcprIkMWd1lso_Wdq)
zA#>F@Gf$OuH?F;<$Gq}rMV3qW-_PmaLN9k864gBWxLAm9OM~4?o{$%sTW%<rtN7|l
z@K#>anv<EQ6KyGWppN<KhHlqs3dd6JWlVJHihuPjJM;Or!Xw|8HLaebnm@fy)ymF#
z%8^Tr&xOJY7Jgs)RwbiiqIr1g{?EtE*NZ3bm~H(x`KIDNb=j@V=_#{|_7w0b-Uyu3
zJNMrine?CTyeTYCx<s_!NcDRJmo2~f&&yJLgQJp=>ipg3i@s;x7R<P&oTh%-KEj4I
zbc^B!!)xiPPZiXYo2xFq?N4Yu&%B{(zlPb-^KyqbpAx+DI`&=f#jC0tboM6wxl|Kn
zpk>UwxwPf#q1xxdzQ^4EZtc)aIA!iGrR2)9?(jD0x~ZST%&*Tib#V7g)~c&Xi0iBo
z`e((c<C)R@w|4sc2@|jVX*kH3T{qoh#feo#et&GbmppA1*J6sv%}D){bX86F@Y>5(
zZ~wOT1&FY+eBAKoy?45K5u5DMZwYx@1SQpvyxJ+Sq+rttBk#}?FaPnZ+@-fya6v$H
z-5xo;$;}%pPcmAhgy^<>6#AH$)H}t_KH*!V=Cj9lcK%<YXv3CrW#WpC@;sNP!CM~v
zV7{K895~^|C;kW3?4j=8a<3^D)Ia)RyH;)5oRtbcrNuv`F+9>>4t;v3ly~2|c?BF!
zGELPhC&qo<@zO4FVnt%nfh)onmK5)-N$I|<ts|?yE&6|eTF;9=HDzfDFW>t%)v~QT
zcO!&BZ%4Sa+tz0d3SH`vnkM$rZTeqxlkIFd6kIfSW@U?X7-T#)xKu9@=oGuoKENwb
zB0%nh-GPdOPM0pOd$sS@X$$?W&!3ph*!ObU_vO=E3zuJM?n_iZwW}<_z2)~|y_b4t
zmNp2cSZ1zW{WE4laLX!@drR1&&6?Txk1-fOz8!vd|I*W|DoqcbJsVe6G|8-Db<Weu
zb4e4s<tBaE|C&>GE^{%1X(Zc%vMDoVoLuW29(v4AxTV}DW%fGWyLpPvPU%~nSM<VL
z->T}0NTvHMS)tVa;@aA;-@W!;`LEcRBd}@JtX)b|G=df1y-!|qdPc7Q&a=u&tM-dO
zDrNC?(sb~9l-e`r;ryG~#-BBe9`9ebG|Z}%d0zl~{I;WB<~stmsm}D6x63#^FDEen
zzo@=X)5}0tsg~yNmMlz-tX{9p<@WMeY>HB!KBxPi(?SjFl;b<gFG?&Am_JqDn?2v|
z{N7FPxLZ7DsmXb7+`G%Jx$K9e>vR{s+p_Ib7|)()n$Dha{?i}NJc~PutF`YYEs;tM
z^_aN5b#-3wwS)UAr8akWyB}KrWc^JkiT<TmA6(J=zvQCnUfZO2FD4a3lmF&dqMqyc
z@h#K2!t_b&LGJv6ZVjt>l(tH8JPHl|?VIs8S2y;>^^RSd8)P~cF#nsdZc6B?9;>vU
z^9pBLwcZYRGQ%;#Yvw!-zJt$oyRxErK5y)d*j#8ibLP6Q$<M@+_#}3C&wFg7FPN`&
z<xKdG<}@qT8R8cFpDOv&ZdnN4DS4Nj>alg!<Y*!H>*qyQG`873J+SIv#AV_0yM#q=
z#`a(E`D&Z9LV$T!LVvx0$LTk>!sVZ4%<=nu)pP;3j9i=izoZ-Q>Sj3~f3tnviKixV
z2h;CuP=B18U(PSPXcFIoNy~2T{c@<YaLtzdPM<q$g8$qu9oP`5@T0eL0<RgvjBA@h
zt7gAqzPI{?tik^|cbeumZ+h?Fk;qc}?b>C#OTx9B5;E-UD;av!VvBCpnOqR@*<h>q
zr1guwxl~@>BD0m9SMuC9a9@3WVV<sQ*az7^F^4u9udr`F_C~4fsOrQs?n@N&|6Wq6
zo&EULqy4)l&t7;T=AfoVO!S|5Q|~84Z~C+A$ZNi1JDNA^8D!lO`oxkIu>8R*p$}zM
zU74~qYBJJOt7j=VON+b{{;Rz1x$Jvh)u)}Fa~bZiB{w_YJJ4fdaR1y_iK0lWlX*E}
z-HpMVTHB5aXR7LdNZ7V?Zs)yOJGX5u_pIa(PW!mt@0Fb5VLR^qvsQ|=d3|~yB3-e4
z>57G`GY|6r_jDF`r04VN!G()HM%wdQ7YCh5wOZj<8UIr!T50z8l|m~5OpNzOx)d6h
zH-+95`Ll74#-Ts8Zv!d{O2V(^7l?W6mJ<9cePy3Pc&I7&qk`#bYUvhiUN^&Z*>lz!
zYyG@Cf4{bdll}BVY9}t<ns#Vzc-NuV##t^wM<#@I_qDW4ZoG6)@xbEeGD0U$96!VI
zF1OM3?3SXpp1PO+pAfS6cb5IU`LW3%4=%2|lzyV}WbxyasZU$H*OV{*U)SKdd)Hpi
z(h}X2n*uYO8hJbm_I~laBIeJ=G<ELbDL<l~b=j@I+4ge@>r#tDMGHQDTCO>l$>ET8
z`TOSBng35`yQEyy|GvE|^rEVQnSJ^;Grv3D;;;UgE4n$^h8`@sv*!obT9w{4=N%Sg
z9Aakr>h$+)(~s4ryY3tGJmN{Xyz)^+mu6*<#+6U{r4JMB`DWC+sro+kIr6Uk?3(D}
zk9jvDPDki^C$2r6npLW?Ajnq5B=U5F{2t-ZT&|`C7x;zBpEz89(4!Es@`~z%Qw_q=
z4*!==VcBJNR%h|ICqn*L4=$U%{NoIcTKk{Je)9GQZdaf7e$m|XnFmd0JQfQIZft!N
zBq_P|Ru-$0p6t8kgR37-&)dJp?ahgo5~|l{|6Tch0@tig)0*uXiG{z-{KO`xyf0N3
zaw>5=aNV|L--pC|s&*_lJNCSo=o(WH`J>a&#l`u9d02Vo#q(`KI#U={US!PQSNyxg
z?4d8i8solN;XYIU6qn8grOdxxFI`g+vCXk;(;bN!u5$!J-*g)s*mQZt#7ZvS*Hsx-
z(ua=-Iuvm42s+jJqszxun{oTmoqKJhO8zSKTPZA>)oFYBQ>@>bmbaE`9vZ6)$_0Pj
zr2O|`^lR}et-gZZ?YSAb7iLN`Nvsc_v`#K_R#uC2D}&D-KY`velh!S1yjPf8wrsMZ
z#Hu2X*hf68Z#CZN_e$Sq$D;iD(~pc>si}(|Ed74syCE0<{mwb6yB_b2lzZXiXexZA
z<*{J*H$Mrl2WiLRqU#MK{HOAsI{kn4A78hBI^k1W|EbM6FtsLTdBFM8lMc){z5RXl
zU)dz{Y12&CPI&aePbt0b)BkM(k;PYKSOhZO?n+GE^J_!JEC!>_iFdVba)ji*ExUWv
zvQSYgc=w%#(|WgKTT~`h*XXY@Ox`lhpgNmZw8-OQT6WG^2^%48eb3oZ*L2g5FBV+l
z>TvCMK`8qqeU^)fD)l{UZP`sv>zX_k54a!~+Ug(jSlp27jI4?G+NY6~K55h0e=;0<
z*m5LzE=ThDwu?N9FOF1g3hPLYD9Du1dB{0?vW%#5p=ju*{yi&iFf$4qvpicW#$sj6
zG10^StLvV~TSctatO5G@0&Ct`JYA@}kZ1nJz7&VG%(E1V!xygK_H>JUN?+uL*V}t+
zF3#fUe`BvZV}<v`8OLUGD;r*vo%BJc+;pCE_U<ny^a5-x_dUD)@>%IBwTC62*Gb;3
z_$0M!a@wb|*O8^anJztlDqG0AGiBd*dEUJhuB`<dvbY|Z3!Y!fog^)$b!n1Eou%)=
z6?c~`_U-JL+Z;Jn>+{!m_T2P?Q(o_v{58X+*IK$tat*iA{kTtRt9ZFzKRIu|yrSj#
zUk8)O!>J3(Cv<7$IY)g?Vqy2l=4m^4|I|dgp2wfIR7^h6Q*~jQthlvj$9oR-##>t%
zLvLM-S|uP9JgFo&H~A^^*_G={S4Ekxjaju|Q6ne+O@_LzN2(#aPE39Ee5)|q&H0Iw
zxU%?Gr-m)k;a*x>ocNJjMPmNk>UXJIHcn}3I~%<>>Fh$0$LsnkbW~Zyr`-ROYbrVY
zev;Og$jG={_FtWkW^AcZ@%kqC_J5Dx#67{kgrxZuG#l?9`;)$`Kkn5HRkpQ@C0g<}
z*?&v(+>z|idO<vIcaRqM&2EKb3uE*D=NVi!FIbfx#BhAR&s+U_x+acos)9Es9Fu#^
z<M;5?{KC11UvsV$<>E2b?OlHIPg%|3F9)Toyf<$=tM&PqF9XNaCn>c*+eKwpc71Ly
zx#TGnqxrZ#fzxc=scCl~^=)|8bN<7^op<(HU;ZUg{kA7IdS`H>M)arD%9Hb}O)rP@
z2U+ELbNpDgc+HFa<kMH9o1#x^e&;ZXn02u6Le&QKn0pcW%ihg*TqM&qd(OtR4>dAU
zs~k6Om%gwrK(2ma%?y_Lai5lCZB6X)>6)UJ$+G$LnVz5VDIc~>ovd0S-00BeA?sad
zXnE7u+rr@TtJvh;-MzlzUlb>NPu|{s+mlalsyvI{rTBR^T2goGcBh8<DXMJS|8C6|
z-|MqGKTY1OmE_oQ@Bg2LR=-1E6>g8X#QD@=>7un6JQ-TXCqk3f&)u~1f#3Sz2Q_C6
zp6B|nnzCnlNM6IG>~r(o-fXML+MQrz^!CqW*YZi{{0{gn%gg_v8apw>*+nK`&I9(8
zS08S+a4#+SzxPbB<w8HniL({Bop<aPT;*_zCrMz1WOwg^g9`u8Ee%p&=sRZd`eV%P
zBXWyYq)tn>D=ojOE7@p}8WeF^vgCw22Uq6C4c#2?c=@`8(@UH`Y*6prdvBj=ae}OZ
zCJ(#Cq#v#QH`aX$H)G`0(fM(xP|duC_xN*-SB`Gs{ftu%7(^wP9MRgRtMm2U;qo5K
zx-8R~K`j~+KRh|H$9n#J`;64R5-%Pb8!Z&Sq^;+}F?)BSdqzxfd6A@Auozp$rr53j
ziu;5F>rSdIi(0&IF^2_{v6Jr|Rz)jGjq^NZO?ncI>x67S$rta8oRHhAHgBrLEA#)^
zSAO<>UK_2dWL95!`p4rGmC}c1pY9xMVx8)+cEPo(*{dEcobd1(leU0?^2aSQH@EY@
z)jxP^`t=6OAdNdZ75<yJ+-kx$-(8W&@z-d((0QG3w@&o}WmhT2KcY?g)4BtfDo7O{
zjjw9!GTOF0<Jh&Ir5h4iEfp(NR!gpk<@#Wgc9o6gQG%{Sq3V30Is4A;KRkEBwjEOY
z=WbeN7L&%5vOM#_!p(dAJ^y+wV^Q|;->kl6ri#7dcP8c#p8$q~ejY#7R&iv`-1}g`
z4$kcY#q!^`b?a*^Q`+!h<`b@yi?yY^id-J;eK+&}nMvJCmc46ejMiMWJ|sJ~a`Al$
zk+|cpjc*(aitE0zs_EU8Ia6m{G_vjwI3#SI^GWaA&R<C)Gv~1Qd&FH*o|Jav>;h4f
zBfPw8mz}L!9mRek$M83kkb0t;?$>0;eS+4UJkzIF&j@s3WD^bW`t(>ieB!GT8-ui3
zm4+KdITQaU@TzQ*&zYYuWWdF@Y<9Qd#n}c-9f69McWG$F3K>6`8`Rdmbn=PPwTgu)
zML(F13oiGc|1-E=?%>}a?DxG2wY4Jd?)?05_KnB?9=9LklQ_*E{50l6-+uXvE25hF
z+%1%SrRA!=3O~^|WZU&@gZ-3~Z+`B`$qQVs7uD;|CAcGZRnSh=?@yKn%)9&d=E@Sm
zZRaN$#2xGOUn2doS^vFP>KWsOQ3WrS{NEz4#m@0*P4Bys7yg%hJgz0W+}250Z?P(b
zKjz|-%d#7Ha0b`OH`MsJEZ!V-QnO^gra`nohLAu<ip|UTmEED|o1M;|N?g3aVYgMz
z4nEgwAKn@tmk>GOqW}70spVanoZP%1>x(*n?|%OpaDq9N@t;j{Pl3$uP0wzxD4k{K
zQMc%@J(G;b2LHc5pMQ|FoUgYctjbJarXjQVx{#En(2}oPaubiKKV?4mr1VF~s$ZN{
zpQ5v}_ow>&=sdhUCVb}9#5Z?Nu(K`Le0KQ(E0u^Ta)EO)T{dO=moI;EJI__tS=9Hz
zKIRukP9+N7{C8XFrLtw^o`n2e3p8gn=yY42-c{E+IkHx?_|$6YQ;o_|lMfi(y&Rq;
z==tsJ{p?nL4F|?<%Ph6myRz2h9cSLum1V8!A^*_sUC^tVA`La+rq0<9&g%cTu);4{
zPB7GY?!I%KzHy(wvMea5m>~N2LC@OojF8}0HBoCG?92R+`;H?owMenbJ~+JKZe%ax
zxw1QtTOuv39!2PTwNIP*cWSLEe=y5qGo92Gi~SYP&R*hidDXvJcaF+W$?&*oF1p!2
zb)!vCtyzU&((Pp5R(rms{Kq<GsK4(|Vtuti^n0+mqgT$2$o(e4ZZY2*410GjY5esq
zBmIt^Ez7fKvU7QqSkuCjRHvxq&IxJspXTx7h`%6nXQlm>FM;;c1T@Y*zkcxQrl`1P
zJ9*KxHIKSt;tGZ5f88=Mew+Bevqz47Hdt(wo__FLbw>8H^c8}+S8nUIgexa1Z~3gW
z`JV5BtzD(l?q{iZ{dkrbvRGC_F!JryOdsn*fk)I%>&#kLcQzxR>zeJtEGwJUoDa5`
zDNp;Jw`|Gmfc=wNe<kK~^h)dayUk6zz@%;Wlliavs~fDGhT-LrN_U@#`oDUVICoZG
zn$gF1YZ@<}^Ey&H(<Rk9O;Ne#){C4Y9a_zdOV-R^$;r2|NILKf`yu<ThS)bBUduD^
zF0+^JEKr?RT2$S%&-tbuOI(#k$kn#iDZUl0l95fe>Q$0m<};F_^WK-p=yE<eu|sO>
zJZ8yf>)UTEU1Fma%yY7TQ+eK$+uNUiv7T+R$=AWad!z0Yr^`X=Y%dl@|K48_Eof`i
zRM?tw_3>>J9kW%9GIf*dny;^C>5}IBCzZ3D@3ZC`Hx|QHhngf#pYF-~v}^&7bD06x
z{OBp7t%laIFJ@(*(yg8|SLS)Ezf8l1pPuU$)MQ&e_C2h2x!lxwR%ZE~+7;RE>MGvs
zlWX_fKdou)ke9AHW2d9i?@Migp6RPa*xK|q-w|*<y5}74W682irYmRpHZw0MIP{=j
z-%i@-vyAechNtNr?&q59&s1g~oAK|8%Ea2wy^DCZXYR4M&cVLyy5jqD(Yd<vg7Iv!
z!pA4x{CWISZn?>9rZXFsnIBMe@lk*N_}|^o-FFY9yf|dI?sw4HAC)lzhrBNCaJKsM
z!^><>-G0;jC5I<Hes@1{*DS6=4zG*O`=43+fAes+?T9M#HhH}F(+$_yin%PwzLG8M
zH+t?*a}DVF7Z@;oMcHi=vF+!-Hux<0C6KIg#XGC>Wb$@~sc#zsPVfDD<ELDaY3kjd
zWy)6-Q&Vnzc)i}t;4<&2&Hq<cZz%88yvNxqcB7xIX2qE^(+e0>*4*qdyD67&;@M7<
znZgevws$>Ba%<8Q(Vx3Q=;0eTJOBKhoHm7(cNNv%Prk?MU%Ka+7TepiJU#F3wR-Wb
zHcAm)+ur(K&r!1eX;6Q*=B`WAH!s!U$@UO>_&DX%)3Y1CFG#=t^7&b*Q<FJn2uzY)
ze45ubbua&gExK8{Z_l;Pb<}f=p40HF{VDTgmA~%XyV>W5@06bPUEX^}lzQWolHD6U
zzWgno7$<($n>%TBqFqtJ(^V=aL3j2QpWX6l!t^WaPu=;Zvi_WEw4%<9OB0*J^UoW!
zuKTRmx835*F1ykL2khJQ_0#%qJ$bu8wC%xlWrrymUM(BGCTuMEUb;@uvZzHYQad~P
zu|%f8-T0~JYqp;;bx!%|7Uvx@?`QYKYZuNOO*s|i#dYGXsEzuv@)V|D690ZLU(U`f
z`X%*%G5gx-N#;x+PfS10Z2Db&fn89r#Qoq$+eGceGz`z4Z5I6C<781dqbXze>I0(B
zf5*->ed+d>@w~X$N0#}#!TCo7RJ=B=bCfAAKObDkp;*?lulB&y-*Rsqdy=29J+z%D
z;M17EUjKB*lc%3Qc%(AVUp!Mcb4QKi^3ydRr~Rn8IVn`$ug<Yd_pg(+?yTqESH^R#
z7Rt)Mk<NZ$vEh~j*3s4O2K(c7O;udf+*QfwS8Oc4|MIFM2i+{g!*6}$owuo~sln__
zTfx3ZbC$0@IRA`qK=8uDRju2D3UY5}?Vr0Xo2^hpwbf$Lt+plKi{cG5ZoMs4X7mm3
zSW+e&oXj6w`=V93BF@={)7k5+p|Qk{MY$_@T*5E7hFSLf+)-j%W>h&n-*Dltdb^*8
zza8>Az`3J5V&eN-H(oFaH2>wUy?NcS*2}g+e6^SDjf{D&yjnkZO!+-6Y}wV%>1{j8
zV$$`G_&qjP+f=@G!}9kXeR{Vpd@lEO?pIUeVLIy2xqk6Y^WO$HBV2XQTD7%3<4NaM
z_`O_y;-URXb0<zPDxKmtKXiJ%oz1h)H6|~1CLcA*-PIpymwui5FW2PdB`i6ceV5ML
z=5g`SX}yqyR`)9`?9M-}_RX|k;y$N)`ZZovGoSDyyEqOT=(g|kd+hH2La3aFG1I8Q
z-g;-^{uNfI_lVe899h#VxjSFkv*>7apzWy-%||9aeQta1!-`nRBko$$W!Fzl*T{Lj
zBPi_@m%^`Q|Gu?c7wEXq|F1^EKE+CZ<B3F#25nOX<(Q58c{%PBO_-e7-zFT@TKfO*
zhqsF_opnpyl{N3-o_4=vvF<f`(={et)mBJPf820KPDv}tG{|q2vfsqnFAm$Ubr9p^
z(W?$+NqnSw=R8M_=>>E46laz0r<>ASKHr_Sd}B%tFUPI}tB&i>s#Oa-ez4<6*v(ba
zw^t}gJQTU<`NP-I!qUc)m9J;{+qWE!S!*8I9NTAe<Vy9Agn&0Uqu0%{U19gI@QwB5
ziM6GxRPFkk9?zIN!8Rc$g1Om9`EIi4F1rMQ*qa>PE+z$cr>k#Q_~*NU^|NSKUreya
zmDy^(X%4rO?S5FV^*=oQd9j*q&0>vnem74<1>e8E@%lcU(z71!H><_{Cs%g-+#7H3
z<+0pV^}7Euc6_rFKRA|&t@tO;dgp3=N>F>n8jJU9c24;3K5x&Sb82m8|7SkoVe_lH
zdggt{+yGY_)t6UmPBfJ~Tq2hp!DNwt{UCRxm-c4KT_(D>=Sf)cF!A!N=hVD+;r5J^
z-+#(`E&A9}`u6oz{)I9wlXe#^zVK^)INS51ftUBqN=tclbl30fLjA04ErURv%)dn$
zer&%UnJ`_SHajghzep!WLB4MGA^v60+xPZdul-leCD?2xI5~6Cp1I3sNLYN^6TRbV
zRN01vZxb(`J-O_y$+t-h^|gyH2EBUzCuM#RTf2ei>Cewtv+}A{6AV7T_H1J^ZJ!|9
zot&AwTsm4J{I*M%`|X@Z6NRS~zd0tgZlPXJU{TJd6KN^`xPDy?i2k*ElciMruBu+i
zJ$^bpg-_ZVqhIKkZ{}E}awv^+w!?qR+A@W!Ay2%`wl+MNFY98!=3q4GO0K=cGUho?
zmELT-GJ8Uve(&7`gO%N<&mDbd&cDsq&f@^bIxfF+=APZoNA{~ed!O=su|Ze&rJE`o
zPM7BKPb+!8e)VtbIF_7wiV}^p4@?k|vsm8tPxWbO?2Di~x*;+j_&xY7W(F>;pIfab
z_-y_3ovW_g*z@NX1Aph9>z%7DORi<l;yzO;y|pVm{jhS(YM(I0SBHA{zUkSsZBgLs
zp69b}tXOvHfSc12)h%pqk8Ll#yEZ|sd}Drj<r~{gfpL=xZ$Gx*U95CkN80eHy3V(+
zCnlv>mO1br`0%b|llSc}TxKe@M-@IU+;D$-@M)Ds=OwRBGwlsHny;i-$7Rsdvb*A9
z?2fwU!hM}B{ADIBofgrTreBnPQuNW6?Xs-y^}7;By&r#DzpUS_u;f7OP1Se1RjO@*
z-kF9?Ns}tw-k)wH@?P^DfANJkmd$Jx>}TKVo#wxDW2Zjr<(U=M|2bkE63#rR7q8vB
zC++xpmmRH61)_XQABH@%Pw+mbp*8hMO_l6oJ;S1(NB?tjpK1tGXURIt&cC<H_MOhn
z>VoIfg51wd%8;^mO6!agthBlPdRfNJOqDo`>l)WqW!Q6WRp-bo)jR)}!N(xCMSAy2
zRo;7>4}|5ck91DT_b-m^Z!Ouf{_msv)xReCPw4w_@#h{{G1+OXQ*TMcWQH#~+@1RS
z+qDIjccj_0->yiqoN{jeFOkOMEmm8fhvr?3=e(iXc&6!8s!4*kv!Cd^J6A6&nKH@G
zW44;Cyl={TS*0U)&+LA8>6i1ANemLp1k@%(KWDefFs;=UJ8mL#qk;Wj^5<&F+>Pf<
zS6ps<x0n00&E#3nmOS{^pJgbUpxzXJ!oBaxvVQ9geuvLJYd>;G>B_7S)n}GZLU&C6
zKVj0jSgrcC>rV$wpLx1Qa%EO&lu)wVXT`ET{;&7AZf*Lwk1b@5LYT_*S&wyjfBS8;
zGdAqsv0{<l;@iTxi$wU0miPRhvVn)SrsKyS{#z$n=LsK)cz0{f`R(e)l93-@3n<p#
zOO?@@cjQ{^qu5(lHc$HFaQf%_cE*tF;?KN!RAyaV!^=8#na=51amw@NolKoRyD)oE
zbU}2;`h~9qUkNRHa3^f(1fH-$nI<i@PS18-=d|ZVns?@<?i4L@pT+ystXT2#cdJd`
zUoy>-t2D2Bv4?A#<@2`BUH24NSN(lITjEuLL4<C2Ls6~zDV5E4wyhB2xKQg?dv(q~
zMw5Vx@n@BIpC+DKx9M9@p%RPjL7nU*U%^SXr4#4c^?kOq7ja#XrzCgYb~0D@>Irol
z9{hhhA^1>j?YuXyZ(qBma>y?G?7L6a;U+xQ^Nkt5OqDtlQ`F)hH?iUI<2mLnA<=hl
zK1+R=7|7OgI$v+=npFuRK8Z6FPan-Oe<Z|I)FOP7N%KrjZ=GFBLyP8YU5@@}uJ%W>
zuTCg=oVYxa@6&}Py%zuWcr0kyd`)|6y>{oZ-*x9QI<)zZH80y_n)#kp`?SPXZ+C;x
zEUVu&T9?;2&WJVIUuHICij@7&Gdr2%SZ-}nQ(W+V`uhD-CN1(*eWUxaoHsw+b&1eV
zZH^N;L0?@{+24vq8L@6uNie_l`puHhTx+iX_Wpimo(W4t^6iuJVw#>c3WesTn^hL?
z(pZyqxk@;-akG-K=8~%{m;UANj;qu4n-Qi_J(cm;D+7<qTO!05qYZan4=iP$dd!k*
zqt$jv?iv|!$!B4w!-WqE`EJ*EmNtWdPjgB!@5;aPtIEaN=dw>0SYhb@FvG_B+zvwz
zZT7Q_m4{qaT{`33I%}ncrl-x`H_ujxaUGM?<MYoJJ>>8ElXN}CHzd~MM9CVZXYI;K
zVlI!}mV7b`?fR(K|6Z`RW+{WqgslIEbT527^Re&toW8Fnb9%nTeM#<;Z8C4L$}{&2
zK5@u&!KXWs+kJH%F7T%wjGHOem+bxO>rUUtvNzR)44z)OpQ}2j<Px*viCepGU!4|p
zh~ZR%*n&NBpRVym-I8Cpk4YeEvT#$_zq!lUPH!<i6!$0MjfX<KOhf8F2`1f!l!wn9
z_s&gQc#AhfKiVxa)m!<M{J)b&^yf-Vzn{c={`J>G>q_Km=INbZ)amM)XkXnO&yw0I
z@Vq$rnN%j%k~^H||1I3G#U@8aDr(J~Ml+oUJfDjLicd~=KRlgZ%}cH5dE$P7&1pVu
zfA>1^7fNzmO?apt_Th%W0uj;K=N|7(%3C9pp0Oo##@284Vof}Z7ae9-bBnB1vbeG7
zLks6seixyrsgX_p<|a2Wn{a((l=vI7MkD^_jvsCBEbQZS|6hJ4yP79-OU}}{6)OY1
zik#INWNMFZ?u?o)@vYd2Py1?Wvh+irfSEt*Y-{Syu847Z{BXykx<`#+igL469|YQS
zm>GVZnqw#TSYpxo@|t$tNBxH`h+QvhbLUBtv%ax8a;H%4<NA%+Yb%$2<SCaZzVt23
zr*mPWQ@PoE`^9V6h2HhmY<F3+_Sj+vo@}F_^7nVYWVDJ$>}{QNK*WFbV(<2qB0UZ9
zS9qiB{=J=aa7&s`UDK4A=4StEbCqM?9@2mRmBDM>iH<uPzaJ}oK0E%dthR&xd1tdQ
zxfin4(neLY$}~FeMn|olS%3B*tAZ@|hvF|clHWw~gcn>7d%U7^BBO-mr@yT(KeDGs
z%3p~I5Yr9VyDNU(X2Iw^7gsoct~&5#jph<vJ}J-Ub|v$a*7Xv#e+1<do^XbrQB8~d
zWbi)G<b7LYmav(^GoPPHn_Zr-K6LkA@_L2m8OvLmmY=y|=NoIhg~RPX6Ys8;Hs_hy
zS<CbfTep8PJyCd9!#-JoIiusY@D#4jRWEGcPgmc*pym6zIa~Op0&d1@s=s?0levhM
z{dz{pY`wIZ3m)>WwY*k2fnm{KyNsQ|t0r9t74_5z-u~tOv%-^()2HUVoTFX2Nce8&
zwZx~Zq&F?<)Lj*J?m=*f%ACyw9Bfy<Y1Qy;YP2<Yb0)H9sSxkt<pK)ZK9?n4Du`;o
z&eq%Zf3K@!#pg5Y*#jRt^N6UjGavuOb^Ap~;_`h*`d0jy?5}pDPCa*OnVt52J*%3j
z*X>y+Y|rlB^RQ1eOSMK_V2$+q9eYEzob3+&^F}94M>J%2jNXHXdj+gtnO?Kj44J-Q
zeM0ocn_qb?)4v5+yM~@R_l#*8pPJIX?BnMeEuTN0nJ*%Da;ettzv-<9`DK(o*XW%R
z6iAIe>7el}u&u{)Yp8<e_9)3W%~pY{y8{+hZ?t%5;q@hD+3l>4pSZ8ue#_SD(fYjb
zRlvC<O|ea9Gfp(>)JC(sWVcC6JN#5TI*Z%P{0iIQ0AH=bg2NVR?IvQ0?L|HTYwK<~
zA24t~>K%I0Il8IqPm#pDk8>|x7Yut?oB3nY{iCT2Q*56Yn6T#y*;T*soUo6fxZQwD
z@QnA8jX!x5R0F42d{LMqKc!Sxczsu?T1Q`F;yYP6_qd32W-*~NbG$pVr{CF}-tn<w
z`&T{d`86A^>7VD!uJzH$H4bc-*tM<fcyH^2X`5mXF-Dr-zJ4ur%PGy*?AISQCw%+0
zIJo~uOiGjUR9R+i%dA)T;%~0y(_4O|RLt76<7>*yef+nI7C)0Yx!l<FMA3<lvt(<Y
zs|3F)%{ZMSvv5vtXguT1sJg9hRw$mIHRorNRBx<YOT)aT<Lbvu99uru{gC7O`HC<4
z2}hJ^$d`y>vn$I}EuSvfb!fqxS<J^(>T?>-&O9Dix+l@tE?Pco(H`YrQ5*hOv~o9T
zTYhbFc{j0Pi;|1a^i_gYhnL?dd}dwPyW8@A8+XQP9o|;kKLvkcyA_SZ>vvQ=(OYxd
zWBC#lKkxNZ>SZTc9Su{c|8V>BN9`OjEt_-9uMaHT{;yx^#%7OK>MA^US5=>J)BUwn
zD6w|^<c~iRzdHSXS9h_tHb+mb<Gad1o7(j|@?O@x>nRKJoa7q2H0u!0{AK*5TP<`h
z?fd)XAwTDanTP*$@cr~!6Z(B`)IRQZeWUt6d@C&Pl<-@WPEKK1d0R|GC*h5)rlgnT
z=9;ygf#<%izMuN~(WlRSQD-6q-Si&`Zrz^LZT@}#zxV79&)#E8C^byp|AhB$eX@Mv
zj9AV31M*AmE3f_P885hh@~`Gx^^?v!j)XmbE8=s|V|S5|^|L+azZ6W}ULWD!uI_W!
zxNpWwle_gA-~TnSS6ke%*~0ipUs7NS`+*KYlkfGf?tZ*K^_h<K%z2TU_wk>3JmZRt
z>b?J!`PP3|TWU_qN)nxLZIaVo`$ntOJ$C#o1`homuPivbZY`NLe;IeFT*mE>JMAP+
z<$qMqzALMCh533=-0NvI_F})E2J$^zY5%iUzw?pd)>Bz|;_5E%4OqGL)tEm_m}+4e
zdGNgChWX#_)i*zqh`s0)TDJJSwaJ{zYW`m<3jOz<ecM(2@_oUa<%`496>R5DuWMY^
z7P(?N>+ESOKezn}jo$un?*E9A;K!+LAABzVon(1!VnLYL-RzS)N(DCjdg-YkYVhpe
zg#GeY>K4yyR=?sZI{E2F-S)0mEonK+Pp<7T`e2ju_it?Lwt}6G`46A$IUis0SFD*~
zt@+(l_UraXZwMG(-?#Sd`>Oe(G1b#&iKgt4+c-0OhUUei?<R#`nR}MO@Mq(bb1V1M
zG*6z;Xtq{(e^|#mJLAW5g#Y?4ai3OJ;@9nDX%hSU-zUzbsrx^PXw5Me`KgzZGh?Pg
z`Q_}l%LTHnKEBWOxW@H5!`nTcgELyp@c!<EXQr#?&zNnUrI)k9PGPo@>Ye@CrYD=+
z|LlHi`d?8<j(3IB#VBL;?=s&uHT|Et@jr{yPKjckx3(M2?z8-1dw1ei$iq^POZnl3
zmWO87uez~m`O=e{X3Ut*$M5{*(VFBpGcPQ+w&ULTL_(tE{mF!nibqTjTbTdeyz6DO
z)b`}d=S*GVb<X-W9$cipb@iiD)jH2k=<W1zYM2>(No)U)d%U(XvGJ33oqf3TP?DMC
z_L^rhJEe3pUz~e-o^R8UPeI?_?Yzp%6ZO)<zm&~#*Cde#HX%JOx8(Pj7HoLy{LSQ+
z&0=TG%tKF&PdA>+x&Pw%|GyX5pB*scU-&YhY|V*je}#5mWnQ@V%A@edTCIW_VGsSA
z|7mp|yYileU-M5^dGEC)i<OLIiw{3@m>W7((adBvqxJTL*-Emrb=aO9+nDfK_?_9w
z(`%frw6Y&e7dL+Xv%KuLORw8x`JR?N0`h08kFH|SGm6}&D#rWs?<CpxXV=QRb44GC
zzO~h7&(Xtv?%kISS8b|$&SvO-#Nw^FtvxGe)6>d%idD@)2YFAVr7t!)|9<P<E~CVK
zja6Ix)Aq@Kv#qJ{@?G+sVWnbUsP|8`qy8GrmpZ=x^Jrt(vWMY`iQ<DrrOlhw<}k1N
z<+ym;<@qNaRIi(aWhkF^O8h#zxiF+g$HYT3alXIl(Yn12$A3D^Y%*4eoB6O!#anMv
zr=Qcj)boFn^`<vJo$9l;s`b$6H@E)eeX{8-*wE%bxlY7xYI)T5*KFIKn@`rBCc?WV
z`l&~EN9(-sP1@gBwysFEIZ(Dv>{d*~?9G0`>`(pIO;;B@FIA@Z^p(B3L!+#v$+rfv
zcVdxQxA)mHJ(}%xcALo4b-Pb`DBFMR+L-*$Y1R?vP;PyL>RYR0Cz&v&bo^_~-NMHx
zv0<{ftI*o+!$Gae7aPtS6&tx_<e&B{IeJMgWD4gpeX+z>+C?Wqb35Y>D85$W^_~&4
z>wetKqes{3JD<u}KI_Brf8MDAhj$-%D$*_fK$kDgWs&;rV>jZy%{|5b^6D}b3HB>H
zzIs2>=h^2|#}g6z;jKgZn$r<7yjC|FzHdHNFV&!$(ti4y(c#%Y)Tb{j6idE&u9~4f
z?e1%a_-NLw-<usvt|cmlnZ#Z>U3$97Jmj!q&dnVU^cU7Psjvoko_x|gwbbCC*3~~O
zu?bHd^+W&Ny6((s;InJ~=0{97w(UN-^lta@4I*oAD~qvliB!4P27CQIc62S<=i2B#
zOY2Mjx36*e|2@cG#ofv3+KYzrLhI^V(|TWhV)lC7nY5&AmdWCWe%F-N)@-?{-C3)B
z>R73Bwqm`k#ZQTiXPC{rBHS579bWBU#oBTDnS6-Wh0-(Uu1X*M;;yWhX=L$pUu2fs
zuXJxC=e9Q!AIG!>@$=T%FID1<<J+kf)?0o3yqkmE9m{`(r+A7VXKmhW`JwguI|t=}
zS59ZN*jH^l_wU<1txvIq551>c+#EaCNOFD*d)0B?_{9Y+lR^}CymOYCe73rcY4f4_
zHFHz0D=;L@SRD6x;=IKiLY~o&FUrU%uS?SS(B}Bxs6*$|!Q7q<84+j0d65#v55i|Q
z*IJdU2bS?EotbU=`Tg(e8yXL}4@_$~<5{X)P`OAijO(sw=#338-~Rr$($7`lv6s|_
zIKd5^AM!tbGxD3e&6g*r&PJbQf9C2}i5qi64Y}^^uP|}E&22dQ?bWtQK8CNVnjMoq
z{Cur(*)MPQZH71D8v5KaqJC>`OFt`?{ILD!T=Cy|V!SUsAG;`}-}xha*V6UMvezqG
zpRgCOR-fQl?sRoir@Bs_4DWAwmZR&Bul{$SjlFrtFOHr$k_*qtKfRo`kbib@nC6C+
z;rddG?gfaLSF8&>RrgyeX;aB(4U3gW6k4CxnV5V^yu0Gm)aBU>;wf8XCvCqg+@yQ;
z^Y=s9ss+N9zx8;SuQRt<6^QQLvFmYae#oUN9WCdTx2E+)zG;iR6c=*M;E%YsCqu!7
z&2B|)0rwAP%lff0+*Z&?S$Jr&_fMAc{FUB+gCbYAf11Dl+4b_r`=0Sh|8l!3`ry-c
z^O)4gWUu8#Yj=EE_+x^1V~yOxv-`jEnhD%pTM=X6wpHj=LgJ~pw$m75vUVN&%Ej)k
z$Jr=m7|{2qt#xigM{f4zWvLQ;zqak3mKI<2L6%`(&7O0nbsbwb3C(VueupvY<!r8m
zH=*|{0)H!BDtkJ2O+&&yxn>LT2AS)MX_``p%oc|HTG7TE()dhy<DPZC9I+PRW^UV8
z9<Vd%HP5>2aiaBp**Zsdt@fSK=I@j2w7H{Jrk<76JiAEBYlFs}dkbHdgsy63*Iwe<
zeJ5wn``h1JxZRZ<X0TV3EzY|=-)Rw-`r^Cd6+cVF4677;=H-cRnLoL=bBg_EAF*4j
ztOd?;7lwAVW`&<f-o2N1&bk?kr%eA|;r!B9?!ke~+2{I$;?xy2KQ}HBa@g^7zxe{+
zHGiT!(lz8#b?&?lu04K-VU~!PZfyI<+4nfU1U`D`^<b|5CXQY{+mmdmqKlgaR)sEQ
zxhobDVITMZsEg5(rog9p9_=3leotWEt`RDC{Y&r7f4uK@zn$OAc3U!F<BlJUr{oiN
zEqlL0{bb{${Kjo;#Uj>@%`<A3xR}hUJ+U+7Z}RWuOIu3Prd@KBPg!T38EVfN*>)f|
zDOYyI{+wsOy*{4q57@^c)5Q0Y?bxfM&ebVrjvLN?5_RQB{NGBYPcJkVR6BUHt6Wm=
znQ*q`-!G?4^=ykb)mSy05kFnI$2M1}>+OWQA<HM%&E4TOA+VSwc5{A^-2E*X9h;l~
zo?|L#KU=KmoIEFB(uZ=Xm{ymc!F%UaeA^nc<4EE5h|q71HcKjAwEt_qk|U!OlM$l(
zoi8BxOB1*5Py6eM)uP#Y2~(%4-`c1nk;bt@@SV+pcb}9lsvP^Dx!mDy;F&MIp5OP}
zO1a6oQtjB!7Pd`q^FMyRt0Gb8`MBBDe&PbP!&l_K{7gtKFR`y=o_^Zn&fjadyBng<
zAKa+w*f-hSCjb8?jpT2)47V(OdV9S*|M%Qet8+YmMkgy@N}llktewK&#%kvb*?;R_
zJ~TOE9M_i?w05FfYWaKig8u(f0f)_3ZuCn^%WZos5?Ht?QG5v#zubmI@kLujAOD?q
ze4}@G)UpgyA9Yrf?Q_?9m#h&v+hhHuCBVswQ*p;Zmq43dft!qr-P<Z{p0<?t>R0{l
zd2)Dy1@i?j{_379Oj#}$OPn(NqbzJ91B_1kmh^p#HZgCjD2d*07Sdxp^{TkTjO3+V
z%!^+?(Kc&j+WhV7wZw@hww`&IT&2Zs|8<UgZyBeg&)L#{u{>onrH?++oHkb?kAGvs
z-jJnJ?Yl1Nwdcy;WPLW@)cYIn!%g>fmd|KkbNg($>V+B2soC#&YQIIZGb|6(-kiCR
zxAIb;?#Fw&`elFS&5YH_%;uTIRlBCRv3;*wlf=Hr&%1V~UhNOK#`2?T>ooIkK1+5P
z2&jkukrZ0;?Awayr(55xdoGw6)-QTfEml5QVe)JrE|DV1o-J<jf;MlG9xL^1$y2ZV
z)aG=iu<Cek%hAAoap$Jx^3ChHjx}gM^L=tlJGU!{iCNj)W<f>!1ik{D-EWuP_6^*d
zy5lIL0oQZm2cdP$3@jNN_e^8nA+8){$(mmpnyxDva(m0H)=3Nh^9ouRJ@}EmG3&+U
zygBQ;Gc*)7uC0t&+I0I+4eKt|^9r9T_dd4w_b`2*Vqv)Z;&LzjM+tu}zc|$|@Ta%*
zp?y^l!xY~AdTa$NK7V&y(-i%^;8J$J$c~Fs{dATVulcLka`#wM-R&l~LyvSVzKCdT
z-!_ML^9t@me0K~^3QB)q)GlXZD$vn3-4Op}k=lO=!P9lNFNKO<?fjm*VddKR{)n7*
z-t$3S(;0sys!uzb#PLsEe)h4QKg18MTKcPLxAz9^2ZvJDJlh$T8nx`kg>2E;$Hn=2
znNn98ygTtNC#^9}wrZo+9PyTA%{9v}R_tS`+xA^MOjKy$4D~rPuD|ycoYur+7W_zg
z8T;{c_Jz(>|J=8ToC^8E7%}JH+{lxCF-CK5@IT0Uzhqv_<mPurJ9#fTO}^;m_Um=)
z?ioMQcQ5=dX?K7nqB2Zq!GfZb9-DTsRtW!i@UUZIkJ~nPJ@(rQFPMIn?N2_?sE|@H
z|H`9B`E^&65A|PG*0=h7{gdTy(-r#zeym-uwkLDLqJm`$lgym<c$sQHIT|aTGc!eQ
z>ZvcsB;W4ss;^MrenC!W*~S%{Hg}1ieHrjWvPaiHMVx!hlC2VcpB67ZqjfZHS*p|y
zp7V-DR#-Q)CL0?xeJkU=U$V^c+q;iP0zGDLl^3|n`$l`!6&5D*fWyzfH|^l|+UEbY
zbKTWtPP%X8I$|2GPW}A9J>L7M?3F8XB@NjZF2A$X<4a-Y<>i-ln9M!<>#Gf;#A%+h
ztL`So-pDqZ&=`8c+v#YXP)+{#>kDW8*s^MCm373%-RiS`Xw@1`^84v=wc-1UHH(v1
zu$aDQy5MWidgalpE!(GNzslo^d)fFq|7*s<ANBX<J@PrD>bbjkip=c9`b!V@Unw=)
zc%`pwqWfXK1%0c}zdFbrbzmM3?`7s<gZ5{;{BJ0nx_9ca)vUz}%)h_VoMNT<Vzb;L
z{XYrE&r8Tm`@wCiG`n`&bNijw1!qfd^t-4M8zrz-Txx#cYt6$C>-Gsd+0=17=4}^i
zE>>KABlYJ-maEg5wY@&QE@Dhu_A^uC*K)lJTy>>8O23}jdo3yX&A+X`8jG_gtgS5Z
zEPTCf)AJhB=7}dg;vOF6$bZ=)eK7CAlQM?c$@|Y4Nc1_bn}1~P#AgXp@-OJlI(43>
z<=_k5!%<I5-cOxt7tn4x^YostncPeF-J7M9B;j&knx|6=f41C~&;<$S)f+t|j$Y@?
zJQJQP_NeECv5K?UQHL!jIE8YQPce(QHEikqm?ivQeeE&tB}Wr964cdS&dfXDXRuV;
zCURTw?2XCQSs5?BD6HSqY167vr)lsePUTy(sAJ$S-l;*i;wqlY2dG%}e7imMN#^&u
z_Z3qsWY4|nD`tFG&++YH?G^v+majG$`(HTnGHO@Pw+mT6a;rF!#g6^bt+=q|JEu$7
z^!*<cY_{x+n!Iqri}0#P>>DTkjlS7>h3R#>^q~!NC)K*%FzZcjvhE8}2u<*3XI8A*
zIJY9J?#9h|I|@HU_3wM&_Qt16bn?Z@51;oKtW><>o~l>+!qt1?Y~IUE_2(9y$+7-8
z#j-AcX@_*tXIHI)keRP)T{UMeIMshp_tw3d+|SJKxBoS~S>#x)9`AW8LG9zlr6Ci3
zu6x)msb~G;vW<qRK)q6q;t{>d8GL$gr@h|vBth@=l8T;_`dfoP|Mh+o@Ji!=q2&x=
za}$B{4>rs)_FrwP`BE@{NBwK@wNDp4T-SVg=7i>zhbnfgkldhee|6DQgL_ZHm`-+h
zD2QJ?mf;@$-lMEWSLcS1U}}h(?kB6-$`!32rhdM5&-IkGPKE!*?KvGM47mKyU+w*E
z|E&1!)Qy7HE0|)Rp9nqmNPAnlZQWbDN!;Jq`}Qg4Wwy&UdmIlc6!(#}KfuMlA$@(v
zdH#yhzEgpwzpQU8e`aa5uzTA1o8QYAZ0GHoRL300W6s3?I{&EH&E<(xR)x9VVUwNv
z*T?vw`&xklMpGMxC6!lQimKcNv|il*a^~=r0?+PMcjwq0HrsGF`{21*ZS$I5$tJjd
zUh${?`f^9!eNR7@tzWPBO}^u`XH*-1k=&)IH(Nh*7T<|0wMZ@YnfB;u+MfTu4U@FL
zcN*I`URzgx`m*S~jTyHExBgmHJl)2edB-%1Hx^#jH|}X0yr1)-xA%DSMZ2_^`_mb{
z4laMg7}|4(>t{fj#_v3N-m9C1n51rmRUVooer$`9$)lw=m5*6my7`&+--`N}%+O6X
zt0hdHI`pTW>Q7!;`{MAFO0h)+DcUbYB(~IsGQ9}$+PHSXP7_}Tk-%l!B5p-p;^lt5
z(d9&=$Bh%bZx#O7o^0Uq;o_O*-exEHW>&<L>Bq8W|KqK2Z%z~}XM4Q=Lrdb{n~T2s
z@ULDp!#&Pm>zw0eKVEd1D_34WFS*41m3H9UZNI`Briv>q3I8F!AgXV@VnR}oO}b3*
z&!F~)Dh5+j6~FFSd^?E2gZcmQk`?((1&8BuTBnQ8uiv%yY4A6N*dvW9Pq_S=RbHh^
zoQa=b{m=VxAlsgT9SX15Y^Pu9I=nKlOpSkE%zW!5OKvZn>U!SLC~WuAmCm|9YF4DM
znkxk#5?r%UM%1n$=gb?=-i0rx&(Cg)SmC=~V9LqpxwB3)P7CK=X|<^I!HZ)l=BcKc
z(<9r@?|c&cNK$#9$@cRPlN)sfS9eP{JbKl!dV9sw6ABNH+1|feRWd7BNnA)<viO0|
zt4)0uHI|>fYj@-IPe0?#l<MniuYc8gV_(|nA0Nr#b8~)vp!ow$ZALB6qPxixLnoIU
zn71`&TkO^t=FHoRYSVUB1u0*7U?{Y&syrg|+qOrJ{M;KpZNHom9=9U1y64Y*<)FtZ
zEOFl!tuc)eZ=cU{Z-d~BERJQ4d2_NZ&Cp0VA`<kR&EsMd%e;>G6*@|t9J|%iwrK7W
zzSw&A_WG}#d-?0V`wjEY&#kDg@VYxCV2zpnL6KK;Dl9L#?soOu9z9>S>W1xYuDwcG
zY@4!*1hYi7Glehqrz9rDCSJWP=8&Sq+;^kw{jL1;ES5#zd|1y<dQ|n5?QD7ae~A}5
z{^?5`_Pu{4ageD|*~|8eyhEbgtdvQD73Oxbhx^%86$I+)eKs;Dny>l!hiQwR(y4!0
z0*RHz-3cLGx3;}0-Ta`UQG_e_xmd$*m5ih5-Y=#<^E+~J-b&dUQquzR_~&r5d^_Rv
zL}j9n#`-kdbdN2;8=vT1)GX}wQ=aorzi;yA2TL^=X2<lEzp#)JIpKEIL;u#I<fp&q
z*f2;ZZ13lO`pwNgkAY`L%tq_N@|07Hx_D1`Y~18+qv7kAEj}s4(6>=&%?4rJazUTd
z@fS9E9(ZZtVKup2#iYK*`ax@~>QZmTWv71zI=t9zJu!L;*JJ_51<6S)XMQR@w7PJo
z|66p%*PRbqJ{rBUo9`BU_dJVzW$lawo3506zZCFiZlA#6)gf<d-3^+JOD8leKKcIX
zi&sVSjyV2*?C13L^y}#SUC)DE;|db3%9ENI3IYsu<&SW@c(yNae*Ds@Ub1hjLKtIw
zojkN<-*m6PbK+O_|2LE02gfE!r>@?5YhiFTtFUuUWTfxYH=lNXzH7b4%jTQR+f^42
z|1a78aDD5VYehRb!yg9y=bz7ffJ<s=Y>w~-w)x8O@6+99uUveHzq?EH=#1$-`cWdW
zuDf0+@@q*t`lc>^^Zt0}Bq>9Y^@=_LnjD|Q_9q^+kDtsu%k_JhPSl$jO9YSB)@=Dw
zxtjm|RN-H;6Pu&;FFN<Q@7TxBap<t=!Vn8lvx#qQT;+0V94?1$%lq&*S1Ccf@<LIc
z+d6|2H-%WIznZq}*NSt6twJJZ*WUk~aNKA054OS%#}lih-d}gB`F&jR!^0hZrct|X
zv{e`+pEVrKPP!kit8`5E*TY*bf(dB}2j55SzVYvj>eZHX<t=Uxjwpw%zQ?R~S~}La
zvEfeRLMc7n^V4f?9NAU2D}UGDYa-#F^lE=7y9qieUt4K&Y;wn>%iotK?g<sTU~|Va
zb$a0MP0N3Jf6CxKRCqD(c;gYNe-07;pOP0Y&Q{#9ZI<6}!%m$EKhwOt-Ae+U&)v%Z
zSp7|<N%^9G)Qg3=dA`>fI@n*<?%1~Xy8oH$t$V7&-!h(c-dhmswr118T%+qNUffzN
z5_vn;C_7<0i>n{A_UBKtuXUW+x%D--539uz=7&$(IbuKD{dQb-9mffgA`|sDI_~>E
zn6JJ4sfXvTqgL6?kg&uDIow{1Y)7U|tlPrbow3$o-<m1UpKxbvOl+CSps@SRy$j1u
zmld3BJ$A8~@1jfUlARm0K3APM&zZiL$2@G~tQ9Fu4(B9Tp9q@Y`&49;?jEo`ujfp5
zwo|msP20%N?G76rT1@^D-1_AlbG}pOlP57e`>S)@cb=VlXjkpR<Y_MwrmM{TY8v9=
z_i^IMmKTqj_a|SD)ql1+irfF$+VonHizhz`GDveRRLQ-*PJUmL80Wj3#ljl<e!dgB
zZOV9S*Mrq(9kMuP>xs+W7YVKSaJ9Cuo!k4-E9Q$Y`#kSUcSZT=^4z*^E7>-GY21gE
zJI}~Ad<xmR_Sk_uN4vy*g;y_azOkqF?#A^?Zkn>y<Zom*USby}vbr@%Oh1?JRePM0
z_yp-WCsZRDdV_4&G+1x3e0Z^Ds=DmuE|wlC$4+C>KINZIJDzR*c>Lnd(u!1#+AOxP
zohR>A|9>xDp|K$Oaqa#IF-;e8Co`Fw-u=3Hm7mns@3XdRMxJ~1GRk_FgxuVkm?8nE
z8>{;M-%Zv`UH@Uyo?X+OJ~&D4VBviEXX%aWcix4w=-tXHNpoe7{bzK_Tk?<m^Ig?-
z*1`@sF28)2U;1YJ>Eh8By+V%swGu&}PQ3QA+LN%@X02MK!s7<r))~hRt$CU)kQm*O
zus`fY$x-oES3ivtPLmay<^FhHpV-cSnepT&SIvoU?swZLU3$C1!&h+jkL-qLIxcpB
zxn{*;o&Fk=)UPtDubL(EJ9=)_+>g~;pB#+vp4}8t-fFW_)I?N7u-P-c@rk6L9pjCj
zyK*6~%$j_!-@d+J;g#~Do3CFnaUGrHDVG0x(V6#F8m2|s`}GWNeHGK5AGv6$=jx_2
z2mY?RdpNDM^v`+QT~fT2Pcj~gYt>G6o&6!2RpX1-?hA{0&zZ)*74NaJc65LB@YV!Z
zk9hB`s%kC=PJhnjoVjL2Z`ypJ&HRVs{`2ga^lR41PDvx#*KG>ln{TpOzSz>7BHq5>
zPmIjY_LVYEtbC#;r6!y&Tff-)(X_(3J4O2+cdt*n)@8DjG4{G=oX2eMlR@I{GrBvq
z{_++vi<NL5HD)j4`@8=3&M$Yj?w#d%c+V9!tDYGz-R*+vYhnV+-!%EgeJTFFe0O`@
z<>E_EqtXNCm40=Pttpp$VX<ib`xmEV+a^{k33$n06g+(3odH9f$N?XD4O64DVG>+Z
zCNXS3rCHAQuIA$Z)p>a>O&xD8yt*(gzOQ_jh)rMgRN>4um5L8bR$MwG&KMi&yu`RZ
zApP&f&P660q?%R#R47P&GjqPAxv%@;@^9xDp3gXKEX0-Ky8m_Pvh`C=J^gCLq0XEC
zP5p6<kn7EdpNccX%2xe*Va0Z7>*~KbtO40C4~gk^dNs6}C%*fvX7sP&p<leknQ-<a
zKIby_hl#IR|LU2@tH}n+8?0}OB&I*v+Fn(2|D#>o=C@q`g~ChxAAMMD^KXOou39f6
zTb}-{^}!-d6Hoj9Sz#Y?WXc`AWh;cG-Y?R~-MeIJaeUyNc5bDoW<M$xYi0!a`ptXd
zV|MHIn`*-e6T5zgwjZ6bhQU^8zqXP_(4<ZK|IX@(F+EiMG}Pte!)@1QKQ(!hK6UP6
zz7qEiO~JOK-%id`o||xXX6lwp7E5PdKNNe!KAXq6e|nzcqq#O7Q{AHqzkN-LOnJWS
zwJS@`kDW`u{t=45?3S8+^{k|QyH~>m!@hj)S5H0)*zz{4Oqmvcy+SQ<Ri)bUoa5iD
z4}be`G;#M8odZd`M7O`mP+NA+;oA;5O{HlzmmeL--gV{u{JO1QBmR0!e?IlS%h}{W
z7n3~3x1Z}x>UA%7x?h+V(<A1-{X%n#l-jO6iH}rL-4(vS-TfyZz`VA0)vNOOxGk-7
zCO&Rnb;E4QOhyilqhAebymQUoud~VCXS%NbTD{l)-w6kc?=8Np7NEOo_U15;B~K3*
zZ{8Dk!~NvuUtRSQORLo6Yc<ZF66W(bzkjmc%iF$LORh0w_a6H)(dZb%0h?4s-}=)%
z|DP;)^huwmUUXM*$9|qA#vh~>7%f~nS55iKuTuH0D?1l6XUoo+?pA8Gf9K|x6KAT(
z^_lX0*q-2ZFl5u~4=WB^U;SQoT<3m`OzFw@ws!TU?95sh@|H1(r3zcW<3IRy{`^aO
zcWHd}`uAJt!q2d8cgu`_T`xFpu9c>{?S;ZzGr`;oTjw<Sw9Z>HD`D}0nXNMI{}@xB
z)p4))2)v&0E1_O?gG#$d5Ze@`y4{bOcqVyw^XC|D6*5}W*nR8kP2R#y_fG_@<9@Wm
z>16s0#(+nQuUNl4{~@w|_PP`|bCKWso7Npa=VvC%F}1_#)qi(2UZX`VwvSECY!^T5
zvtoJT6>N4bk7LW?u(vK5kvz<ec0TjPZ1ymmaVb04wMjxr{rRIi0#R=+nH;g7tE#hM
z)$;zB$jxi?Ua#(DzxV!S*}J|do=nBl23rqq-py|2cX{6K<v!Oo>PCO*oFTV)>8D!1
zS241l7J5?U_jvTf6MqS<S{fd*ChvCj{~LO)rn4?viG1fR-~M^Y2NhqAlqqa~KHf+y
z(#V(~DDToZXF}kMh$UPN4x$Ecb_ibS;@Rgt<+gGAjsDqj=PmYghYM}*Fn_07@YU<l
zZ<c=n3Ov%QeFa!1c*vfS`145E@8&V3`(e)?UoUtoKI_P)W7|9*yjXsNsd-)fx1Im=
z!;R*c8m6t)>zckzXw8Mc@{@k?df(Jv-toyfWw~B{)a9!lPudUpMNLyP+?*DpP?Xx<
zGm(us{fz0>m=gk)aX%I%n=~waEg=!6oxNn0*z%pHnH#6AJrlR}_xd!4N!e%IO%5#H
zq$94}ET?=<{_`fG;BSq!(e=(VyCm;AJ#X;yf0v-1E}s*{x9PPk_iO>a5^kvwlfK=z
zJ9-7Oe$=0031GiH(>15^+w1=4hnG}#26-M}GVfk<_#0RGtRE+5Y*H>X?t1nm@G)yZ
z+<NPmaXm}EdiYhi&tgrwx&3XG-?ZH_LJ5x!eZF^US6`2}jf~>E32hhW%WSc&%H&yB
z8F)SMLZVkQ(|?z1e8(Tp<#R6V`M5>#>?EBN!qKbQ&8`^;EcEmBywN&W<aw05Zn?B#
z`h=hx$DT%OxwaeYmAy`hD4O;1rmRs_qt)U^(e83;QM;!*SUa3<`FiE_ER!DrI*Zde
z4hw#MUGUM_YWw__k1aJ}Kl`}u*T|hHyZvTfRPlsbuL(DOj-?5g@t!|<p)=+8<=N&L
zf0r!3y=H0L<<6Jw>Nn0_cAY)vRO;`gpF7Ms>bI?P3+v%ZzVp?0gO_WohTE>I>^F`6
z1aQ7NEPkv(^XhMb{d`xi7=B+JaeD6?lg+Kac`vYY9`#6nlg#_A+{>GVbK~<Ywk@}&
z3a<>hP*z=Ma`R=pLE=4kpS7M@x(kH+s~;>WIv+7}k@B5+73+U&*kYLBrf54``N6vK
zpJB?+TaLC&DXocLdf_H-s$SPM<tP5Lj=v7tw9_mv&+%dUlr<;CzbYGryT==N`MHYn
zpX4}pmq{$c?bIDXr7(ZxtGg$C=C3qKdQ&E(zK^kX=MAk{H~4SN>yv)aQvdHvKkKf?
zUoShg?bKAQyIteeIbmY{C%NN-oVL2Da*2i4O{dNNWF@rYz-phM`>%~R73DfjUnu_n
z&-v6xs*T?(tqt8)TTb46=5%bQzwY`aQ+cmBeD>Yl|MhZUqP?j~@w4yq`HbTA`{JJ&
z7fzfnr}8)C*uUj}-9B<X_E27b<off{w-?K<H8NaZvtaMy$_3NQ&5J{>WFGF^_gik)
zp6Kv@VV}3pt$TU=kJ2RnllpVd{ZeJLy~TI1-nWWB_=m#I1<N`tpILFJWz0OeP5Hs`
zeLX+d$^JcSzVv)5s}0ZjrhuhviBrxx7@G;Jm&{Fam-zi+;ua~tFAU;}&u=DA*U6vW
zk!5Qet^H$NpSS0J#%V1zCAU<Mp4;3Rt;OT}N>)Vm)d7F0fAczwcY4e&%zyGCeT|LM
z_J+>HRlB@*zrFH%z2K!imaFfs_WhYu=fh&@eAz|6&w^7f+%+XbM%$^}?t<Tms2lz6
zTc=E8U4JV<;A=wYF`=Mo^B%iQ;ql44C&i{Cs=C52^MGs8Yj!<#WyM0qv{T+&8bhyd
zj5%;yFfPqg<XJvr*WTubEBDPxDG%(F-74w5r%d;A)YH9}r*!(>T;n}o)A?1xa_2IC
zNv6EtbAB(Vh+UT3$0}nH-*G-Iykw!&*O0_L(<U<7{QKc^-g}ya>C?-)q8;n4FFjG$
z-L7Bax%{b*_WQ8KJ0C0*x0qb^ChL+ptHuPI_63i(pVe6^Y-HpUYLzmRF{kSOr0`P1
zrVHBn({C>Oy6wc}?{?;YcEs;dF;MT)x?3z~_en6g<cZPMdBrSU-7n2P#&2f*E%|Xv
zwejtn5m}*6%_coFiM{B%cl+O@kH3t*hWnk`TeLuHt<YcAo1aby?+AK(>&%zu$0y93
z;OFLGTRh$M;4bIZ?+H4R4wDsp`7<I`Em`^IvtGmc<r$4v_9dyui1lhNbNBI1T<@&*
zU5KMTeun^0ynx-TpI(36rsj!od)ZkX`Nn4;uHW<EqVTcBW^dL8dK+!I66f(^scUC)
z!KGbqRrOS6+s6IwshZYpm$PQljQ0w%(;0XVcExd>&3SM&M@+RWI;3rauQ!M2PL?Zy
zuNw|5kI34;Fmt=FMX2qSd#yat>1_rZ4lu2oTogX9FE=gf@#pCioL0W^mYUyoO(|-H
zN8#a&nfKGDseAkkFlj7sHGQGU{<-``%s=f}x!oK_lWc97y7OimY+4-LHM5(ue}nTT
z@maB!TPHt_P;c5EXYX|W@h88!Iqu8-<!*O+e{;z)P5%BM(wjdoqbrJIUF1Ga-M|0c
zW+^Q%UYI`d-s3j*H*Lu=VWA8qi}to&nsVsavv}TRPYmDqG<^H!A)EK*>d*9JDVI+!
zeybJved<m*@#z8+rM{jQ-X3E2*vP$6{crzyyLT%Oa(msDSvv6*Pvp}u^@y-I?uB}f
zEUoTDd|FVtBksnk_ezYXY_@;OkP-Q^&WP`}V_lhlv6sft>mGt!oX6L?aklLH$Gq{7
z+0%n-5|kGU{CF!q)8>D{-`<&3|CA@L_Peh<&8q3@%NMcl<Ky0zTP^=t5V6wtyX<oF
zOXjMn4R;^xe;m!`@_BiTmgp^x+K)Qx7V~v9+|@et$R#iOfk*ONqnKqg?rUw7Tk$%2
zqp;-XYpx4sY++fhda!Hbd3oELZTa?5_Lu*DOcz}9)@{xm`Q{~QLNQm?$?2R<`4%?i
z@MDcr>6dpkPLBL5>lP$&EzZzq=|<)-)>ju)(r!oX{rPE)_MWA>*~wdY6m`XIWZ$rI
zcL@Db;nps7{%?HZw#0dB<(>Wy&Kvx1Id`d@&FCP@w4j9zpL-O#O&82%I_DGOmDc7w
zCp7N5`-M}L$KKldw;fOPQd@aNGw3E8gUp|29#M~2cYVK{^QLQ=0ei!>^ppAF2e;|(
zd}dSIdbmBcWoqKQ<1u0)TZ)^$Y3r!y8gti9=(lfKlU4svdgF|*Ubl1BoQdeXaOb^d
zQruSQnJXnGZji`2B)9un`x2#@wvtc#BP;GQJ)YX5A^2>S_M)EVn@ehz-Dcm=Vr@7v
zRV}MDHEY6(n;Tsh%xuj)#-pBW?5O(x)UA@0ENlABm6Vc$D|*A#KkSh3TPQvKYQUrR
zfcO=h@_jnr%}ZleWV@I5+f376?7E)&{H0c2R{O^fkFv#N?TIo-u?o_!tJD%)r#V$)
z;l<aRm*4+eT<%#l>C0ACSJ`X>$B2vDcNJZ9+h92%&n~KUQRkl9-Aq$!9aHsww`Koj
z;mf>xYSLsO)0*#J?im=W=<}^RH~V2#(wREBh*es*;?=f^XNUcJ)Zvqs5F*^LrK#5G
zPXEnb-)oY6Yj#YRUpoC6-^_J~oDMnN)4SPy^XVJ)ulX&veoXwj=~8EhLW*vId+_;%
zYkx1lEztHkm8WIL-ETdaockO1{`@n2hnng7C!7UB2fJp!PyX+_JgJgHz+zLosKdV_
zehIVLKJK2w)q6YIq3zb6`f1U<=J(3mY_1-w+2PK~Yga4iUbA^eT~e?5t5*e6PW0FD
z&2C;-BF;E9(9Y$E%5|mwzlF`+JM|Q2ww`B<za**RsawhLA$OjjAXi|EZu#%Ozpp2B
zr}M2B+pr{6fBoE#FK($GS|e-3A9c*5T|={Q-r;Bw{=c6-MSVz=m;1QRaV~p`BHt#R
z+=(-<U44Av;=#-_S+9@YHemQRN&NpD;jAtH(hfd3^H<WU&OhMHwqjG&nyd0l<{B%^
ztTLRiYsYgRf#|iH3Qq05Zn>6YVb904hSOc%GZzV+pB=SmVFGu+(}nuNwkq!>-it0z
z*(=|!Rx(kT^W7!q6Ab=uZi*IcktyC;VbUIPr9Z_x^39X{&|@bUMLqpQ_K02YoGtS|
z`oxJ7y$-KB&t`FVHtVnLWc%l}%fhlkF~jX8>*QI_4<AkW5IKu?&4Zb@*z^LI?edSm
zAJUufv1^}%_3N+`Q<l4?e0aBnlg*M%Kz~m5zmmV*OdD-xUN+rUv*dBv)r-EOx0L+r
zPwg{5Z9O^GSw-4K%Eu#NY8RiD-)y^@h($*mnsQp(+19*YdVH5_;kGKHqIOB=V9q_<
z>OHGp?b~>F*E<0}nJdZb?|<}4U$K4Ri@LklE=K(N^<@fc+U<XH{vZ1BAe`$!ZPWSv
zvu>$tM>%$LEsKy#=@)CB!FlStW$fj+SDNCnDlZ#u2?p&<^{Tj3-EsIv`*rWVZ5vGM
zstg-T9Xd|_G)!DJkM+)-<hd8Os;_y!W0F*rYV`8u_dP5{cW=40xPbeFWYncz<5#Qv
zToMj0n#<GnGw;{~*Pzu63#E5ecAmd0_cFud{00~Eg;(1Cv1ymzOP;ZL$_2r>3r}TR
z>B}g#PWl$TdTU5}@pHAt->F`_J-PGz7so#oocC?V+)k<DthSZxu8(ES#eFsu@zie3
z{G?(d<kkJPuc=|_a@~v5YQJsjYxs3qCGbSkeo4uS#X-THm*gJ^1a{rk-zz$GvEroA
z=;Mv-uJIjr`j+<Af2qH+(dO9WS4FLnsrvis+07)34tMly3DsF~WZzkZb<A^4#@KA$
ze$Q8IeqPfX&kdhGeeMrq(D=02XG%r4*+#>c%1>f88@$@`XOF$O^s%XKfrn1F76}>J
zFmKdI6j1p3aK+Tc5><b!o|;|QePtTsq#sjvr<F#9a-BKO(EEQ`@kxmdPhT<$r+0m0
z^RDkTwVjk($9%^4=}gs+O{aXn)>b(Du-}}UZlkR8nBSw>a;D}*_8scYyT7LAA5mB-
zdN8ez`EDw&PPDqmo69n%9KPo7WBR>2+axsg%epH4KD#KZ-R8cBx0Qa|czelby)VAI
zzxO^1-G4uKu2#x|U1F1V&$KelUgNSdc3I2BkDCs-Hb#Z4-}3g%oTYtFy_<w9*Q)a0
z`MX)|M`5Pl{8zW1iJ!jM=(nx#L}>2Djw>!VZfkIR><d1>p0}mL@hH2~N!Hj5=H~FI
zw#5aTL;iI(7Ax#h&3)k>c_hEEoxkg-%+BtwQZ^PDK79FeFFc(zd(}Dph*bh->+8a&
zUcT~p%|~r5!8_J!V#4op&x*HjG+xV-me`gs|J0V&zIn{C?%hu~|M=BU3p#yreUzwI
zwP>VjL_x08L!om$hs~;GSHEA~9DA*Krojr`=rG2lqtZX^&Y!MKs4Y@1akb5RxvKxh
zX3<9J-;Wv{bQd|fZ}XEl|Fq&q#~HD5_HE^5S`2z6AH!DaPk**A$g<X+li!-{KpX$1
zAG6+XvuS(g@#D9cc$72K>`N>7axO+1|NZcwBvEG3_R}-=$61_mbMFtjf0b9zb4JeL
zqK7IU?#YIDI~|vcKGL&1A>)&d@6ze7Wkfj6{cg|Fn5*We@pWZZns=&<%fzOoS<mDj
z)a`uR`oMtCO8w!p(oW+W_ba4=xqnvg|HZYm?}CGr;lf#y`9dBhe{1^iD?9L>Md-Sm
zBh$8N7kmwWc&297^0)g`&iqMGF_gRSu|Z#JwaBuR$x2>Fzv;~I+R@i_(tXd}pmVQY
zXRKbXyJ++2oGJe-PFLJ|+@M}v61m!)>+MGAZmu8p`yan&H)l`0-nmDe%j!I*b;&0C
z!$+k{m2WyZO<!YiPx_d2_@k%~Es-<Mditf7Ikv3MdN<eF?8F1>w#6Q7>bjK^A~kQU
zR-Je&Phr(ozsxJDg4wgy>q^XieYf@oH~Z=LH-paqbzdm!;wGCmE5as(GtatUdC0cr
zTh-#%*Q{7Ir7nEW>AFQh<q!9Do-fZlxxl;IyzCMS{{&M;50SfyTjv*eab3Monj-CZ
z?sG|3>E}tck<+evn6RC__T%Y>y=h$ws*Zn1if3D~{@vY>yS&aO{b3<ByY`*>p2T4G
z&8trCeEUS^9f2KT$96V6eKoOGq~L&7N<{27hl$VKcRATGo-#j@e9LB1S68->%%dG;
zEIgVn5_2q9-1lN@ILE8^hN~j~r;uq-o;S}E-F2rD{nc`KOzh*Ubt4ywJYiYL#FzGW
zwN2$2>#E=4XN5E;@bz~}IB2lsD4zdsHJxY6QBAY{n(9qA-bpliva03l{hYVymC#dd
z>6WdI3<<pVrtRCgkuCjx&SL98f6tkb2RG_`DsBjU>0PAi===4iQ0>dfA0qeXJmgwz
zp0}tg;a}_f7sZ_xUaf~C1@88V)mQGlc_2+VTmQi{Lnc+T8EsMQt2jdoKW&TgI;b-F
z;g3Zt&CZk`JJYegJ41D|#>CzS(e6Q055;ehv&>$%{Mgj<>rDbR<~Vdnxkrn<i(Goi
zBA54d4i~fG{-(}+@6}$rTJjRUabKF^RryZkF9%P4u(#FK-dom|K~uF?g;qF-A6R;6
zmDJZ&>Eb(2v>sd@)w`eRFmph9kJ$B<sqV~c1O?j`$Z1bwRyaBJo9K<1?yL{g-|x0f
zdy!C{AZ?_-$ZYSHDXD3~H<gy3l0Ru!IOpTP4W15L`Y&Ez`bJ7v|9X7r`R<N+x7K``
zV({))X5}TN7wKi|za|J3EcR9o%ldbGlZ5c{3Y*t^j&?~-h)IzA(Igk3xNzr0wiWT7
ziW9hxh^+9NW&Zd2qa#h<i{4f~KYXK5dCQLto4c>b&c0vM7+m>?m3zh3)hq6D-EsQA
zIVwi8(0*;gCq|}A-t(Bkt_gjJbaI{1QY@46==~7`!*;XNhSxr=@%TG$f5p=0;@{r}
zy^A*ITNT0FX^>pDx%axj?nuR4&o0)QW0D4e)4l~?d3{$TVkLk4ffK5`ZLet?>0M(g
z754dQx^?ki$&UGJD!GJ;wRS#io8mf`FDv_O7`LBY;MBTv?$3YZr7oMBRHu9RWh3Xl
z-_N<u^{Xf@bh<OS!1zTUuh6p%w}Kji4!>oee52-A&#&l`t4F$T7Mu#3xcqIHws7!<
z4X->(<sYV+<u0;fIAs~#@^pV&vvl<(raQTzsq;$qmzZU6GQHHT3EDX0ruy~=dlD~7
zwa9J$vp)CUv0wa&4rh61n(`hO;O<<<Dd=JU<c40>x74X6<taa|_4T<{m?#S@)b^?R
zwX4tbV?FE1*!Q06cE$v*yJl>_zDbwAasJN!obxRF-I{k3o0r<JoRs6e;Cj#Q`s~=a
zC+DkJIcom$e=vWNU+$m!RQaNkTD`s8zdMuW6g#}@byE{OEhh5p-@(+*lgv_;cRHW0
ztiF@Q=_S7F`GKlAmqqL9Q;lsLjq15HqPpjYU7ehv@Y4F}`tZa5C$0D(>g}lYbGF?*
zcb%R+OMIRRgxW?ry*lOkL-);m|CYn|-&RW)|7!iQW{vI3kfmIk0$17pKU3g8eagEV
z>!y}}Y)xA*)1-LfhbVp-%UPjbZ~A$iCgjd4Z%gjAKD^>;RCiSA_XlM$-h9TIPd{hA
zIr^cr%4DL$(zhN-vm<V>P3O;U+^!te(D$}?dcmqmx>wt`g*EL9xYE1l)81s;pbc|&
zBt3g0a`v3^>ocdMW-5MN+4AZAl^XqhntQF+^02vX2)=ys%8|*RpIn?#y~y#*6*K#8
z{`g}{B)+fIvNn~r4N<;mUsY1MKv7KJT~heL+B&&I>+k*DcQNol@57ybd-QFsjz5rC
znP{v0W67SwzmIG<*<Z2!gX=9buh}Vc=N#xQ`rl^6sAmx|Kc%Y7vQvz8QF>f)kF-mK
zo5PPeUyS72<*v)Du+Uuj<zrHe+mF6tiSn(rb~BT043B=97$>Yf%XxNLbw|UwU0fE@
zZxb$a)}DFX*Vv(98S1zC>kCo#&On7zf30>^eR7&q#nAoTyGg)uTEf1m%p5(l=PvzV
zdS&vi?RQS5nyK?%(S23RTXt9Ws+#MO3+Bq37Ydeq3Mvxv5_^(Rx0yGZcS*kgy!F=m
zS1B$uIFO_#T`6+HezRAV^wc@>A4H!8MMZ9$8Dwjh_gE~J_wWqU1?5jePw#ktN3N_`
zmU+*!n!}e3PByG7?RoGbqD_C%&w}bJ9zmwQTxM(a{seEf6ckU;TCn=rt8~egm-fu(
z3Xnf8dv(Ga6M5N>9G*`(H||$dQh4?I*B7ZIDenhm4`yCxQdgLn@c5;j_b!tkAsb?&
zxp*W${aERHPEe@qeT|~i^9Nt&>0WA$`^qC8S7G?wQZabnoIhbY><o9(jXF2o4@&N;
zPU1SoJawUnjggFl^y+g0_L+5;wVzbUz4o_IjqT%|sWCfM|A*~b1IAB1mZ!Kc9{9?;
zXUDArFBLa!<GffS$+uk3lb>rw+wuB>{fy_Qy=Hx!*7YnUPFV0=tT%V4_nf1@b}g&D
z8{jnckI0Gbip~@H?|-QIzB2pkY7L=h+r56|Zub3?xa`%mEWu6lkE$vhnAFlf!)y-s
z^3cEAZ6|*6+Lc<@*Jk#%$9PrF-OFn1n<ZV$dM_Qjy<c40nd`S#MeQM*gN|$)!uM+E
zeROkiunAqxq^g;_OC+>K<(5Q=b4Vo5Y=!lnZ}!!Fu>St?)cUWc_Z6eRzMR_f^P$n)
zDqiVDe#s^|`wf$t>t?UqP`+o+4MB6srV{Q6wK-D--K#A>?5pAAu~Cp-_+_br|HPBu
z&9D0DwI>|#nDW5RQZDF+*0WNX6{~j}oO<t-Q6f{bap~0+B76+bqNJNRWRtRwZ~fQc
z^5BiZh8rik=dI$4n&0~3p1-c{^u=YqXS|xix6XZiQgijAMi$$g-v9P7d)IbPahT%d
zk-M!eB2;AeKDEsHC(6eIKj%%*Kgn_JLfgFJCO19Z_a|l<`K^6Ft2+O)_4mz5XYYLb
z=+TyyT3xq1`%LubsRwqf3A}H}!IKihS>&?uVy(Cd-#a!>*`0MOw;3OKd2_egI*&@}
zC0G2N&N<CzHfC2{^72q?aOeGY_o&){gDl0nMOIvj7P0IMKU)2$`BKvITeIwXPf1@{
zTDw~Bc;Y9ea&wXGw@m*q8vhpiS1ib6@`iK2O||o5eUr|h{2EnBgVu+~ewR*DZM|#u
z&F6#F+|_%c`ljvJ`XKO?SmTea7SE<G-fZx1_jb!OA>DzRE3Q6s>_6W5M_uUrzlrU#
ziAlGd=B7NYW|JtiwYj+B*<HJ1taF&ET%NJ2tY-dqN%Ym~pRX35+#LVyoKP&|w>bIB
zlQ;kTy5fXP;r?Y?RhM5mu9B$pu0vGvs3q&mYS&lm?@e9$P3^yk>A?+A;Zr<(j%XfN
z+IVIDikJe?t@+_GLWP@?Gmra-_SYQyzVwmrSNrOmkaTO+!V9Z-q`LK(pWJJHAJ_KN
zboSDnrtTgqd|P(fZQ*lII>vC<?(KXXq0;Z=T#SEnYM#E?bhCNq1eI0d2mbDz@JfC`
zO8xs%r;@LSm_<)&nffr;<W(#_H}TRsp1bzUA=~ezbyaQ3yjmNcW*fm&KjF%WcbjS&
zO>F}8xK5lYw>4e5ud;vshC`1VVhm!N9&EU-^vrAduflZYd(--6cn3u-$aC)79Ci5<
zZ@l!?+B>gwKWyHAHmi2~+uu9$nmr!=*`|J|+V39A1I7tgzU@(v+b*v%@#_B~PDSP=
ztF;>)WcF|9W!`?>q<8g)Kfm+YzxoB7VqMn4&R$>NwO{bbx%BBizL%#Kax$;l;r3)p
z?|0#u>L**m8(+m_G40zhbyCv3jAVPRbJuM$E+0NJVacssj=yc$B@CjrY&-gFmXyhx
z?~_*)u8q0$`BmZ%sUvTtKKI5v`jM7<Npt0*+wTH$L(I+ptcmL?llyY|O!>vL{hbLf
z>z^IIe}5wLnU@p%zdZP9AsjHrY_s#0pT7=$yw@Cl`t}L+HBUspt+~WMyMvomvG0fF
zOP7EUDW^2K8#P_kwUhhZlE2sOwd)R-*l>D<M(o|-Y5%{L6l~2sHuqM@(#X2#f(P7p
zJcEo>&gF-$R7ksi(r7`>WaZ`;|5|p;eG+C~8Gkl#6XU%Lv-hcXZhZQsy7Z5;@L~0!
z*7U8<B|k5D-mvA~K@RQTl|NO#D^I%G)^u06(7^xl(!Si)zE9J(-Da>;axH&9S)qd4
zi_PKg!&&dEOked$J=fZmp*{B`Q?A(;w<8e=o)LfkD<0}rS8`6fx%zXmD39NS_w#)d
zLX0<0EN9w(;_SVa;+Z#_bgNr>n<sg-z0aL_P|i7Qsnzls!9Na)2tA*DYyX>ocf!wX
zMQ(PP=-*s$<-jXP{U>vC?@nHCUV3J><EwMurhJnB|9{?HfwgD!%MTn{{Kbf^;K9VH
zU3WZ>r7U)t<z@RP)iHN*tL0uR<u{QxHwOG<{#=uMP3QfzH<H)84IeJr_{6UFZdPmL
ziTYKGU)2_?&MGSj{kNuo>Hj39U#|N6%VM)5UOrr=(ECKnH`ta*wnyazN1CIvil|}R
z$0hPJpNKk~{vBp>eMzQG-~~7C*~$i{XF5&_w6W~Tcp=T`^nfd2dubBKnrR<@uX5@<
zYNoTQcj1yPnwMPaEiG&87N$xrFkh`wxg>Av?SEwr>&)Nm(dhkpaJJQ-cQPC|*h{V_
zJXCtTOYPv!e21x4r7c{yH?MQ~{4cHi@D~35M;A|U$ZWJtT6M|v+id^vZ>JfwWVSzj
z^7`A>k5++SZfSbIpOO$Qa9R1w`o@jNmc04*)8fO!5Qa?$wq@8Xbo|48BkJPk2Y(YI
zjZD=GSFI0@71?0fu<g~m#Q)m4-_NX5b&2(>>AdrKslXJ8`_scN%Wvj$+*90=yv&nl
zHP3?3y^oLCI{)3lSo-8h=&2Ib<<DpBwc=xa(zUPOW_j?ruQwwvav5!%EGtvy{IWXf
z`3`n1>vvo;#E&J(a_CPJZ|5jh4AJYdE~uIHV$s6$^)qjkcTHxpG%%{aaL{Dyp41Cv
z7e23@KXIj_QMGF1+Wc8J4sY-*m-lnpd7JBwlf*IK`G$4xHK)XePn-D1s<yJ?Tb#++
zd?BTulV`AM`mav9F1EpnNjKtZn<LxDu%Pv4O&We3NO>c6PU*_!&ii8dE5e1-zNbBv
z5NEOC-J8$*Nbc|EcYLikHzYQG+GA1rJK{wJYtaecpff*BR&MnZRAzV~E-~qWcaTC9
zr;MIu^6bZN-X=I07Pwr`{=9WjoT_!Wb41xvZ~5-vI=7m)$Nw0dJ+gaoi*+$W+NtKu
zdwZ)rYp$-*G@enxC45QSAidE_Sd8z`+BT~Xr97(frN)QzYUWf_i!r`jvXjB<U)d+y
zC#oi4^R~C7Z08gGC1=(6-$H)&ToK3b8?*Fm4A<3fyHvz!vxalJW6J8g&Ykstyl3xV
zj#F&6I2@U$qMF0D|DF8J+gJGR{(0?_C0r`HYm0^K)_}f)tNSf<I8Q3)E<9_gG|hL~
zU%~6U?P^>#DqKs1q&(04Nc?hj*|ClME`gIu#VwAnG!L5e)AC+n1p8DMmcrMrkIQE~
zHHzrZemE(;_hNeKnt+;jI>MQWl4+0B*1fe9xzh2VO2)Z9t&Q{ZgM`xwSGlf~PB^kc
z#w>H{?FmOaJFI)0TV+$ypBL_aT^qUTi_*jAM`c-dC@Nil@qG?Mj{pA+%~uZ2HHvwt
zwRY79p_O-o{vMtgJhymvlC<XOi<>WRlDl=|@w#Iw$Al&1jlVzO+NdXFn#+H7hEmWA
zj-PejK0j=yD)c;PTFzhE^W$CyXRX8b{V%z9F9~?nSQO^cc&+Tq9?w~4o_A@RuW*^|
zzg<Xw>zAZF*%{2Ud;*<y9<MAB^e_`z(871}nRRZ+^OYaA^3Az4Aw%P!@`2MUm%h<k
z5W_QdVuSh4uUmI9is}@6K63bqJWETBccYX0)|nCRc@J1F^ReYWZ=Iq2=Zr*&;Z)7k
z+lywr^S3_`H%*L5Gd{bW;oweI#brKB>+Z9rFW{Vg^@!u0iM4;cB%M#}T_2PrtZK$v
zQ)3lgb+^7U`fbXcDuo3_rVK&M{l-VlItz3bb?*ze+PLuIzMvSssoN7yD?OS0I;mKu
zcnc$Y8~eh>33rV@p8x&9SdQV~`deS$6y!)Ry8A3T>lIhnwQBPM<p&=3pGJ4hUu?8`
z%9Z33MOShq4lSAgYnM=IvF!l~HVx*zrzL-VeJQ$R+FXe@iH?HSN^N`!ysK_c?^`|l
z+*CE6$NwJg3JJT;_T?{k#@eK+)^i(d=8CU>f9v!2-%k#6gk^WFoRxM|xxLdsq~PS_
z&Bs!$Qe!UohL`e~?$KR;vVm<%pZIqVp;Z!$s@GrW#DBeJwCuHAv6-vFe9^m-dJMmR
zugsrvr1<&O&9WaGHsx2W*YeHQJ00-vo80zy-_*9Rn$hWN!`h`TyzdqFR`YVbvmEnw
zXZ;d=(8!e>oRh3llAffXvF7B(0}V&}Z@UO=GUQnFYua2dL!S@3@30=)uCi9!i&K#K
z{l<SW!Arhxd|N4aeP&C<<;hAPZ%&K*pCNkofWVK8fXMWz3R_z5UfsbsX}wCw-wCnL
zJ_tWp^f=-BUy+>sXY1ZA6A7KqC$_O<=LDWz(+*n72_F~l=d+wSVQPSVV%W|`h3V%O
z8Mv=8NekZOF6T0}#B@IMmG!KA%g_Gcd9<nS;D^KacWv9Cy`o^*pPTMmzhx+WE119f
z21}g%a<L}G?oPQQUsm#+eB8W5b+b)coK3qL$Bb&<r-_R``Xqh-w=U{t;wr&U>RJ=b
zjy<SielI;eHpl1Mr<P=+KL)SE>hraf*Delf+q#T*#mAnByA`)d*c^7=*RzX%f?ksJ
zSC$*>adly5e*c>L-0k3IW${Bbi~=YB$t#|o#6Me2udt|Vjq{&LoiFlB9P^dR_Xliu
zyp_cC(m&|Z?UR`%EeaK@ccrDt)a?H3b%W3K*|8k&bGJlVyfUpO+_M*Voio?OMktus
zBk0G2B%`^zFYbQ(gKGt|#-k(uUb@-!?_Xs&&s}zbXke!1shJ0zD+8K8o?2t)TA269
ztnad}@7I|E`(GbD%I=`DM=!m(lHql*GE=~kqdOd~?zwp;#nF?QYqhJx34Qm;tm`H8
zChoLOe(_XYFDG)3jsCA#kqcj6xSZA7;hAH%@%_bVKJU4DMOb;AtHUiNUVRTd)bwW3
z&m6rk3v?rHi63}S7V12U_qj{--iH!j`WHqEHrB1pRp)+VT2vu*_gp@EM#sv7_xDGi
zZpd7AW}*YHn7G%T*W%yaPBS_vVjFvd-*Uafd{y0t=HY5T9_(&=A?7Au5pb}lV!83Z
zcQe*jN&ZYo{mH_A#lC#o`uB&{@*H{1>&V@5FyY-@#qzKl_no89GoM+iH~Vs;@Ye2@
zY5VSaeTY07o;K;xhP}?uT7^%aDN<{*EKz!F5V3XV#J|laqN@8Q?%EXju&JwZXJ@|^
zgSmQs_1^BUpBd7&oqGE1){eK|yF898d*G;(Wy^0@<Psw4R2a<t_1HWnwUp;ODq1tA
zK8x__idVQHY#uuQ)B1eTz1yEMirn<@|M9w`^n~299;M#C@Y?4y-L||>vr0BdF#4jo
zJXLF}tKq*thxn#47`q;c)_AjI{>4w-8Nzoq#kbFSEv)oQ$N5?H;?JBG?>??mvJ)*)
za&G9~u<+*P!ny71)*4DM?PcE;aP^nMoBYlUsZH*WQoQ!gXV7)Hv_F1p|FTmD+xxt<
zWda)xoV2Rh7`Fb1$H%DRMf>Lbw%IV@Y*c9W(`lj^m$tOs3N$W?TvEHm=rXfQ(lVC?
z%N^Go3r<z@VsEM8-ZO<I&^ImPC!4d^!{bT6^Df){H*nF|C~y6D<JVJ8txq2Em?%Hu
z5)tLt>2X~o@CakBb6?VnGvB<VJoQCl9;B-#6z2*}Y`#BBeV<j!%#x3{D(aLx?QXsa
zjre-|-OQ#*hUF*DJlZ<pS7pf87GwK_r+XA%pA>A!$XsFSwC3rvF8}8hpWnqjoin#R
z>#pnZjJEhET&r}%%%(=pwsv^^@=T9n-9~lBcdn67^SpO_-EfYp`lg<k^5lHiDZH^p
z*&pU4y||@v;@_0#j>V#ngq!aj^SEiktQZ)4mt&!S>ZNa6>JCSInX%&Q`)e<bq;B`~
z>^wE!$hB)(u26%b$^FS2Yku+VfBjACB=gkR&{K!sO_5+*!@hFOlB`Kfwep*FxEHLR
z{CxSp+d;Z(EAD^D&Yo`&@X_7n<M*$deYVJM3Z1CgZY%xJHR*-OzsQG0A5s$KmF}~+
z-hNyE`l0tprhaF)8GqBy|1NE)xErs%^V<>IP~(%Ij|mA$`bxc>npao$|JSa@yujO@
zTbSbK`pqc0Q`fUzqeS0gUc!>lpALrvzlJHuaGSkK{q|tro^NNoYb&bGOU?Nx_t8-<
zbo$%sSK$|y_p!;enf9G$JE!$gcDhXU+ATJ#tDlt{^ZU*(Syi5OXCC+OjtH4=1}Y16
zH@33Qkd&9)>ZQ8wUH(Pk?+5lg49zUr{`u;|wRgpZZtv4NypSRK6`Sa{(~S*R&9k5F
zshcF3cJ#}f2d`fo6<+a&<-qEVZK@NKC)|uMOj+Rlv?;IOsIus5pr+nR3nuT|%#YtY
z*lM_3bvkxHaZ$o7m&amFUEd^4c&=@l9J3-<`g}w6%eCt`WN)jkb=-0~VB2iT;0Y;~
zGE*GmPtA2U6y$c>AS%PQ>*>h@W;bWpm)6F~PCIw9$xKiD;AHK|7TqfhB(Ei?C<Sbj
zdq44Zh_=${sj}}~s-C*N?<g+#JMAi`$E8#CJeogFrCgscym2$zgZq9ixn||R&CjP=
z)d&|0J#YSS;@k75xAT=BYE05K@H((qHlB}V!aKXLqKOBmlwR^aR&$2qoO*9yrgC=R
zvV@G6uN}5dn8mlsb^1@fJO<tujQ-DGE(rb|ln}A^>QkdBk$a!1cCWtY_G0eq^Y6Ai
z3f&U1;)mVW>wQa}l`|UqDG3~4=UrI6keRK7Q_nBAU32Y)$8JB5+PSG6Nc23>YO}S^
z$*G{p^?6(Jd5;j^=Wa8(KTdNpziwK1dG6(Yss7ZxW@)z_KlN)YuQTOJ`?imp^QC#)
zeigs<v$q|+KFurj)U!!uU!@Gs#GjnI-st|N3>i_?eT&x_?vu~lP<he%_1D!~4WCZ+
zGt+K%yK?1j!}t2s+b1q9^a#JfzWuIPQq8557stZ=o-X+x-q3#iK}P?fuj=pjr(L;L
zcapy{ac^0#NullEkXzA429B<oz6o-Bo_={6qWGbo?@DNC4ZGjI7n{uMmT@$+opbs-
zDW%>gU7xk~?YXFF)Bl^F@No9NxFJBWZ=%hD+iQ~!t;pS`S$Jc{U;YPJHxpZ!7z91g
zy1p;*;37GFjo<pWEq&i@Nh^7o;IMpWoeJZdh}0%Gp3=8n!Yx)>Z5~>g5(V}9*@Y4X
zX3jcq^l;D1h?4#06E{3$EU^e_zU`P=`g+2q2McZ;S~4kcYv3IFv~6>ee<)^5p1tAB
zx!e-VU3>N}U@R_oUfgc7+RC2Ssy`+3_`{ccGxz6g%ahCRvA%hA#Z22%8nf2#XIXV_
z^R>WD-|pUW-*V^V>OKF<R0^2yZ@N_zlz3s9W&QmHj+W2Qp6FNY`c~l~;}C7_uz>A?
zU0qPY;@L-M#Qfs8J2@sP_p*L(&*C1-!=i1U7j2DDy_~lzvCK?Jbz69)$oHycyOJ+_
z4!)SsCln?*bI-kr-){eyK5dKo!uZF$M|<=q>7+WQ^{wu|yMOx2y12%yJ9VZydP{6>
zU$Reb)H)xSJn;cT_d0!3GnI)pb!M6Gbp!t~f7v@d#W^WuMn`Ajoj$8e@^#Yw?-u_G
zb+2h`TreR?*Xx{9z0k~$DkTdi<{b&~Hr^Dt<j(Rx?Xl7pmlalrUtd_Fq!1`JZIZWL
zdGfD_<mLU#@11{tmSxiJ`&=u%wv<-Hs5_pUbb9Wa*^l?jY0a}&`?$;dk>_pOSAq>%
zYZfd?oND26_}`_sJ{u38iqYwe;4O6#nj9t9;<I<+lAB++UUn^1%hGGES@>8in0@!d
z)VqS3zGA2PZm(Bt{oV8bBfn^+!sl{}q9sy?-PKInKCGQ;RT|3oV8bk(J8$=dC3BZ+
zg)5Yss@Rmstx10C`OjTL&g-+>f|vOdOOMU{W;OFa)9)7JW=)raXV<RzUF}$Q_Uy^%
zUz*!I`*yHj&53_G+lyD)XVtQ(8S|Ds_&jBw!z$j&KWCYg8gAOH_0_y~^0!2eE9cCo
z<?r8cEKTa-?J#Us&A62FtN)eXy<L0{!#=*Z`N~>oP!+6k+o)&G@#n&whb^|Yty(l=
z?X~W63Fj|fy~Sal`MS)pqQCU?^iyBHvbl+sR|gjsOr9$6B`Rs<9rwrLuGjK)mK$A8
zT4R;n!Dl*`o%a#*{I<CYQcI-XZuZXRKN#{qYt;q48xF3&%I_>IID6}jET^&8s<mC4
z?j`Mto#`fd`p3gVr%x$NS1X9y9@ly@d5fC(GH#8#HGc({Ya}gYoGj(1_0{8qX-RLu
zw0QQ(z7KrnRJQ5#R4dQ(Y&Dd2I;q|8{_N)*|Mc!#F;8E=U8(f^lng`Od+$Fpt*=f$
z<M4sy&30Fd*`5-ctzutXjd&Dt@LUg<b8kPx&zMDe&r-H3*Lt3qTP19(S99{9i`>lo
z58AGpGkI18abMA0823UkdWxai!3c$wSt}dj#m}*q9Aj|bpCi~&>nbqmMylaE?Ow^e
zU%aksm0jjK?J9CVcQ*d`aSQIk#V@=z?Cw!~6z*>pVGy0tefz!oni+0kVjo)z^Ya(F
z7GIfg<HE7`mMeTZs@&BbChq?oe()5_#D>hf`Y(LlS9RTAUE6(0$!F2)!Z|uiGwp?W
z9Ny$H3zp0OUurOSqx{6L$J3abl((B#HWpuItZm~gb@q=>i<=dB{e#Yb_uFr$wEfo6
zim#jZK1@e&{qNnUtd;lQy?CrAVeZ~Q?vALJVj|N&ABf~U_DD+bLhW7NOARx3Z`!kF
z|1Q3mqb5>O*QUSks^9eURKNVUx^`K?O^Oj4V)wI6j9%h%)qQvN8D-mGvjuAtKT5UU
zxNFcIlde$Y{=8UQC$d)D@nvr}$Cf+&=MLVo_qwmTvE`ZB%m*K;M1PoZSheRwq~;zf
zxn=xkXa9>6bs{M<TnZ-~$$7Rg%JY8ec|nur<US=?J);E*c2au%s#eQBMD6%~=GLbM
zjlxaA?rmP5Wv!2`e|~FO;i2RM`b$^-t9<oue>Y3%?jO5?H_ThyzPxQ)pkJr5f7sK;
z42L6&e5-#=XI`AZ^p^3jD}TwEBps9E(=;M?dnn5O5lh{{u+}4PKJzp?xAPA#3RxsB
zH`{lJ$FSYu$NQb1g{{~u{H|<V^X<<S*K>QceecaYULheZ8aeIfBEu`4Kj&KRnRX?8
z+B**ZpK}iQPP-}5^nay}U`8WL%c*b555MbN%8u0Ad5|?Gva9v5no`lNbv=!He?HYW
z&134%m}~9n_N@P2!>^Yc7Zyqcdu&WPXOVK!vb*nwN-eW;&BL`UpYv}A+mxlJZ2c*2
zzAbin^G-$HlL@O9eu-IdC1cf$#(3p72mhOI6PqmV_FrrEE1`*^4PKckZL_Xl{uz^<
z-1;+O<;1yrf4#Zl`)hUiwx*_|zut#>iuNl%Xx#SZ@Jcri2SMAi>pM1vG0mE+^kJsr
z`EcX!9U4vZ!d~~gT2`;wZam*_^+ZeE3%ma6_BrY-+<S6UQ{0PP3L39@W?o@Qvvync
z|9zy%LnrH%%TvF}>4a>NSu6IfTSCk3rEH7#zKHkR?`_I?bN+&5?%pT9vGGTFFCV}8
zXhl|e(Sw(XWj}AvN}9NAYe1vOrb@2<oaU%s`I540#8tQ=t~p!pH}hH%&BGYGb^oXA
z@2-~oif2le+rG8l9v-JtesR9ll~+#|tBEj8_tG@{C&(4ta^mx*<^0;qck;az6K81^
zZW3O}Z;`Aidy#+Idi&sS=ifd3wf$poz@{V5KL#2wb+*X4{ePIBv24Tb#JmgneutDB
zzdl~V`frCt_Lb#^P6DpXbEfxR@82T6LuA6^XLpn9HBROh?JZf>BIi{kdHwg>Qg-3K
zm<`VD$=y@?1w(&EyHDxKyjmx}vBF+NwEpYl4L#M{=3TMs=3u;cYm56|XNEl&N**0<
zG-Ulg=kE{qT}<hYOdHmo<+=ZM3b(bFq*2bFg__4_B`p@x(=PSm?@^Th@$%I90xPZb
zvmSykcw*UWrgIq?oxAw{Wpl}jiQccJr#C%XYhG0K<M_>uaotHB3ThL$e+GN)d>(On
z$!f{DL6?d(_Laqa^iEk_HC5vC|C=(+Pc1u-ZDv@mcB}CxS87Pct=~0Cl3V92b*Nuh
z;1u&@x9E$-^^PyBu0PCipCQDi^|r>YrZZ_yxag~s?6K=^|Cq$G(PXdc65sPY1;JAe
zty}KwbJ^Zz>#U_6{_nRhm|&LsZ$*)bd)I|dzqXU=Mz)NtI~_hrY>AVcZyl4ZKlfeo
zUV({=3tv_{E_A&oG^OgW#+|H>`zoT`PdI*;+!OFENp5{Y`)dECpH(FdR;bK>c7pxs
zrCGTjSbr<dZ3|KOZD9Oh%7#-s7Zf5nGix6HI{couSg^!q?|D8>J-G-Lg@)$~cGvCT
zPINu5zo%V^M`;fO-<o`;`+>{;^xslDx`t0Yt!-v`{7TUeCcIucTjxe+8JFJ+Wm|5+
zQgF$)_Gb`7DqD>4mJ+MIil)I+f1LYN?|Dwfw(n>2)1u8TtIL0IH^19+lI^MP@8$^}
ze-D|&n;l<!@7slE)zN$_I&3)Qr~h5h9mV;ut0_SsbG3Bc_dpR>kv|fN3`{j2j?C^m
z`FfjkM(6wYlejgLK5sAe-?;VtO_5hBS>nB)nHH{F(>~e5K1OV2^qVd>*Yhc-&qXsz
zty$<aqk7}c|H|C#>jiJisJF^py-^}j@}%(Vrc29s9%y@A_E7)+Z|%Cg?i8znMQKr!
zr0bW*@J)a6-R4TM24nSyjuS^pr#!Uf-tWhmb;W_1&mzXA?b@uU8@9*4yubNVaHC1c
z?2x!eC;t8Kw_z~YB{->nN#`v#kAo$zFV@6u%(&rXbZ269*?PUh|0X7%Jo=NfSw&;b
z4cDyo?G3AMu38ncBX+|5$wut6Li+ZcQ}#34v~=e7Z4a1g(oZ-vD1U8`_K-PN=WNt2
zStI&b=7QP(ql$a8&)(hFVY>UN`NzuC&)dv17Oqvu+qCD*jE^>pcg?l<wm<29dTD8a
zsnmgPp)GGFJyd5s?zZYCN8!xvcS3emb}nXVJ?3S8LO1O>*Y^+k$7U+z@$Y@dt^Gbc
zV7FO3o9GM2SB&}DM^?+;6};7JYri<xH)*@qy7Oz5^g|~URGe%(pYpjaWb)r2GyTsy
zRx%{&ytxn<@%Ql7($c2_-2Ug^*6KcgHltw9hZ1Q%u_niZGJ@xVk0l#vFK2o-WAEQ&
z<JXpNs{{Ej_m}eB%bU>U`QYR7m|d^>{jY!2mTpg)E!5*4UF`gBkFlv^uGo8pD~UHe
zlRsyjy}|!yrO$~4xv%-IiZ?CW{pZae^Ec)a@@{*U+`j3*_??J7YtHijX0rvf6?3Cs
zo%@|Q`__@L6Z>=K{GTM5w3B~XY2Z1>;t!1;|1Yun>A5^T^uO%-L*F(>!+p`GuNMBQ
z>iOw(*<a-Iq%0k-)EzVLdbKB?6A!#{AwJ7VBl{5F{}r!JWlpZkx*jk~ygeoLd*JWt
z(x6w$4|lIRGI8y_xP>X@6Vl5w;!iFvITjSh_bzbJ+qHM!`!_mm`=&KpgWqH2!WK0H
zmu*_R>-N05mwe5q(avqvy!J!;E2h1AektwJ{>q(g#kW6)CuIi+ZR)!r;kN$kNijo5
zyJ_{$zp4LU@BB=|LE+4rbH-+erhlJdzqL)rIidFb&B9spOyl2vH(dM4sL?;mZ<@<3
z^=q>}3GI^K{#+<!Q}6WseTVobcZw^%`OepT`pxbX35}b2uMNJ0d(3oSozeA|-TYWb
z@l)2k^Cr`>3)%~+FKyD&lQv3Tzhpz1@$Z;?W-(stiB)%`j?CV6dO}DF^F?3fc><oo
z*>gT@40(J!-pZi&(2U4?8+RYkxz*;w8h+PZt@&5m*)Ug;W2a6}$oG16LDT>8gm<2A
zqV;OEn|=uP9NqZ9u;5o$K~UFocWIx5@7E=475gktKFw+m{m;Jhu&L6*Ngr;uU%q$b
z2cKi`1daOrOE(xAvJ2ee-ZA6!-Rj~x|1A?=76~&~>fb(<cy-_7dGB5w78L$(tu1y?
zupsT_I=we>>2U=Y4v0MbsOvT%>Fu0I_OOGhVL~%+<}lCxv+(xvqwxX9>`db-%>O+0
zlB^It(^uf7Q*`a)?%UI4BQ%(}CG%`W)Y%SS=>NKG%7bT)yI-2W;wX~d_)fembju9o
zeTSM;Z!KZD%@nt8SG4wVgI@P2_W99gPu0)!oPFSZo44t*`RP|b`THMLEwwFPxjb?D
zx&pb*&aS?xi|<>e3Dl@BaM>{H(}J{2>$|g-x=c-(sbsU}W2DWDx}6MHR<&<fZRdE_
z{N)o9{yOz(``?B(-1hiYyqwj2LEoynAO1xe*VA99@`(ApFxL3Jb6Zr{v;wUXt!>M=
zc>NCE^EvImdz0?eC8GIzmN%;jx$WA?>mU>E9?NRI<D%WdrCW=(OxBOO#j`yBL1xjn
z{QplT<=9-k==Uf8mWt2wGj}4^CjOXFVo~^l>yOe_29<+9;)I?BFX4(f7AXJCKv^n)
zXWkx_Uej-WH&1PpSf+O1rNY%_yX9e#D}%P#l!nhqD305;=(+L69X?Nwhdz6JD<SG)
zsYRgdg;Rd>c^66up0?H$OKvOSUtF(f+#1}rLTqYR^QFy2+xDdtbuXCA{lGMNw!)n_
zHJ$ub2RUcVxBM6Pu(Pi%?@oDk>ZhL@ejS-OUFxgUq_x-VQjD)uPVg78&ScZ%G?$WV
zaKAryX`0olGpj30MVk#W<yOz#qkg*UnE(Ep*P6CZc#z^Y%T6u+;G@Z*5<iZHF6TP)
zN&Ef-p=)!`zSs0>INqmpdVhc5kFKj6Jl_i)t_#}x$g!{7bM0QR@x7a#Q&kv*mACIK
z-<h%Flm72HA#M@5y3cRetv#|s)|FMLtIgjkpsGqcIVo2C`K|-@d_6@SwqL@HzfS#a
z5-H{0XmjTGMzODI*Y>Ybtz~$0b;AY0G!2e^MS<jqTjqTnYhw;>EnMy|D)+hOg7>8r
zd#;$oYn<I!o#VFui_SHsq(8abH&0%frW0|maK%*7kVba5$Ht#oPls(@9-<w{6F8ae
zJDWG7(W5J`ViX&Wf8JANp0(g*iA!zjiXS&5OSY`66)896KJs^g5JPgD+ckrkvO(M#
zGFw~tpQ-3ino_JV@7zo4VyU!0nl%?igt%1W9z^!<tla;ys$+7@>o8`Pc{})`7sY<6
z>{|XzVM#;q`uM~1^V~AZ7nD8=S4rxWDW5&TGvUbNXxWG7r7cuur!ak+z`8vxNaSgv
zezCy<%XO7OlO1loopm?-j`N%!t*_@zjGw|&cRN8)vx+Z_|Bob#LTI$i1RpbY<#SQT
zt&jWZGfiD!U(v_4U1i43**bgACGH3a_1BnabN73F>WT9zTc7h^oi!mbDv!56ZI)O`
zVC|BthYdQsrv?hH7xuG^Nh;m-ze4uls^c%L7d{d){wK+(_&!lsR<!SOQqhvmW`@uD
zBJvmhah;94T+o!E&0An&x9U)B@ZZL}vd@Ckek^+;^H5ja$ISIktbD)aZ<Ph%fzNm~
z-6!{`e4lLiXV0xU*Mc^2**`E*zP)zwPycr-PE21auln~wa*h6+2e(-3RxEXR-2TQ*
z>tR#$`hfLHM;FBX{u=vqV|vLs=C6-kx9ohpqO0l7M^~eoH@EFA&UVhY{H9X-S%l`-
zO+LR_UCvwn44A(7`2FdetcT2(XKY?3Ix*?WpA8YBUcFs)=k*E#ZmBNYyR39RgSNfq
zX{o1izt8bxRYoQ*v{Sn%BP;ty%3J8aDnp6NotvxfaFqvU9C`M{KsscPnC_pGv!v^k
zECp9yTCRQciY4>!PwqR{=&luB@8QPjA@sF7@A(Xa`Awx0a~jrbXLZ?}h+(YgNS8Wx
zou|yqdzK(~@?*WHn{L1IU1Y=e_(rK~%eIJu=O?GJ*=7jNTD53OF7MhmT8<8L|EUM^
z1v%=P+@HR2=5E{dXP3Ont7_gM&XHX7!TZ$dJv&SbznG^axN>p%y@+G|^yd`6`>W*h
zum60Sr}v<9?>+uBp{}KxksgsV8KQpH%vi`julre^K)KG|HM`6<M*Yq0ey#eVSuj{V
zOYPDsnH}aL(FMxx{X2`wJ}+~6{a>j(IQ93ZqIYaIg|nw>*>c7oUbM6=Tixx%ze#fb
z`KPDFyL-QN$<+NjIh^UVVa1dcoY~(3WOw|}5q9OAP%q7t_CdMJcb|1m<V)`Du?#0n
z=Qyphx319eyYQ;|-{ng|b{d+~S3Ybw#6Ioae#cq$QqifBNtXLvUvFoNeRp1@#X)3-
z@r+gS2fPj?Ol~g8SCBlU^nB$v$Kq@6kGjm}*eV`&=kez&0ZZD8l$P_`8q~Rb@cwmr
zYxLgTYBpBO^Vy#AEPAYHa_-E1y<cgan_BcuJl}o!etF7I?PE^^?)0$i{noDc+bq6B
zQ*D9Zy{N?ST5qR?TO}Vpda+QaF+Ac-VxRi9t=;ZtHUwy0N?|m66!2ww?2N9<T378E
z&Pp7Z+$Qm3`Sh!=y8;fl7bg|%{8kdNbV<gouEi@<A4I-XjZtwZG>wzGetqZh18=WX
zsk$+(l)XDY>f6zUzZTzX)h;QzvF3&;FK0^4BA4jfdvwa@`0w`ptDVF2Oja?Bb8%YK
zHL+c1KQAx8>9sJo$LoJfd$f`Kv7@>%%)5-f<b5eza6D;3Zs9^{9_J{@TAp}qRoMlR
zQc)`>WUdo=wkt_3=4D`UZCasR(Et6v(|*nV_t|u5YS*h<hD$2HCG<ZRD&`XH*eP_)
z`H#LEXIg~gu8&@GOXYgLRB*q4Vf1nNET`n{`@@^#jQ;Gh;Yk!bRB=eVPwXk{<dzDp
zMAwU(w;sN|?SMj^D{so7Ne^VJcdD+_eRkjz&m13>?b2s#HKht?#W>D3e5_c1_qb+2
z_KO`S1fOUA_WiJ{sG=*6rCsL-&$g|3`+M)n3jbdCtAMe7U*ELn*7suPq^ibsrSh$x
zGxtRG*5&@SDFSD&MX$S_#Jy;bWMxmb&9_a=>in}kZk9N>i5u}R>k_VF3;lg*+Wif`
zE~m}0i=2GfWab_hpN09VC;x?rstO)xy7aQ^N&D`!uX`&~k3HLdNhR=3*Dt2t6`bc5
zRUeprYF_S+eaBK+SF|5!-Sc5nURR}NWs=%m#cxc`O0$h5yY6!e#OlwRc-TbvjDx;=
zT*JTBaX-(V=e=it=Kh9t=dV58)p&`k<yOcn<+`4XGQPm+k+~-SHpTYG)}EUFUQ_p%
z3U_bw;$7x*ydon{-P%|(c}-p(v(_cP%dbw#KEL=?=*7b~7IEH_`7@r}{E#AWdgr&~
z>(|yNKP;WulODQ9vGSvjV8LpQ_Y+q6?|5VQE&kLV&3oNzOi#T1-SCxT>IcoY?=0HZ
z1i60IS@qS_A;kCC1dCGwch0#TojIwn-bq+FQ~47AS*tT$CQ2XwdmdVH?(9dGfCa{v
zi*A(ZJ>S9<;9Wh{S=x<9(!%vhAnRhalWWB6=S~Vr_*YrL($D0-UE%VPN5v~oRczGi
zYIqrSqOQPMP?KS%Ya9E!&p%$C@MCq{Cm1ZVU25a=`sBC<rPR;PMJn$sW0quDUVeHu
zu6ymmj@jj!n|<Y<=|_0lM)bTZvkmcF_U@)=$oBtt!q&cfwZwIk(2ob#7JmM^T(<GT
zuhV-j_hogoH~p;LH<Nh=yI9?AKk;j`HqLIDr&bu9?x}e3>*3rAPru2>1foriyptTm
z`mKt4<{sOu?7!wd(@MdIHEw>BpFW#%r0lltwVhFBVJXM!7cE_3a^S$Zzy9}rC@XH;
zP-xlL`19bCO)b0ZTD!$3%~p77m9X%Iifydb-_3tD^ykiCoEQD?pWj{C{Tm-H|8zL}
zKI4-ag=N>jpV^l7v1EqQybA&Uf6c2uKFOKaapsxaYkAVIz2qybvsF3IJ=Oi7a{TP$
z&`H%d48PyL^tj}r-LFgPC0AwSc2DJba<XvaUhPJkFDh3&=6|a?JZZ`0#ZPA%q(A9-
zd_&#+tb%H5Z@|L970cI6yvC8Fw%Ky0WP)r{%kBj--#qrpT--dh=f=$Scjb!8ZLF?L
ze8<%Fc(KZyNc;7r2397Abqj3n%%1T0s@}AFQLUd6Z%1S<)#01Z_T9BY!{_caH{0E}
zrdM+=`NzE0gDZEb=6ucl;d)hSG1}5y7h8l9^{>9=nBdzjnJaNs?ZwpCT>q*!D;4Su
zEw1k1S1a9qKEH3@o1^VJTlv`*>bCQhwr1Y=_Q}!DR;ZzOv&b3UkW;}-`xGWB`S9=J
zIg{F5^UKr!`p1iM6&HE#^Xn*`{nGUM`_;7?FK(XpTphRAVM>D~qfz)3FLiw#ZY9~8
z)t}3hR~?zi^(@UH=Ix<FHI{2xQY6eH(!#=}JvRy4tF5>->y~8}OVZjx)i9IZj@4an
za)hnf7XR6)Z+iMRD}Rur+$Rl|xtZ^GS`<#-XK+fa>7R5Hm)nDLzQ;7>U%qIMobWVg
zX}nbJafV6ncCx><exloZd%j%Skw~jqdMrBgHeA?oSM0Xc*==g;Jnp{iEHB}|xaq0w
z^lJy}<ddfSpBAcqrY@oB{zA)1s?Dbb9_=moe8|q@)l7?a#wCK{v3m+jJ5C;nFJ64r
zXMX?b+baF?EM;ld(idk$t!9%uS3hTdV6o2n?gKYxb(Jnx2z+U^M)Kq1>d+4F6^aWz
zl^(0#<!;~DGv(%qJsrxsI5;9F&7M_adc&kQfxqO7I$P|YKfenf>|@BC<<&2|>ACt-
zug{BotYzwVGgO++xO7Fy@F_><*VPJtA`hg$IdCU5(Ei7pCt`_a)|}2SD`N@+9j4zl
zSX#Mk_toiYa%<OIRCul@!g@Nxn`IJDw0iD_BPmzn=WsQfM9kF}zw{!x>+;g|f-#XM
zN*{j4H!=H0`v_j$|HJ7z^K#Ysyx+A_pQax-XPs!Y_>SurO)vK7@_zy4cOsX44m-0<
z-fH%={r5$i?*4Av@4Myj@|}K-XVq&OHXdKRYI5t%Vxtd9b60geyYoz(Ju=|b_GwW&
z!g=pXY-v7IEOjltHuQGbqHSzC`~spCEblhH2xXf1=uT`P*XQo+ua@68>+UgISSoe7
z^W^Te>s$M@)}69g=y}jAkvV%wYb49u#ohAX!&P#u)YX1@Z=Jt+SC(nOyXqG;JCCiL
zc-w5^p?TNr5_dL=dZ;yA%g(lx+q3S05=ZQ{zx83W#P17owbws+tn_}C-?h(qiyO)%
zwQS!#uZZjGf7ZhqxoGvamFFU=pW4~l9F}d%Kk?y1&+)U*SKDvP`F8QY=Wnas(|;wL
z^|d<B^XS%Uzxm(qRCoGMZ;d`FDd)NARib=`_W{q>(~6yompFdEa>lK1?V1mF?u495
z{2eKI$uP)ieT^5Zyu{nuqe~g``A%PJ|F$S9eXgf@f}`3-k28-qD<w<)4?pK_A9va@
zRBFpxxp`U1GxN^~lzhnMNw40YkS|^mx;*f@w~e>p)%TZlB*b@IlH-{ut)ulxs@Agk
zw6o>y2M^~@_d59~<TJZx?EJ5~rGf2&$HJCN&rC1hu;<c!0fi4jvK#rjWBnSxMzAKB
z*d_jYH)~Q`h`db5W_RxIdmA@|gbRE<bHm}yvd!y+9yJ#$Ir3;JFA{l~us-f-MDv?>
z$KL;)b@{=xtCM+GmLEy%jBY&h(1NL1si5zN@$qn>v*+I5sBPi#mv`G3aLeil)AKct
zUoAHO#-c5d*mv`0#bh_eueR?iEYu1sZuNL9c{4S)=x_76dyFdQqt+zw=`3z$j*|R%
zdfOqkHTuasep6!Ry7YzapH}Rvt^V0{`V!sUeot7<?3OUD7hG|xxHr16N+EXj_Q}n6
zCfRDXTv#V|-p#plg7JmY30JkJ3M~2dO+d-~)Rgxj=d|5kyBwOcwSdci>hf<w&%WRF
zN&CW<(RY)vjQgC=#9~jKOZ&OzXNUjF->~%Kp6QAcRKFH%s?&aUui@v!=aJ2S1Ak3X
zdvi6<|EA=nv%Ps&ujLj!-+ISSCHr@=RrbW*z^1C(0lh3It2c1ugfa95_AchW!jiqR
zeZ_;ewVTD7RIS-lPU?EU)BTYd@Y=?`^Xb|}tc4oEUbCFeD^B_M^2+JU-s}PguZ9Kd
znAPZJmR8Q6dor-@NKMq+1S^G?k*kE$<nn*|hCJuq-XXZt?3BXh-gDV;i&rry=C5X+
z<#|v?@Midb>3p8b{S}*j&Rce^G)-#%+~}}VNoJAEi{hK-I7J#J*F**{pU<$Sq0%P)
z@(ugRmHi(bXF4;kzCWWo{+w9KNxt)5%j_*1GtR$lnZsx6`XcG#{Ay=;|2@w8E?;yj
z&&;xC+0{11?tGnuwCUT^&sVP0P?bEfW#2N+XV16Z=a-xL<xWrJznsu5mH+u|YWOER
zOjsl(EKw)EK<UYkK9l1I-k<&CU47Wv=VZp}ITfoI?mgJyvnkY4`PJ?yr-^w7|Ib(B
z^w*DnRKGWG_SwVvO1x9DST-$Idi=6}{fDM)y|b#b#9gL74`D5yD97PszqQ}1{?3vA
zHvQJsskcra6!^C3$C1Y@TdtW&UvNtM8IWYZg(rY}8~c`&7&es~N9Im@=v{n&+v`1T
zUlM0*J1Nw8yW-fQoZ36a7NMPL8x^c_y!@H&-}>TQzR7`aZg%Lt)2vn+>it*mJa5c&
zDY&~_uq`2L?uJLnr=+qCt5aTXni(N*uYHa8v*vlbIGyU2^x5i0Pg%g@C-S*y8B?Zl
zz^}b?);@jSV85fi{ei{1pOF`=EZ8>v+||zKeM{_j(u~>8xy;2cT2~xdG+Q@RGOwOF
zTy_2oqqkeCPx<5rv@l26$S|@5a-ND$DPB7-QK@@!)(ndeyMz)asJ|9nSa#LADw0F<
zLHvB3^kV+P!eEvU6Sv372#G{oe!Z&9d;J!r`l`jERW-h4vG1QhzT<w`zGG@Y=hm$}
z!3Eual=Uwb=TDSScRydh!p!yP8qRwsHho!;KesEqBQ5Lum3h1-k6fgD=C}M-VN?68
zJmd2tQOkLk{r7pSU##%-X^T~T`GZ?UQ{7bm1Sa0Pd42QxZCj3oZ~pS9v@k*BO~K=Z
z27BtJp08Z?agw=0(wEbcb!9B?SFXFFetYsHE>pip8jXeF^BkG`vjQ!5b8h&h`}6fJ
zDWM1aOV__&lI(kCibnOx%db6xcQxLw{TO3>HS1dVt!dxd9F0rgznL`QWV$2Q&9y&r
zZQp)h6@TN!@eD4HV;f~7qqNIekN0l-dG`m)&Q~c`i!R2z4C0%2eqvaaxc4LzhQO=-
zPgxlRPqIbrklK9M@_cvht513J^4{)h5iIi**4py$N80n5yH-S5K6$uC*O8ws@azJg
z46!Y7<tI-bFFrbHS#-^$Zl*Tz(@*PaUhAHWSDISbpL^r;+DO%j@@vDlKXRS@%k_)u
zJSX-es(pVR3A%o&ddqjdOC(xxLgir#o6P7h7o<+d+N9_DEXw+;G0$)5nPZX}_R)K1
z$r*I4u6<K*P$;A^{;uEeJ)ZC5!<MD&cvY&jahHO9$$6!X=O5~y*v4PD-0NP+m!x+&
z=U$qncXhnx`;&amibKcpnYK&1t#w=KEm515OXY6$+^X1Qv|z@E-9AcFt9G7NSubAC
zxVbqdX*DPR<Kj<3tUaMyi(`5u{nP_ja_92P^zNIgbt!H$GxvVh{LSlc%?oC^yF0qp
zeU83ic;Uts|916UigQ_JVZZyM)v|~=9`CN`x$GAzyq>-D{O+B>Q)XUaew)Z->!bBq
zq3rV03RB5ftkQK4$}VnFt|%9r5Sg`p-M_EO^Sk}RquiGCEnC4>z%X}z^2vpkOSOxy
zOtLw(qG6NOa`)e9sm!~3c57@qxnAshq^`l-Wrmqj2@c5`U%q^opY*aU_u|34tmE(N
zia%EPOxoL4=rry9|H%B1Yo2eM>@Ah<8fVKZ<l0_jjtX7O6Wv-E`g(5F!?~d!w*<a9
zqs10kyvqLBl9#bnElS^Q-v*nTb6cf;T392qzsTkLu1%}{&)^Krap|3sQI;Rg{!xUV
z#a3gIu1C$Oh0FENq}gOwgn8A!KI#5-a$);f$0fySX?5w{{~j%K3UgD`D)7{c-WZX5
z!|3f{{{u~~{4;JSJc~W;Aa*z;Xx@xl>K#+<SN}M2%jv(<G3N)&M#c4CCU#r>W=o4Z
zvfYU_#V4<+yIb5|XwU2G^V+8iiA+;IHRag`j$Vt`=I3Xryj%Fa^k{6i>)a{EiCW3g
zoqb|`XKkuOq$c0IbS;Z*xs#@C$=3rS>Ss@N99_2BZ-?lqV5gX?eMOsZm1NKR{yMyC
zUfIh{9xZ*Ujgi@1pZ@J}j=o}FI&<-@Upen9)trB-ZZ`ERP02pi!Ibn?x&6YAM-wy_
zpJ#lX8~O2!dgMw+>1{T%sw7%A+@G3y)o0IDVb7G%+GiKd>mu64YxSnTf48yfcH(*c
z1GT$6X88ts9BjDvEL)hfsA22Wstsn-)YToA^J;u7b>w2HUYins`{2@+-9FzIw3xDg
zeY1FSj7oa{qK?-V-S*WF#8kZAPdnhx_~6x(wXQeqCkh*e=;pOZmV^sD<GCrjROQrR
zNy|Ui+>2)kZ#wnB=u|6<7W4iK-(o9nn(2xBs+6jq8h&X}_wD-g8P3gu6L&K`b9uhv
z^05uVH_V(Bm$kiJ!lLy*mScxBukeR07de)uMzP-dVWwiZ{OGZTVlnai*T%kE|K4WB
zMjIL51)PS829pi*i;TjTyX@%-D_FYTS@+fUEv;GXUvi2UUXf`^f4ufQmvViNCx^<^
zg}=72o=8+r+c()J=1X&uCWE(&RHdQd{w$L@xw-AtaSxI!u0O4jIXu;K&z=?A_ExE0
zN{Ei!Dc^7R$ZY=_e&>Z;+&pFdRsj|NR@<H0b^He3HnrV3VPyp=s{aKHZ~E=#?vmB+
z^SS-J!7+czqK*mcABRgD9GaT?&NEH6ee2qN8-r$?ocXR)?p?&)#Z_xo$=Uq-+p@ho
zAjnqd3a_5Io9)@<50p>-(%UZ5wodn%+-H^p>mR0?O_ge1#^>o@yZnZw*&NkUJ^h@v
zm=)U?zP>d5Bw981^N;fz_8Gcw-JRDsdCI~W{f3@})g_Fn=GR^Ku5V=QbV(GJC|CRN
zCF#Wu$J>V^6EAZuynAa&fQ7eE?W_rT!U1o1nzl0R4%OvM?svSF|1?xeH(hGi{H3j`
zB}OYYIh@`fRh0ZXjbG?Rm4)zTXAR9~na*q)#~y}GHS%>3J;#;#z9uPPqYA6c)S6eP
z3afc`2-*n><<?%?IqRa>rFU=6T4jdLTHpPehdnmUIQ^0L)<Y-sqkn#@4h*uIeRPiE
zuBm?Wc7Ap`vpS|{k$$ZuZ|G!3_UEyGyeCbX_GI>lsmE70D9sA#{C{kl%CpufPIhJg
zxV|$uZQZytd67MPghq7G#r{po(?3j;oWgPY!;Eu0zD*PMcr>lee&qD<lf>=7AHS0h
z%5JsTIH`z5=qk^pws#A@UEmg%tO|R&*59?PvnriMTt@8ki*q)cISg&@sa|w$RR5$b
zb7O{f+MLNNwr|bxpJtWT^HS=?+fdb3$%v}83uWy-*nBi{SiUk)=r4DE&(zIAcTfJd
z-<<h|ziO9(t+j^uWzCux+n3fK{XHfM&6xM&_#|(p*M(2Cc3#%p#WsaWT{<9CVS}qH
zhsODvb6IzJq*d{h`WST7op*6lIv~H^`zD`H?f0(t(t`hDTA#d;u|9jo;s3j5kt;RV
ztYCR?-s!+jZ{6v#@*G^v@3O-a-)6+>ylR?xt!<M@=$=>VzunV!^5sUK?5J`Gc<}#J
zMJ4y-g3RqlJ^L<BzW&JX-p#7Ukdt*yOAMKA${NPi=ydCIRm>~<ci)lyx6os0adG`*
zgAYm7G3%dIJq|sf{r4`P{XJ=J>9n#1Gkr1xQnm}fS6rp>)p+rqg=bHh=5Kr=CKce@
z6nQG@2g`NMmzII?<sp4yQ6Ub`e{!CGYa}kcYs&Ro+>A+|)rEzl^JA(lrd2R6`}FL^
zUfmgwkCr=UN1eR%zV72y_t$?WR`uS^>^8c*OnHu4rB2F<lbU_3K3k_vSYmsKM<q~#
z-|B0d!?DX}=XLaKzJBpl^tUCGggM!RuSN^}N!)vW(xRQ>{5F$!@YV7hHQ)VO|39PC
z&84T+l^yS!x1Zajzbom-j}tPf(MJy2$+=xVf3IbR=KBmegU-^gOFw(|<<7O(AjA8{
z@$+x?x6P4XOkdo4e|rC7wY?m#I+rmj8w!N)P`n&|)ZZh1uj^-}52^m5iw$ZgxK$pq
z?)P8tMd5<M{eC6yrx|CvT3;4)EidO?!E<2m-S5W?Ur#(U(d0uk!vl+j4c1dslGP+W
zl?y!TJQ(-S@N&?<&`9@oMpF;9Re2VLFSu9kH96N7(@=G(c~kmf4<28Um$hH4LwmcF
zS!SuWsGIKFm-u&2`r*JCwGLa3=@~K1*{}M1@B3vxy_Zh2h!gxSIDZl24U>{N&uvUI
zp1qkI&|@Il@%@5`?-BJE@8zyl@bRB<JQ1<s&C0Fm+bWA%XUp3bG#wCJ%CIbUlI$(h
z--69X?MuA6%ATD$aVyeT!Cj)>FT{8H%$>@<g+f{fA6aZ<csyaly+$X^^>UlD>a>=6
zb12+rjwoto|EsVd`l8rUuIL?=5BZFOPS<!C1&i)X@`_PN>ShsXl`XJ*b=2t5j~o9U
zTvp3m^)lXRSKLk02_cf-Y9(YjIbMGHc&qH<g3D75T%5E^^NrJv&+c1fH*M&3xH8`^
z^3lS&BG2;5MxG_1!QXCLDKxlTjH%dP{6;JMdhZmiUxv-9W_p)9zi?-VCG0mp8?%}3
zb+3=JcN5#1U9WznA9<vxw7c4L+UW`Fr#^dmBG#gC+TYhM$M^VG>tCrpzHC!C^M;^l
zb$Tz)&#pCo(D2+Vh57N%73$N|C+yuH8u*qyv}4}KDeoTzs$|`Kw&2_90;gRO8j1TS
zDmm>nXIuG6B5lpl|MOQ=eP5^jDe=3|$LL+tUuW$uS)ltf#e;X-!A|)P_Nymp-{j!Y
z&1DlgBqi3vvFvi!TzB2Ku}L$Qt(oyZMV8~qf7K11jlWKv{;W84a^BQ}(it^;MfJvP
z89L7vDo!jud*S2-Uk2IJ@~bU3EPuS7_sPYwGU<JR2Dz6n84EtKOmf||fqRGOk~OzZ
z#4t(atkSN}*>q!Pqfzx^*QLvA6rG>#bo(au!;pQt`-S_g^**MrIpdGc>{9=nDCe40
ze<{$^Ewf?U_H*@CM?(AG?eU7S7k+i^<AU%r^Zjbq{#(nsvuc0z1oIWMUasG=v*D%m
zSKCm7_}i6B8{LGDODqqW`f=&%3u`!KHi(w7+we#jO$<}LB)VY!hyBhrKK^^S?r%<5
z>mJ6XFeh=t%@Za1SzAsUEw`0ZI=r-1d1cida~-ei%Pw@wObODO``K3cxy0_<`&cJ0
zJ)Y01_4XU@iNc~cx%bQ^`FE#h={1_CaPQ{(s;0$Rx$oeoL-Jo9-D_5w|9zX!d0mw|
zyO;8RJQ=%5wezRE<?J~%{pQBGkLSfMYG`WF+;s8u+7_pzYs!x$vz9)6p?k&qa&+(R
zDEW*i>%%iT=V&EnOI!IoPz`u>HtJu;y(+=OT5m3$nftM4=QJ~=nK7*nDOo`x$7lU3
zp4n>GwNu*T^3&uqCtOb-Ivz7&Z-wHj-SdAPJSg~QXX0OZ`{hE<VmPKAiQe3OZL+CB
zfK9$~jg~N<&^4FV4GR07hj42PUX<9k$Z1{5N3IQD4!%ndJicsUTmFu?X76nKLq?gk
zg0;f?ABV0unjsl=OaEBZ>?v=Lm|ScUwpm#6B>LQu+dJ-_{o>_dS?u9sT6ov$g@@dQ
zb4#MNI|YwjIJSwQSvNUvE?bga?$`Y?Vo$lF{I4?1f8}=Xv-cH4=@T=#wN}6NzwMKF
zB*Do$e(t85yZQHQSkJwhA?QKLX72qTb+1nNB=LM_<%@N-EjQ+WdgA3H-tygcTExtM
zwX*fgIk%sExG=!wg@IXM&8NBDYbB;dD1AtAh_5&w*Q1kVX*y}8`N0Z?wU6I^yR1>H
z^!LXMb;fHS^1HbXvK<wF!Ja8}FG5sz&!z6%U6*ra#CFz5&%beI%kBSMo0yL><@B>|
zIbN<l(W*aIJ?+xcGY55THh8NhP0sXN@F%ZqwZ<dA<*o0U0{<&jUs>&CP^`_i`DoK)
z`9PPJJ1e)ZeOIxtN9To;Ku7dad#e+FzQ=4bjb7K+uJ-fXOYv1Ti&wSz7%dmw_&RdO
zjVVIr8QkHqRq1Q?@c%zQE$G0>7i#T2vt}rrZOgjJTqVhr`fRUXYgE#aDq*(FS9c#S
zE@rhaT5$5e%5{r!)4=@~=Vk75zA408a?Hq4d)v7^$!EIDL!&yG*}V6vdbzlL$Zd-1
zuKal;U;A)tk>cMoe1U;(Kf|kMX5Y%|f0{CRv9QywRnrXiegEEKCx2C5%kXQoOkKFt
znoAtt<Tz_>thO{59eMimbU=Uoo<P2)D-sOf_J1^xoO)q*SM#HjJ6~Pm%v`;zySzdq
zWdGB7y#MAKe^Zt&&FeAcIj!(!`XeQFd%nwpru9c}l?6P$yX$JVW5L6a3!JO(2?u?D
z=(8tyPo1jW*Y;1-RWrBU+!%A|W!zewPN&LEm%Js-Tz1}I+*dVQ`<|-Ioy`9a<83<X
z_pjX=&vU)7d6M-B7M|G;^iFc0-jp`~uxy6PF5MgZKQ#)t*Gt&Ue!p60`mD_lCe0Gh
zQoEaMvgE?V$X%`{J~(q#eR<?PeQJDsl+YZ<&n&JP91{XvbxWhHjhO?ki!LdUzu0(S
zMTm%vT>5T?!lvJG4<fQ&ym|L3rtixB2~*gQ)Q0W7{<waU;D^mSm{}+OO^Z^GxDw{F
zQRdtEt^lFef7YIyoNL>Al!<A&c|i5$_Qc?TxvvkUYO)FnN^VNMJoT4sUB>5&*KhF_
zd^z~T*2n2d<Z(4tso1jitW|t#wtqU(JttJ;P+IBNZ8JTW8r}b#?!W)J{29AdkHrim
z>WvpTJh-v4NK5j7)A{M2|Lsq&&3G?e@U7y3q2IBCn;1Wyka;O1uOgQF&2{c+F{NV%
zR#)tG<&b_j=Y8jG&#+Zi;j)tRHt#k6A|AendH3F@F2-|<Y!t0ibh;x#+J2l@+R`P<
z@cZLrroH!GygvQoXa4PAK9?lnmlumfBok$sf3BLI9NrS!Bi>Tl`rO1pz{R2Xz0&XL
zcUTMl)Xd$)xOH)dP0)PXD8rx<zjdX>RW@n*<(nsjAMHB7=HeX1s_%!}C)bs*UHDw|
zQ0|ZFy8PM`dQ$V^t6uUn+x<SVlK-guTF+?Cqq_rU`G)!@S}dP4(P;WwzRB%f+PM{q
zX%E)S)7~q4yn=7ylpluYBsLyUbhdf>>axm>!xe|umzAach<&4<u<Gd2qOICVPka)l
z9%V}}&@Tz_ZVi%-=lyj4wa~dM3jFa~&m5Rs#H!w{f8hRa;Vn;#bHo}ph#9MLPgUQ&
zY@6tBS>|<{4*I-mj?%iF`;+ThVNKVeUn!HSO~R8m)_PXYv#Od?_Ep^X<>tBn_%yF(
zo$UNBdU{pTMuTdGr;d*|IqYpZ``Ytlq=Itua`VI2I{xi>xk833XQQg_#Iz*EuRek0
zC+1jw`=76JBfz_R^5pq@!+x_Jj$vNgROs!dGi%!e#UuePbDz7Pe;t1jEhOU5wfOxl
zSH3OVk~fKVaQE)@JJfVBt5y0mgSg2tja@g`x1Z3tbXNFaQod@0OJy5x{SkGJH@<2i
z<vC$2JhKWFJ{kvW{ZmrBn!0t?2cLD5l`EGr_+3`2S-&)x%}?af$(F}9$2DX{6%Mjk
zGI&g1H*eKxZD|$<ak*UP)Zd&|hZnt0j@g&0|7PBwlNxsw*i}#Li>_AvcKmHbRAvKL
zcc@fG@vd1{vsm_pt&M&BOYXzTA1jV&$nURT{e9V%rMuU3T`T>(GkA)Y-P4!Z!OHU*
zgKoUvP-Hse(`rkb%YhE1LQ%?o*JuA;%y{C|yx;Y6*YxaE>(zBO{<6R5)b4EybGn<n
zFSxAy)ON9bkE4fn?h*y-XvQ{Cms#>Xrjd8M{`7lJ&iuYYwaMea%*>MR!b!J1XUxC7
z#_HH_*Wmhh4}GWE%@W@1`8R3Htk;KnQ+4Z?T(-NVeD7#<@P)Ja#=ZMbvsSN?ZMl})
z^We}^+b}En8N4!_Q&rR3OFR|SbkuvxIdlYU)4g7&^EUigFws<FYsQIJ+oMkW2y54<
zxw*tfpzu+YvbXg~liS}~+iM<c2(U_ib=sL-c6c&R7QZ25P)Ns~_C2gBJw?a3{@q{R
zJ2y@=^Wj0)SfvyG!Y${1Y})&CC;#z$p6ZWl6J@@MznK16Gx14tBfs1pncv&LTC81g
z@vXFvsoIYPu?MP8U!Bl){>tZt*%OR5P0;zDbx^WCt^c9fi95HHKBj1vsa^Q#q<_LM
zBCax2yzu+<vwLQ}6wxp3KF3{ZGtELqI-|qB`}Pv{!mHd*rn4$s<=Qyg&O5Nk`~S+h
zUC+PH3`;(`YxndDt9zRaZ5Do&4p?xZWye0RMX!DuEiIMz$~^kaDfi#EiL7#$`W~21
z&oeqcDLl<hyZ_F=r{;TF&%b1}yeWBq*0=h5I>|Cs_FsbzYI^0hMwFKwbUyppq|p1x
z%74!9|2zowEYME85^433iNR`NH^;l=(>n|1Mkwg~`)0L3!K;3oeZJ3+|3B}X*A8J9
zNS|HnbN`be?~MHCzV5fa+_u@WiC_JVzxan7^HRk|$<_M{#a=xU|265;=ej43ZkJ<K
zxzBClZ<1Q%5t()F1hd9w?+=anOy-H-kGn7&i?%x<DsCdP^<8$&t*Fk=Q6?p?E*ueB
zwIo(gXO(xX*~0W$r#U?}Ry5mNJYVsaW4oCXOXJ*sFAYyQ98NP~XPWPxU0l<6etw{E
zpX?j6%%iR;Q8B!6tRBA}TDENR5IVMe@gFTuwO@7%7J2;3{4;;9`ONz{TPq%gF4}y|
zWbfJa6BlkUX%Ntzna1qtULSwm&SOr@ni8=?VrP%MGV}0p)yd*-se9UUififjRao~G
z8l@S0kh&!$x;9y6^X+HBg`3t?Xw@CrqV{iPFvl~^mbuZpj(<}tFp1smGEL5B{Yyu_
z^UTZ6yj*T=QdFM3;k#cme@7tWk5#T~mfxMs5q(55yF!{#xVk{%UR0n(Sk1F1mzO<o
zZ(8BP)qG$R>n>-m!jyewZ!%`<7jNg7CRSZ2mGJ)Bi>q04B0Ku^b0=E<ml2Gg(P1Q=
z%igqCVBezqyY^Xs^SEp@MdsUG=gCPgH80Ie+%r>8_(E9kV}%Z1`FZc2asNDMB7J;y
z&5yomYU|iOe%>N{b*D+m{Cq9dBkir{*Uzf5clVlDT_;&<%x>~qrKhqbZ{L02Ti+e8
zOn-NMvY`Cob_>3}8{e#mw%x|}>X3g<QdnMV@>-jHhvzQo`^N615#+f07W>bG4vblH
zWyiNm2{}bGxcZ*haV5L2`WR<Y$m^*6)}pn|n;mNz8!l9u1bpAJ%6W3Fyi9BIlH_Lx
zi$DIcuC3WEa$@qckRz_s-EATqL+@sAhVcBnZNsN!z3-kt{3b=_KRfpvnQ^<+*x}jN
z+bixnIV_1-aop*$<E*cW$(t_i<j-8qBz|wp8)n9~#`CUfj~;v}-GAYv&TY5#C$91H
z?Q-wgBjvX6c^vOqt36)x=0E8?wa#Q=gr$~nG~f1&`?V%9mUF&+c-|RQvZB)TPWe>7
zPNs;Zt}kzVIKOt<#y2eorL>v9$jc{pw|r_mD=hx%?(?_Tek^}uEU9qFGwgAY@uAnB
zt}wL+^1tqsnXY@)v0g>^!PgVoea{^{Oa5GAvj}gNQ48{Se(@_~#)4l$Qiu5?l0}rS
z`73v^*|8}3erMh>cXrIBHxmE2mz`YpeYVnp28}fy?aeF(SDjLKKmO2teW6I>#;U_x
z9^7B}=8*fcT>Tddbvosz+}>svByMJ%{CTtKIVM&4!(j>AZcFe^z9o30ezCr8-}Hk&
ziXR^~)vd@*jrUrh$vE#E$C}0EE=;XP*VSx$rWMal{22dqX29kq^K{p_hS@*!%8v1i
zU-P~rctv7M)_mc9A+>#BrxlZKK78E5Cf!vTVfJGJ%gN1*g-xq+QyHYRKi4w8|5fC0
zXfi8jnM)#XlVpZGgNDQP{mWe25AjVs#-5bIpfY8}hH#BLD<?Z$JJ9(3MXq9q_Nj^w
z6SkW6aq;~t)NH)#Fm>zgCp{r1YQ9OYPKi9UPCR0>C@uWyvt1UN8-AtB3$2{6HGOi6
z99yrOa$DM)Oqs6Fo-^kBC}zy|%=t34VOw~B@kX^ot_L^v9`@YK{h3Gm<1s(`h#g$7
z&*e_+oxMtJLS&AES6$HelE!rpEM6MLW!+VKRh+wQk%soH^S7E_vwZBD#MZXye8P$l
zug`e_<-OWUzaIQpZ<#jnk$=gJ<J0+Lwtt+dVa(DK|7$t7(Y7-;@BL>x>U+HCd*((4
zUc>ii|N1iYAI{H@zV?MRF*I`O=37&*9g8^hLVjk={il-?1^;b5E}yR6@biAtRG*to
zY&Je0O)LZZem?tVrf+LH>667n)5CRFd~)}u7n#mg*tp@-_6z5W1h=2vv$yuTUO}(p
zb@oI4re~u+sf8S54|Q7oFz4;Nz$VA-;<cBy@40MNVlJVrJxAb%alx)C?bSPawyX;C
zU9tO0bd-mX-~Q!w0ZE_De7rMK1)j@`ebh33&h%7Nvc2%nto~BThEAzGuYxI>^-6Wo
z0o}HY5x>*6tCp9!m$|*sUo4!isnJuvRrI8NWU=e^%?7H!#55;em$0^$JSe?<TS9-w
zu`k7c?}`g*o|jInSNtH5QOB`eUn;3*^|a`7y^Sw!^}L$$!$9n!Y4U!JR_!YrHhd3W
z<@_mO;`eItmztsf-|cJ(y76qo-3R*Nmb|=P(}H%*aD4FMU;0z&XItc?qqUU3<^4SE
z9JzJA>f@^i;*9>Uj=J~xdA>}qcFmb-vsYxt@I9Hf_}me(z~idRjwfcM|5?+TzVh%X
zgD*eUmoiN^(d67-rSV3u#D3-a7af&c=JOo=^p{?2Dvb2I5aV+%?)9X#H@GLIe^kiT
znx@I>|E60v$N!bJ^X^2p=#7Ds*$wASUe4F?=!QeD%q^GJOM5QfTzvgT_-2-QFXwrg
z%+OL!JUI2;l%{I}vu4yT*|AA_*HH)EEFsbFVnt8lxxaI_>6|>UHA-j6E?z0NscdJK
zOj&B&!Dzj6)1t=X(a(f5j$A$aWQ%)b|BBOF7B;ah*L<+OpVO=F6KC1>2A@!?_w9}c
zel@4+&)g8_cq562No$|s)8t<nvueT(F6=yVv|_^LLuFPkPi6d0U4AlQLHhEf7Kc3_
z7IZ&VJ=3wXWZAm;hi|5(i1JUKW@NN0uPJ6pwe2l~jlEy;?Uv`BZ7R7Ix&KY5>7rWE
z5ZPmie=k1mv6k~qczUzu$DNn_uAI&Hle)QDTKX&Yn2NAkFK<n<)QVbP;v<*w?X%|n
zij$$u)8}%wOtN}%C9ZEz=;aHcS>gT}RZTsI%9$4&Sam6Bdg!bR3+I@ZxkeuFjdI$n
z(P?k^*T8=9Wc7P77j@g3e5Mt$Jr=uVy3M}AruD*a#_fW}6WmTN&sLtQH;?Z@+`6rP
zp>Zr1gr+Zv+j~xxd4GPN;`W9f25TmtNXMWBcN{ZJHIJ8SaQ{0TJ?pziHe+kwdHWB4
z`X^jERl_%VZbnbO={Aopw&bZiuN1TAF08-GR3}ltCsWSifEABr*4Z75!M&j>I|HBo
zJ}Y)k<j&29_p`f{0!-yv>o{M0`^_hs`z1!VYMY75v}rE{dKcewl)vrM@KA34&;M8V
zJ^a3-wR^6(^4e<*x4tRa%x$O$6x&!6W_nz^#lnqK-?@IugTEE7_5qG@heH2I9x}L|
z-z~gl!ZFLO@~2+p{*io|D}8E}?!jLv-G?UXUfO)>x}n;~faA-hZwlUtXu6%Bt-Yrt
z*yP2dLz9xMb2Ym>W&a%uzj)^MhL)QW#}=@eD)0MqQ1^A|+Er3Z_s>k}=dQHuk7C#P
z{BPY4mR|=oQtrPrzQ4J#YR0nAmsbwGVl|k<`RLP+%Ie;@jS&s&zCVb$A9C<U(tDHn
zSND5v?P%4U^W&ZBVug=o7CZOl=I{HKGNbgX=}&{}QQkjwrDXrgUKYIe?AO#OscThF
zTL(Kub(I|5&tYM1F>R7N(=%mrkyAk@^bD?iUpHmNsrTalw%+ahyXcXVBaiQvmNiFL
z9AZ+kxGv;X&Mfc0CY@=OPwGR<g4I)g3QaKPld7!yc!)h(=XA+hp#?Yp_;sGS+Y>TZ
zg>9MvJIl1`ul>9GryRb!p?8wu#=co6AN_x8VbI`KuvMrqqoqe%HGb98ubXCAWF4=4
zR`DZL`p%Y#=Pql1XsTZD_UDmy^_YA0q0<G<a4&4EDXn4o`_J6w{e|Ub_7_6jr_B1f
ze!jos9F3gTSXmE_<c8SqNqKuet(4zclJYXcO|eF-@yxH3wzW@h+({Jnoy{iT{Aur5
z6^{(1PgmM~6`LHZ-x`V>3TevHQq3!j>sqLDPG)(O&>pRfy_=^mn)LnS^O@PMZqrgu
z1RmKT@chIguQg}uzqu|-z8b8qvg7xEx%j;i%?sXysJ*OO&^ezu@{HysyPjF>FCK4L
z`leeU?}wa{!49iq_8K~(>yj5A&R|{BEV0k4W?z%xbB7y`O8ZwZ9$h#?z=3h=>*Je~
zJj@FH@4sc9ziUP3kM(?V#!s%E$T3pP{&T`fEg-mU#*ekVouB01X!qP`o4#hsVUO}(
z&X;c1U%31tRO<hS<{PsPD9zo(beYB5ll`mOiXtIaxu2_ae(qAsI8elLW36AJ31{)D
zW#1Z;trks-v;WPdo8ftTz1GDvr7g?Y92M@cJiclEwnHm!{>9$E(-b?tGwCpW^Ir38
z&hbLs)*W+~*A;#|@!-&FJ=Fz?e!dIJr3`P@bO&ataHl?Yz1Cah_3EDDam(C$2{K=w
z8cVeVNSe-&5;CsIE#Qp!VAAn(;Xku)$B*v)wdl)I_hpkF_!~R>tvXZ_GpGJh@3l3{
z4CY&H4pq~>Ucn>wDR@h^{e~|&r-M@y*(PYq+ccIuC`s@06FNHULC{IYw<$I|tW|IA
zshzj%8QZ*5ds^=ttdZU^VRufH_QjJa%JnI^n?1Wbc{(REAIdzue(@~hBJrlA!f84j
z?xA)(XS%iYTm=7X=rjvYQm>QV|LNEYX5}@uK8u|X9)2w_^<4R)p2C<D8L73b3$hr_
zuK0Pwsz+vhQg!j2S*P-pUi~m#t=>HM#JB3`_48Fvd=X6#&G6Z1I$2t6TL-h=ljW|d
zT+ZufS>4H7#%C?Y5_NA^k<r)Oq|@gg_tj0*%*+Vfqjlq?!{Tq3CUF0)*nj%nzOwHL
zi$&S@-Kl&o$nR8I%2NGLxmxp>q-$ojf`_Z*wD3O#SB&D>9t0&g{V>mW+iIfDqM>o~
zQRCFKruUkzm0MaH)@d`;9Af?5_pj0Wbl0;ZTynGiSaJw+c^ONdxt}J-^3iMkCLeL}
z7g4sCRG&Z5_{jIuKCLHg^1~Z@=1WZ5Q<b)nX`;x3F1<5?Z>-N>d2DR`q<WHi)t`(!
zhBX@Yr&GFFb@ev3uhjpz>e%zIi?2+ZdH(Y1q*7bo7qRWzJG!(k$1N%Pd+7jAxBjEo
z{y9=!wigQKWHTIC_GfC3SRr#m<K_xe)wf%}`U#yf<d<E~VlRLD--{2|S@&1Hol-kZ
zZfVL@D>30$@k_sR>D^MD=y&Pw9+}SntcJhJcYj&HZN|>;zOm{1>ysk)C-1aUohNxK
zNvrwsI;$D&mz!4CZ{YuRLCQlfcA3)oXFsyC8$=$tr)RxlsBV}t*);TWedkQC<i>k}
zHV&Db`Gww(cHG=!cQ7vIg;9ilaaOuu%+9@4H&{YDv**2g-t4q3?EDGoh$Xf0Rm^qf
zNA2f*zT)KD|NWtW(HTQqS8-AADQgujF*0a!r032rp6soyd)GAjX~cg~#(z#PZLY7$
z)KO$F$xf)Yn{#cl^Q_cZb75QVQkP?KVxq-5H+OvOQ>lGwa?-_pxqj-#is{P5oPEnD
zMH;gS+czEzceV0d#&I_E@z-}>HcS8BtN(9O==--BsoXzIq>s*A6g5SZ_lecirF-vA
z*DgQ0m0ys(`@(LkTYFyQ&PXnq!+y$q-<t`p3-;YSyES;m+B?GMUDLG9YT4`$irthc
z)%e-}o*^i>HE!a<E7sQab2{&Zht>K0kc<3$?~bNG&CA!PnD#AC=gA3uIQ_@IF6H%l
z3pVO+)t~sK<-zVtTcT~>X-%Ft@45zK=QH*l2A}V~U!_z$nR&^N+iq<pCWX>jcmC=)
zM(D_W)qc2a)y&?WuBqo%-+j{H>b#}sOIAt1GPCHEC675zMlCE35;qK<;T$vfl1t#s
z(A{Za*ICRQKD_%l$#mW7pW53M4d>mAQ{M4YmFvYb{Y6JLXP;g1yT|sJ3HNjVLytB-
zWxZ>%cg2$Pf#2Q;o!QI9c<<N>tK@%cSv1eiedTz6^SYaHY3Jk5mhY2#5pOS3e=c=`
z__eefoeuts^kx+cr2M=6uzT%AcL{g#`!-WtBsTJjrk~Lf7A^I8r^ES4;-_c8v)?Vh
zCV9UP61n-RsN(Cno<ts<t)ABLGkysbrIh}*WNx)?=6YAMAmRU+%a&de`kTZX3ML&7
zdZXfJu=cd{{t4IDq<cC|+9;fsr1;?!zg&^D&zrkCe;-F={_^}IIiKN8VXj4YNpHWg
z)9;J}S^FO8MyOo4a*R>rgOv6B!cL`gUk=`SyCg+x^`@26YUYd2ec5Hs-q&<1F)?P>
zuk0&<JDxO^t<OF4Zv6&<C6l>BYNI9;&S#$f+isTE+<VT^f7hfv?mCtg`dn?%Da|C#
z{rm0&CWc%u<vjA?hn;Q3>OVVE9i&+U4{g?XoDnMhp{O?DPeFBH_~qUxu6EDv&jEIV
z%m0`C@)Zh+W7Irl<+4}zy8UUjl#fiW?`D6f)nD*py4uS9(Pm<i&h;FRSQKaLm+Hh{
zsp6e=!$GF$M_NtURKt|c$vYeNC{OzN+p+lZfgqV%t0gpJ>(}?QHmRMFe4r(8C1BC2
zKiMZ3?jH?kh(GyNTYYha&BF_&E5&Q%<U*#r2>slxd{pRYLi*xak&_P@&Og27&LPGP
zYc01&H+s)1u(Y&Wz{Xc_%Vop%yZ!7>UkNKJoxd8{zj=Ca+D(ZgRj%JN_a?7C^{?6>
zvZqLa)5P{M*PUNUzaHpbI9_#Q?qZf*oq9d1Hons|>hhLZ;`W)RZAnmB$FZ8k9hn>d
z$LJYdySGrFX5M0XuBiR9I@^pgHUI3c{k^H`(u}Kz`|o-6&R+hc<~!##g=vKyoOzl{
zry1ryT)y+C^0hAqZ(mP75O?zKqxz}?tjQvxj0fK*h8}<Xsc*tl#+k?dSa}Afs4W(m
zze`5haP6@b+xP?avx<94=sB~jwAmB6T}u0M+rnArFFuhKTye|s{IjLq>0d4{;}q}n
znIK_f&$R0MHA8KCL5`G7*_E6A*6;FWlRj^fT{uTWno%}vOS0+HkPDsV+NzUpY+Dns
zg=g)eG%c@n8#kt~+LrySnG(24D<tvBiDZSnGUu;MJ7KzT&Gjdfm|Ew_&X+7d-qW_u
zJ$%-cPv4lHyKa_EQ?xiCz42P3N#)+~OQ|i%zh`7cRVCj%8S8XDyk$>mNMFBSX@Y5l
zSbvnWT3<savt6_IaelGC2`9Dfgnxhg{fU|Vi;+;TS-)|p=?R`S4-3w1E@FIK7yl__
zKJV`X+asJ0hexhhHEGH&o4l3R_S=}thb%NREUlO;`a|MZLffe;{W&~6418<Xe0?hA
zY7?2D{kr!Y^P?{<JFhbN$Um`=J3D#bNr8qO#YDAf??g9$uzD@@ti{gmh%MKLy>@Gq
zSr$FDG;>Scz5n>l7Zm~NnYISKB^y=#{5rz0z}V)}!<7rXBd@NSR{VYW!p&|XwzK}F
z|G%;;yfv`RH+G-0{ncv2$rB}<4Id<LZ)*{_zxu+9ZMFL8dn4zV{r>r3%Y#EwFIl+m
zFO|8ltZH|*&(;&l<>zOw>_|P`F2#Q%>FrJX$7c_IXH1)azm}E#=gl1_Hyum4^WKJC
z<Lt|6f-Sn!>&qUpocz77)8I?Aw%Ioy%YL8L%{y+%up4vde<`^nrM~WyONI%{zu5K@
z7gpQ~G@k1ba;-H;^|q+m+@~Hly?4)?y;}azmR&LT&n}&pzI&N;sU7Rf&&(nF=6f&a
z4{-d}6Ui!k;M|-gDu*U5RcdRQ_RDfpg0-67#SrPE#`l-UN6n4;d-504a`V+<3$Io#
z+fnwkK*A!D`M|$+w}&j*OJ>BZdC<B4uE%bdEh*VIl1^$*ZOGJ_(tqNZ1}js-Hh$Hq
zYx0ZF_A|tLx-4%yU$OGc)CX@vZBLdR3r^Kdh<tsZEkuK{HSxlh9$n)P?IvY>7Z$Cv
zDvFD}>a<q=Z<ogB(B>;)76le2Iw$&-`o(-DKFm1u_1@vsKtbkh=dS;+Ghq;FzT?Ts
zb}(d@nR#bCn|0u9u^GSBt}WVReq#SF*CV&LP4TF-s8@P<{CuCDM{NBz#Wl&*$Fp^=
zJ1r@nG)<->WD!f|KYc!j?$i)HrSrGvI{p<7Z2X;L;BxQak>B=rx9y%)TafT%$BkL1
z9zK4(+{rci(R9}<_MaM`UsArvJ=vh?<i(Y%kGP!=75gWDnBxL_&T@sadEaL+s;3{k
zWEI@?iObHg>U`pt#^0u2C&zXbZx+>RO5OVH`?GVl|90=NUs9`f?{$-L&UdF1+g2SA
z^37i#^HwLt?9TSX>7J2oeLRaM`Yua4o4|YBq47dWl%tBK<gF)D|F|g&s+ijHgh}1~
z;rxcNZcc^rRA!Cz1MzWlCw@5cD8P8_sg?3exFq)-oOD8WqPYIr+uUayFaBv=eOj>C
z%_YOqSJ26&vGnroqJ~Se6E9r6cJZBEmRC+d^n^*t6YkwC%)Vzd-TDI0hM$*bf6d8z
zb0NQ`DuB_)Av4V1HRtDngVVO<Wbl93bKU6M6{EX`D_bXh_Gp^K$$ur_{?fM}7W5pP
zcQoe4p2ifRJzF<%+CN@+{>ORq53cd)9<A##n_K3;&YN@Wr;E;yzZ|muljFZVT<^5v
z^NUF}c}JhV+<vmXF?UjhXZ7t8r6Wbsa_f(NYcq5B-#AZh)0?Hoz1Ze&^A==(tbNlb
zCB{wLS4-C5wsZE<fa5X$w!NCc$EorBq2T@xu6*K!KN?c)6ffHJU2KZ}^s@C|;)Ye9
zLb8Q-POxL&mh^F|Zfe|wSo5IJ^!!dyt&a^Z^4Z-|hu(gAFMVTfU5Q+_n2cnQ@dp>a
zkPPLyvRWPtlDQ{8Y`w92i&er*7m4oGdU{WFE#t22yb;25Sn*G$(Y0kaU%V;(aXfJT
zVv(H(cd_v)`@3+jFpb!{KzEN$>qQn%#)5ZiKfSyZnNsn#N&VJ_t64Xf%n4A9WGH_t
z+S;%rqPXBk-d^VLn16-g<$J1R%-DQ>8@Jj%+-|;c?<0e4SG=$19oN-77-#0EKGW>x
zoOc^cQ#isHl3YJlOU_z-!ECRQRO6z?zqXbk|87kaE#9a4&wk36_2wy_I@#6Vx1K0I
zc;p_NLCx_efA(^+$yu>DFMGBx%fMyx8aKx5OS2a5nYaAz)D9Na2gyzMRvz2RDZv}N
zIA4ju)iZ#(<;AVIxP&=973XSW43k2w`*n9S#$5luhx4pXF=y3=3##Tv7Dx%qjlIhs
zeP)4W#CqSIrs<!ZPW@w4`>SZVX_oljLk|Tvt8WV37W5+Hvef<}VKx1QkKfJNwN^a#
z+HLtYXLLH$d6|z%US{6@w*IT>Mk~3W-ZyTuRXff6+{<s#^daLV<Hb%NPoFPxHP-*_
z6}<b6Do;G9b9;3DfZt30D<6Y*Tyyox`Xp3%;U~}BviVHW*TUVKT+TNLz1wm970<$p
zqVIOrB{W~s*!7S5Lc5f$z_*V-+aLT^UL3mlS9=KC&rd3!(x$9%H!?bOS7dS6Sxuw2
z?A#h%OD@<oi$8tyZI$nw7xPM7L+bDSQ`h|IbHCxpnN!D<4=&D2O3j(>nH_h!>vR6!
zbSBM?fcZL~zROi^OcrVTthAEp#naT4pUvcik6xR1S#LVWt4+1~I<w~UG+gE8lrcWT
zc+vQ_iuyAThsh?>T<2#m-?Q%-*Wa(kKP~QOUOX!{tBG|f_sqlHvNM)9D(swcOgf?Z
zit8@{U#9st7rF0w=H)6oZ-eF6l~c92r->=<x$(Bfm?8gIe0`<zT9a#uPnQ&A_g~?1
zVx9e_+H#N0j<d-zjk&*bW#!UW#JTABbckySr^_lFfBbIi{BEnI#qqkr0R|stOwAK$
z_AXwRbV0>De{meg_V*_hcF#-Jzqoek)hs5F+mFAcaLKHUDtVAKr{(1QHEGU$xswX4
z3Qo`ea_!2_;I>VdLhbgh`23jP;^-cg-YLAU*9zNi2(JFG{gN$;?PcfIhf{PjZzxQv
zzU$hSoAH>}wkdeIEc0{osb|;tJ-(7rbz#HA%*ihT0(lm_xT@m2d~Pylu592NrUJdp
zZ>w6SOK%nav@KxmmtUK%Z@1kgG$AlbL%?g5ew|#4+@=d_Ri=G<Y}{$+6>|RZMt#=e
z=ZyQ<p6NbFd!c>hM}KnNmYIJ(>M*9;-;WecnfT*(?b~1b<)bdU+%tF+zWDdo&<itF
zw<%gKQ9P)1Ui-@S$$x%)TPM@$5gq@dqdJJ&lK0ZjJ&V$%^ts=MS{{EKt^U9wT_8)-
zn_;iSUCVC~ziJ<{HqR9+&c3_(;}1T+xG(oJmrhoayeUwy<5ZGN+q(wt`_a+-T~}jG
zB4zG<ihBRS&ig@aF=NrwmxcTe2A?)g{#4ELuj8LoaG+YT=*y%0PsL(|CI?6b9ALJT
z)t{vCBJlbfcAcbUv-Yn0)taL&r{ic<ApUA2@6vbrpE8Vn(j)XAPY>wdmiTa2g2s>k
zIt+()D6CyjIcG`p>3fS@N-d8*cq^Q8KeqPB{Y<L|1`FhunjU>WdD>?-#aMY$okJDM
zZITm2*iJq&;>us+<<F`1{z=$mojc1E>Mv=>1=s2ZNGnDkk6WEJC5S&?GVCJjWRbqT
z&A$VD7eB6*bBk!;e<*6T?h^aCYDtqOGyW@;9-90Ywm!L`y-7}YT1L=Cr3r_&)}KoZ
zvQnF#ar^fEs_7wXqc%;|+j(rdTEcb4H~Q^A&+ulL^50k2;^ACAW0J$g-7~yf)*AJG
zm{BF3IXQFv?0KQVkr!;aj%_%&)30-7U!VXdr@Qmb>x;Wm*|!$HZa*GBuW-e$efz%I
z8jJs^k6aeICPTorv1GpFqh&LB0+w1ZFbQ2K%{5^;G-cU`b=UnFFPDjN`gL#KDSg`I
z_20MWN=h4#mFu@md4BuC`*Rb;A{WN%TWw(~6OU#)vG4e=H!4g26d%6-MB$kDLo=rb
z)?Sy7fARbKvP<Z}ZT_An?~e<guDiC~eqnpl(VSH!k7{T9d|a_}-rOB_N-aL_x0=s+
z_f0x@-`3y3cjZ#H#yS2cwxwNr++mw%q_k7iV0xU9;rXvEd%YC<x~E(@d^`MD7pJ_d
z^y!li*bX}e?7VCl_x;styL*Oj-l~@^ZTj%5Abdyn1^LEFWd;V(O#u;g%N|_YZX(0;
z)@q9BM!$x*j}NjcqIw?eKl;UNwTWQeF1y=rH_1%8weD`L*em<DuQM0EUw%qg{Ov>A
zY3I1FimrO~sH(@EE9T9^vasd1OZXOBY2W?7Jk@gA<dA%)vem`Aw`P1|_D}l|X<!q_
zsrx41pnvoID~mp>Ou4ozbIP|h`xN(2$~|_B{h;>e`SwP$rQ84hxTEG$v&_IJ)HwR?
z-O2mcPq$RR{9Li)=8eF%AKg0_zRj6e@uF`5@0*uQVfxEVk3=Nv{^gssz+-EX!IHR=
zy&eln+a6zuOiI&Q%(t}W+Tzj)f9^i?u;VG+^?lCzCCeB~Cbz`eh(B~zFmCK${kq|^
z$2yN)k**ml-c`!yc5a*^^C#o;K9;wOwxpSye%Ja)^jKZf^yd7CjpuSB1THSTpPX0B
zThxBqb@t(V!F|df%raMh=f5-c;!}r>{i~)u-p#&TC40%8<kn^3OME9ZT>ISBafHik
zYWNG0$A4F?XJhzu>s+(Z3jH-t4@IowkbTbn+{QirA?y9M$7GU?r=%TE^(_ic_~XPI
z=bd!9p?CkXJN8?T<{pX+d!yK~Nn^*m?B9j++&c;m#m|k}^m4wWh2hRQTLbdc*F4^6
zQq)y@YU2cjIVUVvFFy3@^tGP&+N3WsnL$3B>(<Bz&Qd91&)c$FeZ9$pRQZw<U9-w^
zdK72qKWmJT$UnmMYwzqDU&gTSMvZrkEc%-BSI>C-Zc}k&_Ty*Uo=)1buKAIdUii(m
zlY`y-iu%)3gjix78h*`FE55P&t;+Jg{FnF4WNc=g6{_lV>Q`NAS9#N7PVo8XeHR?!
zvR3Y#narzIynAtL^CV|sMSj;Wc}|I$!Oz7Sti$wvh)JKYe^pxb&hX8yr^3NYZ{)_A
z|Igm{d(BynTN!>^$|Nowv0Joz!SddMC9Bq6w|DyzC%^xv*3?VcEV6~MjkAOex4(XO
zbk@piUt%{_tE_!rEv0Q%{QZ=9a!;Afo*f?7Yl_z${>HV?-sqNjyNt*duG{m3Q`ptC
zEd-W3zX=Mk5<1#yBG|cko<zBG+Gg7t?~aEGEPr?Z{j#z<!K{6v;i_J{2)#h<lPW)z
z+nP2{wJGmx`P2NV^y2o64xW|UXDxjsevl{bys*cfZMGM;w&Xr@nWB1wCz9i`$3u_p
z2fo~!#H{#=W#6N@y#X!!Yum4{HE(sRc^MRA${^pon7b`APuQq#%V$-QMG{93D=o^2
z?fvYtx9eTWuWN-RTmA^Uoe^%@Xt}a(0@wY5>sPNGj#{9TEylp{T4C40P=%+->!&OJ
z{_rYUulCIDli$r)7$*r`T`l-F>Tp9oXDPGs17_{48CwpjpXZ%&L}jmp{@T4No%%m-
z%rs<Ee!Jb)az4+;F7G?*8QzN?Z}=)zcXOslXW*`L#xp!^f{s|ks;K|D*m2CCS4do1
z_3z!4=LNaV8O@fI3C>g4U)ptN`wlfOb)Gfn%GG-9mF`|@klhp%oML<^@BYDtxGDS8
zj{lw3x>;SUft%^Nv9kUKy(KNn-z4%F+E0meE<2%ncm8*-xP^OrZ-?%=6>B=JjW6%;
za*s=4&)nW$u5jJ#`{M3*1?fe_3pWMKxwUe~F*|cNx!OrNwak0!v|J9XSTMD&QM}(q
zG{3Q!@k;uijNklvfn8r5YVR5xdtH<IRn}{>=_k%rTe~Axueq~n=b9Ar4OdKEH%$~M
zf5hbKy5~(|#DXOS21_|ipRLsC3lo!zULME2A@!=ts+_XQ%e-uN_oeXic)xjKdjDM3
zYJI`>w7#I*FFt<?d1lyuTQj7of8wVsLFan^hsV8jzt~Nkylzi8=gQwN%~F??g(jNK
zKK@Gb;0>9_Q=I?%`R&?2H!-w#hw<kfonH5P&faW29eFG7rH<<{mOZ*tYc0NNS8k4Q
zs&2Mn-<h!P?9T%SRtrD&WxiUOdtmd1A09g{eOW8Rz){(BWAUBTMXnm|kJs-`ez0gl
z){$z(c=>rfFQV3_etUUv-GZI!XC3zP3;XDA`G50T=%gQk`Zwen>iOC4*8ddwQ>gvp
z$V*SRi*b1@Vs!-@@Aaw~ExhA3d-)G0Z_BWU<`)a6Z{4x#Pl3V>&1dWLlvxZ`ygp}t
zcK7xp(=RajUGP0!V>NA+*vZ*1ETxt;s2#V+++eMEu3V{hRcg6*{cEFYr<)l%I=Lr=
z^zYk0<dM1ZtlZ)8fij`}dxaKQb{)OA-f)pF|Ec12TJCppX7b;g?yYKR|2s<T#fRmw
zYW($!?zD@Zo3lT_?b_5B$qRGmt+VK#=z7LToaNhz8Gmyg_AMwqRG_Oc?ML7G7zWKZ
zvDyC<gXX_$t$Ka`o#FMjxr*HDC8{OkuG~NV%S0qhF2thz$(oy*0rsap$7xTl=vR4J
z>zyWW{TGjd)-kC^sjQ9X=2;e3RR~>rwP#wsm%`7cM#1f@6${wBtfI4GpWf9pm6#Fw
z{N%T#+tw~!`|E+QSi@6>7n)B(|NTGgxxIiXd8XHf?RWneuE|XosJL`&o9nGp>z!vF
z;kQz;x$$t;?+FX<sa|u?;Y<@+(#|8@rE_hAu*CV4$0CV;&Q}?`H6`#IJHWB&m}*{Q
zMe>fhx}s~F18N`L+4SCeGFQkm_WegDSY&J5D|@J7{jxgNQf|SQP376^{~Wlj)8del
zw7jgkzObicbL**o!-Os7CmLgWC%fusEn>bda;(>9QS6Cl%Ncit?_4x}Lq^|<CskK;
z6nJh{KA5$5yV<YBc6(S>2wjoOGS!^cabUR!|C8C-lR9@vf6w|eYx@)n(-(Pf7RKz`
zR4mByRZ&gr?!iKv_`Wv_GgrCInVQskDdf5D)t8#gb(SXAE=h^AO)Wda-QdgmS&740
z#nJkCnd$w9U2i?7O6<K;u<E7We*L*>bNf1XZl25g`}evVN>lxn-c5L;q_Az1+lsKK
zzfI;%uPhGUxp2uz^A*{3ns4Ga^+-xqK6Je45;QA1;mM`>3NH^;ioX9Yv-WCivPr@A
z#O@C+HCj&b5}eJ2_uQ`DnfEbi?(_pwq(A+M>^LRX;;&uQ;PEm0>)j2Hm(EqF?WtTi
zkE@LHVT<3jzpSR7XQvA*FQ4C6Q}BpquT|b-gEiM$e(hu7k<-~*!>6gX>dx#hY<KUt
zFeEtWs8&1Q|DN)rV5y0W%p|LGz3-<T%kcVg!=|S9Q$u%~$>y%NbA6tNns0bgV6$^~
zbm|ey%_n=TWSy=!a7U)8bjHaoySU_|kLwwiD<|DMCnP?4xM$wne&>~yRqhv6mwjS$
zRF*vdAjsmHYm-7~xj>1stHv_x%wOAHNd!K5Xm(ikn9MHWJGoOIlrf%++Lq2yqM%=-
zaisL45!Z<ejqXOh%S>gunYvV0cdfp)Z@B}@B3-Fdt5#+7iYGH#A3IVqZQJ&9=W5^a
zHaadaTUB6kGW4L%n_HUq4{~plIu;b&y87hx9e>g+*>6R2oKi6}S>f&%bVUBoTor~R
z6R(D^obj1s-p{{PjLMerwTA))wrF)Vt!~N-T9|5YRyv1y;o^W3AyJbUG{UQoJzD6v
z^x{rcE%)v9{i4Tfw`do>zwIveW%)!|kM@On(_7WPR87DCdj2bgS>>U%8F3p<F>DSD
zv-0)6C}^B~d)M<d%X1e#b&$?^S-`{P(4-luz;PmBkxP<Fh-dshr3GHCGf&1Ub@EtW
zv%357?xxF!mZiPmx7k>2wDth+#fx9RFL-dF$aKZ2t1D*L<(-;dBG&g<_z(~84~9+e
zR-Kz3oUN?;X{S@I%tG4>Go7n8omtx6b*wgIU(?O(mHq|Wr!TnlAzP^GQit_q=Z1-=
zldHBJ+b`pzW_hi!b=sDYa+&K(@Bd6VoR)X;>X#?1ku8GV7e3d@OwPTat$%vE4fC7(
zOE-4+d&-60RJyXI{(QLIx~g`|$`?wL@7}ZiHDkj6<3Il26?=W|_J_QKd;J9N%-&XX
z!D>y?-Y@@kP1rXir3#u_<$O(_@z`K<W0Jh$a`w-q${Ke{72Z8N_R+cWcT6b9jJ+X^
z=PzBJW)kWz`#9}aXpe%(X_2!z$yei-g&jJW+r+up?251D^qA>Y;m%&N$7NlPRU|b%
zY3h6ZX2B<}e-6f<Yo)@Et`!j#sS4X$`;sNFDqr)~_PfvBb^n;&ujdo6|1J00-cv%O
zJGpd&S+rcz+T*PrL2GK<g}%+G<Z4{47C+Z=Ri&QvW|4*YF)VBUD?Q%vV}I@421OGu
zZch%r3sqXv*dz*#borjUN_i#QKMMZuB$=cVE^vF7eEo(#UN(>GTFRF;8LP;zI2#)z
znRev#`aLqf`xiP&D_bPZW}ChuVH5Y^d48*>|E{(Ze_!O`Y+Wn#Y46l8DPDeca@lru
z@%h3VRn{$3TT)$Lpnk2kCQm5udTz(XuHybXJy|B!+4f?WpSd|t`f&K^V!@19i`J#p
zyJl3KG~=~sGpZ_e7ZRVO=(t2G-oB}c^BcRcRkV`kg?H~;Te1xvzvNCkax~0zSzp_0
zQ|7ZXWX!%cr3opAZ+tR^@9(Nx`jK&b%Wm&G%^qOH!MN+0$D@Kt+I4qg{;U01^H!o~
z|8;Kv6CaIy4r~@td=xm3%Zu~&ovZfyv_qz8hb7)x^MQ*;AiyB5$Tm#=cJBAP?zI9w
zy54fCKP*36-(B3AZztjS{=;|G;71xC&H4*I%1m8Q?HQMrWn#%QaT9BfSMRsr7}hJc
z@9+8S`lXd2AHOo?ui;e&+h_lE&0myllF3(k^f}k}P4$*VPv^1Uy?OA-{q@rtQ#D`A
zD4H;L-;HA}XEhQsO+WMN++8`N?Pckqcd^PV-1bL{ziM3d=XXoyna3JO?GBe;bAPeQ
z`&F~fY^8e{CNrBZ{dKF@esX3*omuL#DzSK}{Lc(V+8en{HXl6t{@S4v+ivX*Vi)i%
zc1U}<|7>9NjqJ_u8v89HH=GL!ZMiB?q|`k9xQwH;R>;(~4x#F;4PQfhE7vKv?paqT
z%x=DB@5x91u6g$s9&%#$eAYKtw?Opd<U+|AVc*lvFY|k_Q({L-U(+>)zuzj>dqtmT
zJR@g!$i(_}>>I5=XD?;ln(=)RmmYWSqm1~j6FnCvu~ofW8CCVn;@lPYU6Logk4hH^
ze-8cLA}9XTW2t6=XP0|j)S@*x*(#Ms!;}-BzTE4gp*vUfcIN#Qtqb2oCf9sDb#3#i
zi?#bpe_V>sk3N>_HtEGPze4#m0oRp5Gp2L89JRR`uzOe8G)?(<eZ{#9)>#2v&#c8a
zn1(Sa`1{_rbmLoEw`fkj50{6yr@^JT`4@gk3n}&J2c2&Fm$Q7!nMVSr4ja65W7H|L
z$k^@cSDfrAtz6dBus?3sCuL=u#_xi!<8-?pYVBP6ErN69nGYLZaz4qP9{a?$F2`}#
zF8)UMYB}v?#at#&8<)Cf>KD1JxjOM^!IXUCpdPNE6{`E+S@+7FKcR7N+4Y^fcJa?W
zA#jahhpy!JnZ0@wrl?r@{JQrmd1d*X@XrS_-<6o?Em~CdEpJxR?%c<In)`I;n{f#@
z{D0?Ll-cpO_IL4bsiME<>`a5rBpQ07lH%t@OffK()Nc57W*O(6w<e$dz3ccM_G#X_
zMS_QRn;!kW+o;yc!aTjn&rp8i?0<`AyS{NNbuT)%c)#S56#+~qcGT9NyzRJCHdc>W
zqnqKU%vy^OhSlnwlDf^iCdLLG`nSsDYJH3I-;Ux|>s|i?9OX+^<mCAndo)a+{$9;)
zqV@bnvtt3zpFQX^iW6qqnyzM3x#R!V`ucdaV{L31+m8KxskEplO#M{WG_8I1?Wh0v
zFj&3};ro(2>BoA-9Vhe@8~!d3+AYM=T;g}{_`L64i|hqCCN5i)^sMorR``EKZsX{s
zH=-h5E2b=Gb3CHbI(5g#{QI%p2THb9O8m}V=-I$_)c;&rkJ71m6JPiLl;5YocvE(t
zF3Y1^*`|}%izJlT9sKjsOsoFZ_S-QbKP0lwtNig_wry#O>Kq2H87mJysm;8ToGWnk
z_6O!jt?8<L*(}+Wmfm>*8DFF(zl`0j(<6FM?E{xskH<daZ_GjY4wGXogPWiF%{|Dt
zcg545-6BV}Z+=`9wyv?)X<Outvyy@Gi%RV@7skgL<%T<b_0TBX`258Ou}q^m3_k1L
z_<pa|U|9FVLE^(kX@!1fAK#_j=i=46{&@tqsLl)X6tv~#n4B4{s?c|{zvZ&f1{?jD
zdykXTHg&68Jyb8c(3=qvd#z6NP3_}Tj+IM8*`hNvj@V>)>(t%d@158d(i}3S<lws_
zb;ajqhV)!eEWR0{S+Z<x;g`h4FK#P3Y_Ql6{e>l}>t<}Jwb<&OU-wphKK~+tXJOS*
z-=?;*mnvJ6>!g3({}c4^*_`#Kj!92ra=KnIMXfZN@1kO2=2bP@fUJJDeaUNYTyojB
z%hQVAE~V_z|BW2CRX=Z1k<gHCFjt6OJ)NKNk-@JnX&GnZ-0E*H_umkcN!0k+$F68E
zr@DI4q3t)$cidUKm0!TtQu>@3!*nn1|L^@wo;|PlB^J&(+c58ZQ8U9_;mVSA;aeZ9
zn!)irI8}v_FGAee?iAMn<-)96XSDXjEL%8xdNlJ#o91@;Q|V_4I9tDcV(HoJRL$_p
zsDp9+v?mjy-)83;oMes{o!uxd*%-@QZ`bN{p?_z^!tU4mye*XN#B3uQ*Svgcvq12>
zKi^|EhMlsT>n2WJEp>#KZHZV?)y#7nwpuhtG`mFaZd^U{({kk-i3<Ddw%XaAO<UQc
z$Gm7e=kJP$M?WtYbFE2Wh`n`oFK^Mzokw0pU;c8U^!l##{1e_CzT(|<@^Db^j{@0s
z*Sx1M-o5zfW$|M^5i1_KcJjtt%G1AYcYF(L^uu+Rqt?W4-o9>z?YRY|8>V^0&pUrX
z$L{z%%lrkM;Z1@z0+qT9yVC#M-X-gKT<*8L%aK>%cK`YV+@v0JEa9B*X12!J%KfML
zwj-K$m&4>sr^fx2TqpDF<c!!GclJ%(9_Le65OAsI@RH^U?xEMN_VlLszu5X>;kmmv
zE{Lsp^}8YTN<xYJ8Ws`TFhy4>twYB)YIe;3EzW0FzOm%R>nx_3O|u+1H>?-v*dh7m
zqp!Qiqqf!m9VfPJ-}%$G$#G3)XsO31iAL+?$J8D?y57G35EG-Xo6k4*$Aa_jq&zOp
z%4|L;;rFZa<df)I&g#62yW;Ohm2BKG!^S(}tj53k!>nv>W%j}cgc^ls-l*C$RXt)w
zp7Y0wtoqJ1d_|}3R%k6ec|hWun(H>{<YT`AUq!Q<EY<!Tv9s5X-S&U5=R0#XZaD=z
zp_AFCD<=!Oo>`Zfwo+|X*vVey<v9*3<b7^GyWSG};(P|jTjQ&nXRNC~?EPD!#jbMp
zj~y0C&Wm+9vL7n4UXf^Thzzu3{HU|yz~b|Vde%qeRD>B?xH>5)C@7>Q8W@0Rg(PEx
zP)TWBi7%{~g7SyeHcvQR!gcj9&(r{4k!z2Rd=9=_zH*P@4}QBPax3q>-7oY@U@i|E
zOD5BHv)1)oOmWkW$6uWsR59~n?&A|;C12M4@q59)EMQvPg3aqq<60XE7yCPIV7eHm
z(eiEG-Gsl}%63ftWnzB*Pt%HQ-Le3y*|{0^J6&76G(WwXao50d*-f5zI&zzvr#{&5
z=lf>Q%oPWkoa<DZL^UQYIN(>iIhXOePU$3uxQwie{_W|zZf{Kcp5dLiRkGso!sNmo
zaX!c8^jp^c$nF!;`s=YiGSPRf6zBA<E&DlkJ)9M?V)GrvNRREu1)45eA8cBrH&v|f
z-6yB{u4!3Gg$Z%_uk~(4+MZRczb(L$wL1Qy*&(Y>t8c91u~~ht{Nq-pTD$v?8)72<
ztWmgfI&FTKZ-zw5+a<T1I5+8*yjFHoJlbXXa@xlD-+9Y|k6h=LD!q5!*2~ptwvN}Q
zm><8>TBlWpe=g|MzOJzO=)<LfdJCrXXx^D)l{)pvn=N{C<CNSASwm;U>zpmSmne{D
zd&cDSX^EU=MSK~nyq0%4ulu=6QZA(ESfu6Ad4<L+uVq9RHvayp`Sd{3@)h%VjoGu`
zx%6ZmpS$~eqDudv$sab`SQISO-LJkWQFvFe=k#03yPo`Yd-d0Bt*Mt+wBNP~nq9L`
znEE+OUJm}d(K=IPzKow|@z?$$-px_mwi?FMrU`XBvR_>J=&qaN2WQ<)T+B7mi{&{@
z(xpq%kII@<o|q@n$6lZ6mwsMkq6YK*f3tcIKHsl$D|K1?bdBjxzA1g0Y&FUM_=;n5
z_I%J)eQ<>_WCv^3W-iZ3N6t_3sm}U-GeA%_=Yny{A$7B0mTh~s2j6|5v0+pHV{0`5
z-+<4#PA}Oa&Si9OOgeeyX!1i_xuO*grebTCB=1QsynODjjgiZv`MwLz^gRm^v69;%
zXf3p#eb>4}6MnGTD1SFR=6+mg5&!S31Lqp6Gw!Upt#kNnV^n?VUal3}wu%Tn*q!~f
zKJv>{uBYvLSDoBG*MVCz_UR5;_nfPoU(Ut;tbRC6>h7I4r2-r~Zta@BY~hrxi*|H5
zth(J8^LoL%we~?bELYwae`Q-`cu;QLf5i#RE&A(Lyz-rTbDcz2OtfU~)v1n8cRX~Q
zp|L&W$^B<G@{QNi*^H#WDbJ|6!glKPb3Q}Sg*&C|)O4oE%;*efd*~+gQr|Nv{X_re
zbN;sL^6qm?EsK&mvQj81bMis23-6j)TFdiFY|J^m|DVW?ST^I668jDBxeM9P`2FsW
znjXqiRrfe~XVoOG0R7(LqlW6euPd+0Y@6DnHr>Zlq(xir#MJ%|Tu%h7qzXOCPCM^g
zwrzENU)J;b$tP7F`UO=5Ke@4D!vV?7v2PCEeLY`rUbgd+8u=Sa8i!wHF_vb%I3F*S
zW8<Lk(k@lB@1pF~%61VKof8~PtS20vi{$Sp4qv7rKjW7A{?8M1j!7G(-3S(lD3)Zo
zBoujTVboC`)*#-04eX5Re_vRx{dsUs%+ZAWt)}%Ba^YPGsp3taKWayZ@cPVQ+0CWy
z=jB$-5aio#qw>H|y;yLeRWkFWf3nxo`9E)6<nl%|JTvp$?Q`r>a%R5QW%@&cQ}4}F
zcG<F+B|<3vPjmkX?$WB|(@qQci)R$9i}937S$pH6-WeU4l-x^KPb}MYKsW66HpMXK
z&xY3CVPBoLnKX2Y&J!(}Z7jl}GkHh$*$>_=f^%icU&QmiyD4<~U5>yZEnSPsADeP!
zzg|;#vM=uE+O5?_M_0!#47|Et^Z0t!vkg~nrX1C5k#}^D__mgFZursHKPS&hIxI1D
z*MWdwkM#Pz)rnkfY3Vv!oA!r)%G4;l_dCTzQ&oG-f92y^4P4U6R@Dz49$d_PDPpRh
z-ujL%<sCC`oLjHyy};7lPVxDiHyv4xsdvK*Z_J*UoBOX?W9!OmN%Lej+qN?b{SIn*
zn(+U8r0Fq<-2UKuy<$gn7xwKE>;C0uVBH`aFtO#Q*#&o-E$M;`wH)TUYc@+R-XeI(
zDE#}P&-eM9Q%v8yP(Ay9c2-r3VO7Hin;#}Z=WC~n?g`j+;(`8S-Z|!0`4jr~D@{I{
zAGSGwRbM2TVa>{f-7}dEzE{q0*uUl91m*L;{`~V@P`>F-dc+FHJ=+bPvo3xsTzgt_
z>4}!blRQrPpZKRdCGpAY$>qC6Cl*;~GDP(Vy6{{$`Y)=OhvBwPM&S>i``y$23aqU%
zIwr!Emn3@oj;XU;e)M~#?JAq4HYERe;&8_H_apHuTtBpg(oSsu{`b%B-xIo2I3m`k
z9eKsDB+I7deBlDgpMe@2f=e2EOsoES)=bQr_9yAkRJHyoGwMYr|DC&U^`5<%??1cm
z+t+0$dH9rz>>1O)C*<BwKKXs|2gm*;6W9NpHZS~F$hiwqKbD!T7Idnq6PXrJSnhVI
z$##nL;+Mi_o=9F!a1GztF=uT-piZN#j@zUUfxo-jSW8~U>)lm%oVDUYqH`rn@1-;M
z-`z2^&JMXKcrE&H%2L<U%S86SWhg&#S*k#8wHK$DYPpcmT>F|uV)K?iNuRFtV3l6h
z-o%Q1IbKH>3e9s~6!xDr=Hh4OojNrN+_{!YLI&L|oTl;Yn>TB`yr-S$bNT4^&sv%-
zTNF=z^O~t<b2aq;sh)Llr<?wM*(+H(d-){SqLNpcm-ctgKU!3$d&sk6PJt<(VC#X}
zm21v#i>Yy7Rm?nKw{GuDZH+Vf4oP{vIcJZV`Z#Gk)_kV<`e%rIR>gK#<@rC)Y<B0H
z__EGm{!*jQ7Eheo7-Ebv4&G&dA0Wr~<zjE%?CEbUD$JNPR!muWiSLcT|2==KeTyc#
zOLtklHoVYc7uZx%B*1-S(MhLu_q%Tzc<Vjec*=gq{cTxIMJuP=6#KB~p@3$9^nA^K
z0aBBu&A!wm9WKP1)GW1HpxxN5f_+)(|6?30WbQ>BGESXj@L)E_X7!dOul3loe$3!o
z=zS*0N_VgLai0r~9FlKW&tFi&f9QO;r+>w=qOek(?7a_~0%{xGZ$D_dUTi5@WnZvJ
z@21rS>muWMA+kx1$@+p(3g*^cQM;x+GA*C9p-B1J+_*|ErYEN=b``kx1Y7p--&q+D
zw2S9KK+w@D;nmf$I!g-<UA=wJt-jggQ_(@E+>d@s+g7gH87#7GQEb+%g*NVwUrWAU
zBxYJA#qm4XuIc?ju}{zP=l*>CqQc^`xzCl(yI&7-iS>I|&CUP*UAw96#rCJy7(})<
zu<xILPu-^6(aUs89pAEmB}pNdF9f*$i)wqt$9iXB_=jC5=2i+GZBEo@*sk~G(8<|n
z{><%k-rRO^`Xz^#r}|b@WOy%Iqpte(P)%$9gly5^@0{IxQe;bCi0R(Gej_W@FEU}5
z3HuI~^2Bl*mhbZHQ^QUDW#(6|Ra|M<v_7w4p}~sS_p|+_x9+*R!Mn+$QB-wx(wtrQ
zwnog_zU_AHBw79a{?oiCT(Y@){H34TG?DH{;vth|<Ahhr&1!yLdZgbhoUM_=#yc#|
z>`3{UZ=ID<eolw<UaBbYOjUUx-?E-TdVfh@_YJ=0)0Y?2`kQ<?BHED8AM@^vx(09P
zCa3B~_kb-bZxt7^-3XNWZ&51QvExSDvCa3JwBonVD2g;abYQ~8fMr6hu^*bJh#8!&
zPnIlyeK$4mUyA`-=B*Q<z5%x-qU|PJJ$fRXG2nuKwBW1~p)<97kxRcSIvm~0@=o=U
z)mI-jzUC>97rvC4y>P~<_NjM&<@l<6nKO~?>UqigixnL0d4(l4Z(Z5{zU1_|v!)fl
zBx{1s^nLkLWM!M{pt91&Gd3f1(m#<y&J25&<O{FhQ;gj?&tAA}DeIB66c>&6n~#NG
z{=<4V+*j^$`JTnGQw2_Gy|0nVa)|$XN#1kU;X^*5N^jkqey!%75s+3XYqE?*y@K8N
z9@chrqL~3-fzm-af!{kcl=%!w53B!aowuY+EBS5H*=Y-GJ}kU5?Z(XyPPQK-L!1vj
z*ydBSx!S+@++^-M&L69k?ggK_t@39>=7WdjwWoY9acER9OCC=UWHacQ|DKz9#r_Fz
zR7>hq?`}<F*d%_bqd70I;V^GXl9C*Equ|Z+dyRihGh=$N-*HLr_s22Qc0?%sdjC(f
zQY>rAuAE%Ybsf#cm$rl+krL69o$mIfPgJbohU1Rl6++hPme!LjHm*Om{nAc%i-6@x
zmibRF%WS+IylZ~R?XQNP9dnjxNv5#yG4?Wj_EWyWbs*G`>3v1Ne)Kz4_suWomA2ho
zznIZa@{;oZ^;LIY?9i}IS@d8<*)p!sNXt)Aj}+c~p7+9DLV8n&nv+86e&?RHq;$>+
z)z4)g6@7TuJE3vejns^emwCq1)I5$pTvZ#URiL$HOY+8v=9}7UuPs;-xme~z5PQdV
z?<==rPl!IckiP1<XQJ$?MOWq?a@C%-?roZptJ<dX|083yv#XW|w`%x1Kl#!-+0BYS
zIGe9p>W(t^l;SMU{s&wuyQP;fb4^<PZ~gCmdy2$wUBBvob5_~n?njC>sy`DxpAKH+
zV=tM^C%L|_C(v!Kd6A91<GG%OwU@KEm&D&(8eZrYbSTOCm!pi_hHSo7Z}mSNJ=;5J
z#>P8StKWQe&SGo~cxRpccGhH3tJhgsjw$mW+Y6pr7x=-$S0z?Xqg88Kt7r0Qwpm{!
zmkJ0J&Z_atQ8j3sy8O<D6KZ#21r}cCnly8Qq+k%kUh}`3^=$96w;4XDn&z{9$(-Av
zSDo&%Pj+@V>)ewsbMD#?`OALq_j#^=ZnVBexNYff2_sL-|C1($C(hn*DtN+%^r$%z
zm-AlP9QD}vA^J(*uJi8p<=^7-_Rq^ym*|{Vq}?|`yynBFmlF!t^e+1B{99#5DaXy7
zJl|qHAC)X#na`QYVE&D5M#<@AHP0pp*QyAA;<DhD_6)SwbaSfwDOvRD*6||=N=DjO
zWjKU1b|e|Bwf-7soY*PXW2j;MvDNSKgS<oDMa-3A%ePlHq+a*y<#CA-^!KivWT4S#
z`AZ>Vro;RrGJSdfy5ipmqz47?{S;<q&FFhJbAu4er<Tn1n|AQexXdrXG=urT(n-&@
z##jB9{#-Xf>DFvF{e{IPuT5+Ii%P8bo?gG!cH5k&+%L0QuUGEmtC>`H?UPEV5KF1-
z#miSa^q%L7==XEJ%GfBlb;+!g_g7mAv)(nU2^Zg2l%e%l@$bKi!?`kl{ep6}3xkgK
ze8}qcO=Eby)vf(hj*<ma7t1!kozl^dmx#<3(9*aoxrwvr(7~4AxoR^!OWQ(?oSEke
z^Ua_C;Ps)*rw<DrUAZ$c-zq8~zVdkenk}7=Bn46r>}3>WpSZor$0PUR!Q&6kO_{v=
zK+b%fV>(a2`X6OK;_a$n>NY=X?(I#L7fkLYIMyleySeQ%<LrcYzqBU(J9fw~wWu)R
z>qp_TeG@wtJ`~|vDk|upA;5e0v8{%%m#p8je-c4w6>Sf?ZkxVeSC-rOU$*zj7n|j8
zBpqkqt4i(oad~U#C#!=JH)g9{T%Ip1Uu^m0*HfuK#+Bx3m3zY1bj;kxQPg{Ar>Ott
z&Z#T3wxk#`A7r=s;uFIsuwtV61a`-tZ`?FRvbE3LbN`$o?aWr3n|v=*@p8QO{6D+i
z9Lakh%(Cya=v6Kwm2*?;94+HgXXghSoVAFI4D+}=t!DF*kDp>xvaVI-To0b4!6oE+
zaZ};xZMnCR(CYgPRSZ8%m5i>bH5si=G5*rHLUV)HYo8-UT_<c6HYui0yl~vT`9#(4
zqG|J7wtJsq-gm;kICuZw_aSNS^S#$GvN5poCo|hme6?ZQ`GZdmC8yuZ*gEgust+#N
zeqkH8RXuC_w@1FL^Av-0R=-GKy7k=x;n{C@e-2^wWnR>nH}gM7Y@e6*u7)b*!#h^0
zOuG^Ehc8`4ck%0@tOZLS<nN0}`!BaOj<K@P+W55Jss90nO4hHtVteXV`0sc!|Bt%d
z1&z6`>HiPEYYCelFMR)G+r2kugI-Ncwtl%vZ=K}c&G+`Ne-p|pIDs=*=*{Acki`j6
zEIO}x>e&nzTr5pdE{JXy`u4N$?BR-MZ}!OVy>#bS_Q^k-dlv4p4Kyg4XmT*5Y?_>z
zbuxEwL265?!AaJ8@|oqF(T6RRp7d_CcjPKr&%^w{Ln+U}SeWxp=f0HGReoP)E}MC#
z>{s?frQ0W%G?z21UvYGf_HjPjlQ#3RTjS%(0wTgE{!;GW#qeoY;NlZHdL>I!wIbJ^
z<Tr2F)Hw0CvYcjnz1NY$aSZeA^0y@&mi^kYkiX)H!9l4<ug`rnDxR;}&Y`9AC5qSQ
z^248>cd@4)-FZ;_QAbJSNuezlAFPOec*Ek|g1(UC!{U90q0`wJo@~)tdTPJ*oIJHt
z9vTZ30@UIq+ZL~y@;9X8u>Q7k&GnW>TxZpb)w1;WD)U6}>~lE7es<~WdsDw!>`Cmh
z)}AbJ>ioaIx7#ugxn8*QPv?4J>|NV?*1k(Vow9yx^!yD&{NLYC(yu9B%-{Oc*^9TY
z&m&xMP2i!OjHM^GiZ`|8ZchwZ5E0KIxWCDF?;D1>FP3Y6mvf$L;hI%zcmF8Iu~YV(
zvCg5=!a=i}6S)l*yh~YXs(Hq8uc4@ZM762d!71O0+hi^+QT4j?RZ>Ur#J6UK7b=H2
zKQG{)zHob-Bu~l7Kc|}4z4+#o#@hOCa_+OQ+eK!+=AQg~vrOyvlsN`9GOjZ}lxfJW
z+Fq<QuQ+?7u|n1ni3v*<b0i<UbFO`j&G9EC>NUp}ye*u%%A|8z{uGJFXO-<PpXc<S
z%HgGb;725joZmrX`OR{(zw<1d-o)Csq&d{)x8NzeZ8N0~-C*kb`dCuce(t~GPZm{=
z)(WM}x+HeMJAKBD42}uSn;maoVrA%7W5}H*S=y&1>$-i;-p->9xeD3;!z6uId%L`T
z`t@>hOhEr!@7S8$i~MuVU4EGD_&DqF-r55Zy=Pw?d!JHxAS%(wZTYcN2DjWEyt?1b
zko`dUiKv1`R<d&3J?`y|Pj?%7xJK1vizrqtovBv-=7+>43*MhM_AH5v++VzK&KCpk
zbIZ<}nO@uS(DH{tEW1|W6QAozArsm6O7oX~Ie*aWjI(J(;mNpTwyV{C2hTe4%sAxw
z6Oo2GR{1BTGE2VQKYo=t^sI!*xp^i+8L|dnnPS%(GzxuxFZc9&=czkN-cb$Pop?Tq
za@?`t|Gw1OQGDth`R|MG8J{-OO?tYWyYh1L+Wd`6tjeD~Vf=dW*6X<&*7InuJRrzu
zJ?G1(ikFp)&hff^Qxdv0gl?|uC|<l!{i_74_?;jAW?Ok1t~wo`RJh+U>-l=))V<&5
zJ8w+hwRQ5Lxl7)}&rqH+%k@px#GbIirdttLZ|7vxxbKQ`cu{A>XUx0pTIHO0Q5KQq
zOGBUQh4<Om$4^T5T6}=*U+N_O*2dbrpN3Ly<@1WSu&fm;t8`p=^Vxz`ErwaM|2<Py
z-YBpt)-z_0HETerq0rkZxt5r;HQt%4m=_&o=8Qhl9XYdY`}K02GFzUM)73n7-{z^T
z;5CpHG5mDw`0YwV{iLv{?jI2~n@V?<{oOUc?^dOGi%BLkkL@;vEP<4X2X-0kNbg({
zaWzm%?9@}vzsl!7dMwwRxi>k&Ez+#`|NnO;3-r4+xz`>$+vFhmJkHdHX>Z^u7TY)c
zhP&LK>~zb}?5OG!IyWO$;0W6mXCKDk!v0AD^Y_VaW;BW|edzMnI#qD%9<Lw~;UAg8
zrkm!vZR~!NHt)sC8r`jH{j)ByJ&y>sD?0kXkZ*;S8@HO+^KTK865gLP>Up;Gd|ma7
zlXG4k@|kM?OZ#>BG3`v&4JuLRrfr_klXSBG%88}DQVDz3%0Bw5<XCpE>+`w&TYC1N
zOkY>&*t{S>$L~W7gKckO^oPEi-2o3~w_V!wdZ*IbU=cedpWo5nx0{~ZA;o7gtGIB@
zk>BmAlXo<(R(iH4@6$rDX_^JkQg|eG{S4uMTgY-zkcXM?!RIc`H*H5xpFLm9G-2lT
z`nc&HpSq>icE9G2Sv9lMxku}*Op=y}t3<|1j#U%-75=BmA1a#=xrE!nlVP*=i5c^`
zeob<4nlR;D#iD12{4UMh!yIfcy6o%wPmQnF{XbN`?7bG}ieCaDmErd$%rXhq-ne$*
z8xfPG3WA&8ne}UA-tMr<KRc1Lzcs3c`>^T`Z&vNDQZ3^Y_vHVLj2HYkoo3o>SwH9c
z6zv=3X47}hd3C71%rZrz`%^}v*u@ijW==dje~!J%m9viP6*C@0ecWpP^40HiQ^Srw
z`}N~#ZNl43(Lmw6=+pb+CZ*5+Fl&)^bwT<6(y~95AB%sU?GWG1?`!YzNF>J9$XR^(
zbN6n|bGhgC=LgotZz!DZE3T39<5H~VtVtz?pH44+yy{}e`ZN8H%%m-z&HCtgZuc&Q
zB{qLr&siL)y?dd*?w?>nDDw+8qwUP;fj5&k{F!j(^R1Ben+k>BG07Qf%I|ZqY7{-x
z@u=>)+|@eoW49ILU%s@-`_~}wZS{PX`zz)KGcS^>-rJDpQY1f<zvQuC^~?FI;}iZR
zH~oI1k^Sj<&5|1~oEpihAzvEBxE2&lzo=YiSL(tT@5$YGw~YU|lJuQlRk?5cTe5#9
znEA{(S8DJr=d|5L8^0B~>Q`nRotM_hcxtWv#Cg|lzgIl%@NSiO`M>yAmIpWd`_L;E
z(0O<9kD$k2&zdZ%ca1R3*X&w!OWjAtDeav_;jVRtw%0k1WPa|dsSVK8dpo6V>n0AP
zh0ez<Ub1F3_;lJ;uhQUO`TbU~*rv=+YYtEUZE!F<Bv*9r&To$L?>=2w(D!iNHxY*m
zuHUC~#sqvc_E^bNtv9DCK~>pKBCxNxcvHSl<DBhNr{8#{sl07=ppEsr8NMn8wc9z4
z+1I-k#a?lDn>k(nrea)I)$~pk{u>{}Bo0<8ykq#<^tr{&^Z$Zpg1iN$PgSy-HTK&V
zn$G07{vf_apJ!9T39$>!JEQKk?fE6)X&Bl6>-=xA`)u}mCWarBExaxgJ#7t-uIhxT
z$0f4ZFWyakzbE3=>R)@mn=DCM{cV%%@8GH8@ky_nmt2}D!^uBu;u05LP9-6!miljd
zQ*Io8d27!)Zh?Z*;APExGZklFzj#+R=$)?6t(4SH9adt>UQ^c{nRNTx9@WlWTTG^@
zmd(rgoS8CNm9-$iKdRS;w}(rt?M`Np9_zy$T=zdFJbn=$A$;0)dE$Xq^OvFCUiIwL
zl)h>R{LW$StKoaJ{KF>xFy+H?qFf#&pU-v~xvovDu=!$YP?}xOwR_{TjxMcB6&hEh
zHY&~kyrex~J-4}+bN7M8VQ0^;nWQ``(T3G)iSwCP-?C@t-k&$eP2hB|{ez=hlVmFn
z*1CNu-EpU>DNFL-y_SzVqSOCx-L%wq^0(E!5q>sn+b^y*W?U`!s^W3TZOMa7w=EB!
zTA};Bx-R@Y2fuMl?g49&iQ67O{U&&6+R^vVYTd$%WWQY!`k~=hDEMS|;-+n(lbUo|
z=V{hPZhgda?cNsiSz8%icTTh|i=2Je%bIQ7zm&c^nP<%Amq)bT5l$6q(l>qdcY{hm
zn-jnN3jU|J9tp>UdOWDulM#@qqramtbI-I>tUlZ&j>%;id_jA!Og*#U(L*hVs|%ao
zMVx0h+fcqG%c*zW#r4;%)?0rnJI{6E=8;`KPTA~ds|8mqE8cRlsDFFe!^Z1+H$TMI
zg`{S%8@qS-*<B3n{d)7reD4L;S7gk-l+1J8;vG@yD{MbQaNojHpB<)rKF52w==3+W
z@}he)YR%0y&zT%|a?7uI$1C{UGv&gK9ysOK*aq#GSJ|02w{ZctXV(0G0e1PE%*t+i
z<KMk`^`(#HHs_PBO$|F5*Uwm$&3b5!)nref2j9*13%8!BV_=YH-s*ZpcD9~YBlFXz
zS2y0xxUj&J^}YK}4fYgv+jEQ5mNPA^4x8g&{q7Ib!gZCg@0xg;J}IpJRsa8MRXvNv
z+6U>|7`_xdV9C_(uw|@QYG?ePyIUo!pF!kz_4!%GSB`v)`Y$ly^L;y=@|AY3jO=rD
zYPZ;Bb;djp31{xJoperX<{lmC+ugt46rOFmtQM(Y=xVmof%VIQ<3?L|#>GG9*iywP
zU2XI^*X6~9zY|KfK0b9{k1=K4Oy2ji-t!zvlZd~UCg&(AbbC*T{r1zF8`aMXDek|y
zT!bO0aWdl$#Z$JoH%U&)e=ZOhVlX#>ulCg34~7>{nH>-O<0BW@EZnffsU+dn7A}!}
zR}6O9UC`LgXtJIsJzP-voLH>L`bl#qU!L-?f3w8))l(0j+^}NRM-HbL!Ih;xd;c8I
z+iu%lG}+;co#Y?&LoyL>>oPB%*V73R$f>v*pFH)v+18I?dh@Q!YcS}w>m+Mst?M$s
zCtoS?R!Qi(=F9sM*K#WtIaJ6+TL-*4KTSN>C)}Ln&rhwQ>@6A3MVE(hKHs6=oh7*F
z#EmB})FPKG@_Vu9QeVNlR=J|N2M%}E^R9Yln3JB*^@maTXWIXiSzf1?Z>~^3py%h+
zcyC7dCXvaqm1|P0tfn7Lwd_eh%n&YonECnV*uO7MolAT+TkTuh$qX@$;5!!F0sRiO
zzk>Ll?x=TVd38wLFD)`8J!R{?O7S^L9gZ&-PPjTz=5NEk88hx2^fZ#0x0F%((B)3K
zupN?z`tlwKvN^BPZxP$3k?=ij9dBIl-L=zFCot?2duYAGIL*NLaQMZ2Dl;8b+qq7q
zdM;Y7%Y5y)MnHC2$2sqoW43<<%<Y>`_p0ltZ{?ZKc9s3oqxYQ^rBl3ivc2HFWi8BW
zv+~Yq?iZKRVuF_SEj)KY|J$1-(|nJ<yL2zrWG~x_H$QsHe@#6ufBpJR?~oU3ukC05
zcXw;UHhZ>0)0=(b9GmziiKITsO8UaFslvQ{quG*l-N{)u-mfbY4+}gI6zssncJfu(
z-V4>;Pk2xF%zCoA&;54uRrNbP(`MZ7+5Y+DWodEqK(i087$+>;wsH1sK0VDv(q#)5
zM=*bjeso|#_aQFcrQuA>{MRd{OV0Mwm;cgRU6OPu;imFOx8z$-Bc<f-)oYqBIeWHt
zQ&`5FM-%4$30wJ3?{`VotGwo@3sSjXPx~cT&HW^)9U1cF9NRm4SLgX>cG$4ZNXp5b
z5~DqF>GH{(PA5#y+^agj`!b)syboLHsoG-|A8cPc^s1H4(aVxNa;Y^hQ_1_5c9?KN
zN^VVi#WD7^C%K(iKAJuh7qrf^ZN1BH6Swbgk1x~h%d@QKel7a^NOGHBf#&?iDJ8dB
zO$}c*JXn>|zc@H@6~pDJYPxkdHs8IoJ}>z%@70^k$%iIIT`FzeQgdwX(+0L(?Gj77
zV>_;&__=mX$;LYqt_rmOTF4%rz5T+z53vy&x9Y?^wyMmly%6*N`r5XfFLO9NCkhIj
zx6fU_^ZsMABlB18_@(yklDTlAo_O4o3ju2i4xRp~s5+^mm;3s+{S5JP`z$9~=4-fS
zhgKb{DLMW2`<*hI&wLq00o`j}|7$RsbM(W$^Y?aVEiB!^lJw&23?;WCt-Kq*`f%F|
zhb4$U=kj0w_}k=14w|l72QEg{Ysr2U<+)&!lsavK;g!a9s`~1gjgsdg0tz2rdURWc
z>HCX@nRkD-#CgqJePo-=m;cAIjxc}!Cq2RH!B(RwT`K<=w@i4x;@W$&cZ_^4Qu~j*
zSZM2(#qza?aWaQp<joA#@`_J-Nm7;zZ!MUleP(HLSl{0rD;#61-&lO%@spb1`MpAC
z<CG%L;@8Krc+NYYbIoeY>N($dZd*!6?sc!F{QXZp=5bc^L{FXjd@tYn8{C_h>S>)(
zH5C@Re8i(_!30sgtm((rXH2vBC1RlTLE!7FC3-eb`F3r4!Lsq$HFy6H>rHJlrK4(J
z{k*cV^vWdZJT8XsYh0^Sl;>}okl1{0!V#w>$DP(#GJLq5k<6GTo>BGNO>9|MW&Vd-
z`Iq$*yyhKuka0GX58C_g(jLWoAzriCuN>I8mND>>-2WSwO!ZWDo^Dp@`M=;q$!hL5
z2PYjZEakJ!xxs$ozmS#b-fz-8=i*mSUfON;e78A|uleuG@iB6rH+8M^KYsDvH$}mg
zm-98xy9J6^Mo48mmQ!dCeY$G>thtH}ch5FPoR3^O`<9`A?SciK<`VJs(z9oGf2^1i
zu_IHt<d&bxe;;wFOTwuwa}SBFQ_tKmW6E6hGg{B<9%~(v)Umi`YY`haQ~Ax_nEe0c
ze9Ki<C_6Z9J7?WhT5X$TEZp+)JLmKMDW7YfW+o;_8efd?>|d_TryL^F^xaWsZ>R79
zw({(GNg-2g`<{gx>pgC(ta7`WWhP)cvn04q@A(DA3uTIHU*{?=pU|-K7Y}=M!zSLN
zaR<#hW~?aqDzNV1T^`#dYgx9ReHt(8AF<{3LdC`@=^E~l!lxS??{*biet3MM$1b!j
zNywK$@qa<!A-$hVc-2{19{djbt{DE7A$8CHKT6U&Sk5@O%!<$6@_SdQW1nNf-pOkf
zzj8DGIxCgB^sJfq;&Y)zkE&!^6lE0Z4s*72#GaGlG3j<mlt022bBNQpTIur_nQO<n
znCD*=3;wt<C@Wz7-KJ}~zb?C2FOP8gqGvbvhI0Djxl24>9l!B;e_`t33m?AoabzA0
z+1c3q-f=_U{?+HCZ*U}gP5vYnq+Rl`{{7m^D^Km;Gr=o#!Di)zWGBw<gOkm|_C%dL
z|1F_opHRbrb8r5K6>$qH=w4gZwPbEo+4MNY_3W;7eTJF~1eR`oA^ED-l254lgw@NY
zxrZ-K(ql@VIYES3$l&R&`jExve_Uy_P3Auu{zcqi`{|^2Dv}K|^j=!`X|TI|o%Nx%
z>%ymcdk0U0Pmbm}qH14!E+6gi<4JsbXGW2`;**kTPeWgpN(y<sEKn@^<Tj6cDdXf@
z22Np1m^ZFBnsioDM0l0++l{|sd7oY=mHfnJvCK;I%BE|74EXklY~oatkgZwWd3nW$
z0^6pE_jj6^@iG}a<&9R~>Dc74fz^j$rjdk3=)sFQ30D*o<exG>*4pWI{p{?Wn<r1%
zoV)){O<`bS$4O1*vTaX4zgfKYC{vqbiSq>uufT~vI}81tpKLYFD4x%@J$YjNV&VL#
zU>4ge#~P|GuTUyj{yXCz!$j>_H>xMcKK?Q5vFFz!)4aRhsqs^K!-7S-7ndrX)Bj>*
zQ+b)|o_SZr#DZh9eYnyJ4br=q-&hvB=Gigt;aq8+hJaaC!Hf%|d~Y5M{>cA_`?JH<
z2l~l<J6aCK`JV3W<+%6ez>1E5qcVco;+JFIcCyZ#Y$h6(dg|7Tz?0^?9>w(UH$F3K
zUZD8jm)>Hhu1$FG=SorgkLoY46ykr>-128oYb#}#ujbsk?$@_{%L7Gumg)1$pL*u1
z(va^P{6y{?>%x`Swq3o=IB|yj+N7<oC9mGsjf$L681Yqb&4bzHyJxG^1fN-vy&^30
zK<=K@yMeQ_RUPK#9eVb;LHf(44`ok&m1s}mXMa&t^P8F7O6qOGvA8G++fTu>SALvR
zsT?y;L(!s-OX11FE80v4zTCU8f8q6xNe0LHcR1uN{ln+gke1QC-fZnAk;ItBnqq-<
z-2%e9StJf96dm~#dG-Ly@A#*;)F(YZ`LLyFXU?(2@b`b-iJkx8ua~khNHAl|H>NG?
zUwr9II<=e2wZg1Mw_xH=9gFImY>(9N|0~^p%-FL~VA&&a&Yka%DAZ0p93cJW#qs6x
zM!$qQFDEl!e6&DYyf3P5Uv_DWLS@md(xfS|)BeA(xSTcrWwBiN3!~V3^*a93RIl+>
z9B#PwbHU%*C2eK?N=IDgcHPi_ZL1kyc0VC}<K+&)l<;FqFWtKA+F%%IHf_(3?4Mtn
zzSdi;t~GQ_Jt8E*7d9bAC+YP{ff<YoS~{9v8GcvnD?QY-z}7ZOw%lE>Y|eKzlV4xX
z&%Qq6h)jXG8mCFt%)%=@C$vtje)l=-)aAk-OS`f@pDb>iw&rYloz>L+AscsbAMe^b
z*-Ktvsq_zv1yKT5&K7e0*EDmxdib;-XFgxX9PeQLnUdm6pWaSWI{&iBLx5MT&!^0N
z3*-8Vb804!=O(4`G8(RKXWu{nu-EME2M^7bO8A>`|6g9(@{^{g<Em$!mR+7TuZ7F&
z$60RPmVN)Mo-90k{dLU+dx;xa)?7bw8KM?ydG5+e|0DNvzxCz+Ev&waB&Ch+vTA=R
zI;}cm7cbXsyI{dNIt|xe+gvrUc_Ag{n4YELzh+UP?h;;BxylV5F?W{oM{wT|X#V&q
z|L&S&!A*K|&P=M|Zkk$ueX7XYY*r7CH1D&E@3u{!V|*`ja=!M&n|UjebcCWic09kl
zn8|b7RBhHgy$P4!KRd>H_Vd%FEItp-n(F&h`_+`!y?+t%Ca16RVqv5F+vk7gRQ#wD
z@|YB-cY5VHCvnBJQ{B&lEk7vmTEARjlD9<b>Vvu+^R3TBZ<uHi+4*o?S5Mwnt*O((
z&i$AZ_V;>cQn6?1x68|!mq$1?nyE+~a_*b?lq0u0@So3%-EK0Rk8-D1Xl~e^yHw=R
z*##RW-Oc&`&PZ10UIxcp%j2cT|6E_4c=30Tu*PKr%eoV9Rrbz)v+9KYnj<Q#-3vd8
z+spbp9F#SfsJyiNNkGD_`uvvgZ%J>8xKGdV3tY+YIrL*^<rKZ3o2-ZTr2LA1)E~K)
zr}}P|P|@DdC2RN^)x7g0`9pFVGb;C%&5&&FZ(h3Z)l1!%ri{ufWS3bkFn=x6J$v7|
zo@YUvCPjAtf5ya}`RU_oU8a<L#NGNzO5~vftrt3u3C%hrp!xEeU1qlFvy`b*^Xpi0
z&TQ{k_U=$GkJXPoY6q6?P+O*O`%m5F=6PY0zeQh@Nfr8d`Mu=<#yfopHytXP*^V0Q
z-~TiGWsm5=Hr@+L5e%Fu+Z<Pj7+JrWzyG8*6H}!`q%Etj@*P%|P^Gw)ufFhId-3I6
z!;>1(%oqC|&t6#az~Rx^Z9kn$cgFmC%(W)ZN?yWw=lu_hQxkTo89dt4weDoVb*1x?
zaVB#f%<ODsZ;FxBd$|Ao-DPVv_pSDl>z&*A%UM1`@@DzhnSS0^)PG4Sbj_CJc%o2l
zQ9UJV;=H9v%bNGEcbMPrb%{|%@&{X(zyt9a4{A9-%#IdY$@zQjxs1c|Q<*bP6ugu(
ze7W!8x;dwPm$@WJ$H}wgvUMKqnB!8h=3;kMn{IzZ#gB7&7sVFIhQ(R<UuE`Q)%o~U
zCet5Tb+_j`e;>NUbLMVVwY_=ZL7$)hY)W;MeoXxP_ln1_f=7>Yc$J*Hrlp(Pl``)<
z8+|s>Yj>60yE`uI)pts_$!Rm#Td2)0{`qC{nGFxS)UuY&KYJ$5ckN}l%B7q2`un$7
zFXyRE|9QbS;gr~kH-QH7r?0MP-rrOd@iwzqsarzo#_W&TCr&t(J}TOITYT{zb19p<
z|4+qbvYxEfm+1?i{bN6$dBW2F4-97h5^Vd~`seFXhQjiL8>F6Zwl(~sbSH4XZ0@EG
znH3Kmro8=f^33%ntM8{0=G;BDs=|uPYcp>ihxmHcr}MN9hM6rER^%!CU-)>E3g?Qj
zCG0<U2qar`uxq^DlJcjd^XA8IoHD-+dP>e*lq@V>;CEY(ms8*3>zkDG9wy#LkIOQA
z4_kF9*)*qWZJ#-x&qkhCOM2H&+*)VVG)d3&5WD$qsmmW{9Avs;p3(Mu^UV#bmz7y*
zzU-L0v|oOWV1775q{mH<_yg%CEcd1b{rNOMM`!K#V2?kFu~O9!dU_lm7P1|ad2r^3
z(arzN3)OaXm>e>lYnK;XRoZO&|HIVGUSV!eo4ivy`aex6FO^8#Y{a)%M|Z{Jf{(fy
z_Zn6t9Xmbu%af9AEiPFauFXcPy*}q%U8im|<*`>2zq*^3$NDcNX|Z7jzo)N$XLDtO
zLe`14wFa^hYQ>lI)T8cy`hC!HqesOxL5|A{oLFbxJ=0`go0U*1^)1%mrj)^~x;H;p
zISZ-H<(E0CYO}S+Ge^uWVpsXoi76kJuXBlv;k&<lhoHmyUq+tg-4}E=bXVCdu%CDG
z$;->$7rP2#|6hJPb-G;2VvXbJ?VcYWzfFD+8~89*eJ`WTN=b#Qq6?QKKDn_n+0JEk
zLYXJ~&2<(_-iuy*yq)jV*^27cVCBZc+xi0@?Bk!&^!(zST{n)%v~#uY-kw#r=B{Aj
z^?w$w(>Uim5V4!KaW!wolV#r`*C+??h_*@yUTVoV^YOIbvbh^RXiYU<wST7n@3U(%
zRT5G?uDn+DKW)0_$%IvJce`_6@sg`)oBj2X>uHwljXsIbE^kdp3GmL$-x9EX>-rrx
z%dD?#Tq(P(ew~{LXU;0apoOZMU$hxMH=FPK&r!G4@`t1DbXVKmmy@qeZA;Vg&vfAv
z4Y+<=@tAi{xYGvTqbG!(GRVpX6`fi6{_gyjrXBO|Hl6NrI@cv4XH}STL;K9M6Q_1<
zZs(mSzB}o`K7-nW8u@2D_In=B)>yo%Wm>-Ymfr=b=FgLV@l;vwd|bcH$K)mVhg+9j
zWY*kF{;cw)>hCLts+&h#=4@B15>B6JZLn;vL0Y$d%WlE#LR^=gKdcIVQ1r=AJ0<(y
zVegG1Vza*<@|mYUS=>9;UFyHo**AA?=bw+6x&CCpVwSv}Yn#>-efY>2n)fT<V&7AX
zPrULuBF{GZu9CZ<-n8kgUFQvBz7U?vX?tEwy%{Ig7{11^YEr$2h<Y4zk2CkhH|DIt
z_hvNtbWG85Q`nn)Dn{vl0<XcpmS0{PiF4=jJ={0Jf1cyT)7i&=smd#)Z#ZyU(&AId
z(Z%UcH|=6+GB^FTy84sM(U603a;L*;R)2cpaQAgi_q)DT7Jd>rVkK;y+q(o>%j&P4
z@&7krQ^<kk_xyMDtaS65nsN3*pVNe|+Mi1Hcr!3g`~LH1pzy;hvZqdzoWJ(C)L5DQ
zn}ee6F@E*_UL%WKnHS;gGj@1R?MSh7kN*+vK68HEDVCezcOT!HR=mVkr!@0()ZghM
z+y7kP5IG)xjomNo-NI`p_?SK%Z!KE1SnH3R)b{J850vJm{F=$luKCHkbke!=w@)b5
zdo*)DQ)&OzGLtXp$f@#8f9Cf@PtsVt$0vDmNEO$w_+#w%KTWx}u1fj4_>59_hn8KN
zo?SX4oUwV6aQ{30w<XSt7#G_&ebM2a(HDK(x#Pisgfi~`y_=3cWXbs$$nrYx@V!5r
zvlT+s@_G1gOsox>dbG7{=Z1XYse$3l`mNJ4XUO=OFDois*J9$i?xP-a!8LA8y+2of
z`R&*<bIZLaPx#$APW`^p6X?eL^C+XnAE!;tOAeiUd-8Ed(34ZmOz~IaPHnQA@Y3q{
zh7W%de3N)5vt+(t%6U*H*`n-nLH^>Q+HD3~^N(1DvTe?Z{W$yC&Uqry84JR06)RMh
zJt{Q6Ii>Z^-<7e;>nAFAHq~9}UM*GYv7<43=f^<*n~iC!XB1DFbx_(pV(LkYpCVo2
zlO7ng)rsiso?oJS!Ex%#<L$?-XRI%*o%SkM#(%!=|CC*QhhMK_oV+4GaVC$I|Kw{S
zpLYM8_W6DL^5}K)rMuG3J(66w`BnH8LE((N`z8J<)vTGSoZ?n7du%1j8{7L8jI(&(
z&tGya>`=Q_yY-q({oCic)PpuP_id>AlD<Ie`~>;zuxs}79~7<Fzx76Z+<w)tzEwXH
zk6ey-No5s!7OXv+!{PU)b>FijwNAX|Pk0$5{q4#+7j^yZDIb<-y9?`Er18Y8R++!*
zcE!xC;lIx8e6*q{cu(lYo@|bU$nT2F=5v(_eeZqfa@*_H1r{Uk@GAMw8CFl0ou1UL
z!|Jv74*UJhvT1Q1mPa3@d_R8Yl~-77LE-dU*N)sj|E;E`=gIH6&pNj6ZQ%{^<tP*J
zJFmBTrN;cSBpZdVQgYiCHNTto|Lnz|_kS*YaxI{5YD-x|mfq9WnSRRL2j@S$G)MOQ
zqXm~ze<~JE$_$&m{Bx~h;CqAnzj`0r{hnX3?|Q4kv1tK<r4u+A1Q$OK;cEG_Z&uZ(
z!vRY7)n-W)T(~vs_rdPoyQfNj+~eB6@YVsNt~s}p{P;f#CTg=8gt*<ZxKn7f??>Sm
z!MKO&f$tAY<|sa6bRhHxPxl_{yH7v9*%;`&q&+^ePKz_=XHBFBhmeY~zGn1vMW>8o
zdz?34X}Y^&-R}#so~QqF)Y>aftMj#-(93DHsztv><;B$d6|bG7XU)Gk<N32?pX=h8
zs&CyA)nCx$UT{^D`DN2K?@w`o-%hjaJm)PwQM$_b(5e3^>*k5GoL#wgzsHx%O`XpJ
zt|lE~^lz!Y7gaOuyz**^H{YF}zh*dnD(!IFGs8>yljrL#d3s(TF2}rw%_xYqx4C4$
z+DazJ@Gmp%AMvo(EV$A)Py4UJWAi_gA~rbPZR<4_&1K}@r(IYtlXtrRoxiT=r46Ba
z>AgGjZfU(SlE0TYXK{x6If<>eJ7%aYzG<}Xj-%?GXQ3%ewMDnRm?RgFxsSEXds_0=
zN8(YBE5xsR@#Q8iioTUs$JOtWy=YyFwXE&Z1JRu><+jgGPW~VEo_VIX$G`0GXx6Q%
z|Lin&osjpoZ7DY?YYRNue(wKakL1PD{cV0(6_++oJ=5@2XR0QXOvU@1U5u71gZ8MZ
zE)&+?V=UOmwr(2hl=~a@Y%-Kf>%Kk7F75YM+ft^?*CM}mInAnk9KSKE_uc9D_Z9b)
zJe!j?%foxarA3RnbKV!cEDC%Uz`DP^NNfL#T-IY3p2c5}Jtoy`|K-%y=TY-}cD@PD
zw_Ve?>rIBVEZ<l6iAwjh_&ir#FbG`2SN5e_`*+m*Q#mtFJq{LCO}YB1bH`r`t=uiy
zTMWhexfbmCR>qVm|G4Gvl`B_RW^Z7dbLkvM_30H3MNA(uG>hhhuWX)MXW0BA$3^jZ
zh4aj}1y|I=W8SXbZ6<zd<GI}zE?f1vcW;(eT-3j;CMYDBD{G07vv^$0$JX~^zDpaT
zb~encKF;KR{EY5HS^rJp^AwEdJ?_0$WO8Q#+v1nMV!q$M%`oTkwPzE0&)s<H`~7N$
zVgB=XT48T5$2IM0dBZJTbjZHfLSK2W)LF@Io)2!FdtD)9%KQ7qu~xOoFY>((A7mH#
z9TXc;5_59%`gcw&+f4RM_z7Bp5Z^VOXJMb(oA--ezG$6y@8;Q%opaOE!v8OQ_|oX6
zNAdnCbJgeb<!w7Vbz+x(;O*m+9~NrV>T?Lc?{d+2GJ~Oct4WvWhfXs+m1gcHf%owz
zcgBCWSjKmK&q)!(oCTM!AB>ay+W5z<`vcpW`6~MVty=C)GhObxyI|qDX*M^7`=^Oa
z6g|+iFrz#nSCjSiz1OQdL(^1yi#Kq(zWw;%>HN9x=C@Qnn*Pskx|Qy&_uq}?G?o8t
z(-*Qmcedc_{skv@aLm@YvG31QErII?ZXI&^l_$3I_(DM`KWo9N0H<!w9V`}eXWZG=
zG513CJfnt3<__iuOLn}!9@$}R{#O6J6w8c>x0~npwuJwyFzND|+*<zF_)J&QF*)uX
zb#pHMQh3FCAxq}n5_?z0vhSsj51Tl*aSB8`YaH3>^u8ojwYvTNCB|=RFQ=&Td1)%<
zH0fU7xwv9;QvS)A3O&D?UN`(@lgQ0kc7MSP-D7K4^L^fFR%xp6;H-bxyTDR~McIqq
z?&z8K{-{pU?LD^zSj1mEeY!u1Z&``F!cNoK{3esaKbbX|Zk(U}u4z%qn~i~oO*75w
z7XH`1cr*0o#w7XA8pY{bzVxm8_FJ=M^_z&8WxwV=NlghCeR=0lxmMnnniAQ@`!+3~
zW*;mM6ZhUN@MNu0!`YUIIs1|)X2dPdo)lQF=cpYr&m~6Go>4{c$>h-GZz9TgqIBnq
zRjuB(_0s0)I!&wQ9?XAo?4ECLpY^#wo%+J%)oh0jO>k{+w0$Sr*4F*&<ci3LYvS*m
z?h0Ce>v_%;woiWi(!b(P)^Hz+mzSH6du!JAsWBgRYqI43UHNI6+hO;{#OdwwJ?1Hs
zO5ZR#EtA(^c|Ol^m2i9Lk$Enw)O7!uv)F8B%M{Rl7XQt8k!#qQQvK=LJG+-J3Aw%E
zWHf*8glm61<KBfGS@ZIX)Aku1GcI)(Is9sTni9EelX<Dc`*oZBCQMK<>61LEKB?7{
z^YJ0Ujcjv&N>5>HsXUP^8~^aI?J<E(Y%K?U?JuP@9?&r7I^D8E=iR|cX2#)jWAa*;
zAMEcc@VL#Sd*xI_(ww?$(?Y`c*+;#d-h8NX=izM)<yCvcADs!RxqS8c!^;k<3ZwqD
zAMlzOsn2sfmU$azO?K%s%_Xm01LE!;xOrr$xa}F;w8<8eE^HGo_-fHP)y;5Pwr4`f
zqyK_-d(C$3Y+HV4_Y%+S(?4Fn{<Qw2-Lnb1Jz`x#clw0Ki*jki_{evJ&y|*8J$Cxs
z=SNSg6&~l@w6<pv6XU3#>OXB^O{9A7j=v(m!o;jTPm$cZT*dMvs|wTd=cy+b-9MIW
zJ;OaF_5BLI>q43e1{?lFeU)czK4o(|?8YLWI~STYKj%*HfAPv_<t35U9@dtnyHD89
zm-u;8W7pwLa|*-yADSjBOxovKx@3<6?|j~yceJB-?~PIlp0<oL?V$G8m5xjY<##P#
z{m%8Z&z$o*OC{DS2+v7kxHYL;t#E0{=9_7^D^*<53f401-xp!~XtwsoyVHJ8zk5Av
zmIaqt@Z)srS^DP{zRorZ*mXngYGK;fn?>Jzwz>bFcW#EbPIuOg|3-%QXJ-odG#c!k
zD=}-Ma6$05^=H05?Co8u$hPsh&6;)Ztk{xH{CiP6MdW#Ho3rp~PYt&N66~9HzI^Ye
z<#zOW^<AY`hk~5B<a;*Unk13saox;cRGp*!L9_TixAfGV%*I>PyL-bde>B}>-m#%s
z<8znZ<@F+Y&23CC@39n_n?EXv{;MckeIk^@^~mNHrke1ZR<1m;(|oohC%I4F+Y|H5
z=%oG25a(~Q&vjc4rhGW4?lsS=zQ}N{SaA8F%xLzQO}jR15jt#C?l<GxhRN^lEV7$o
z>Qh}Awpy-Nprho`x{I6i8_c;C%Y^+sj@n&*zUS}OWzjzuom|>bBiUiOxn51z;J@QL
zL5m~%HFrI{n)L2p)pw<mX$&3H__L!GHXWXAQTFwn-qjhB;g2SF=_qZ_yYseLG~QC}
z>6_R+_pB`&Y*(L>S#~?sLg{qr$$p8h7nv<5<kC5B$s9cJ@5P+*^8Yg?zE=Ij?8tsl
zwWwpklwOy38<%<8wP*P4aV%o6t$uaxbIh$1$D@-fSBZFJa-QD3J>9v>?#}iTmUU-m
zx7Xe4o_MEj_uR!I_Tr|6j9V22(;hB3r?Rv2`srss8}80k^^BW7>mAoupS!;V#c!YE
zS$Ai_4C9p>-TTg3d-#^VxYN8n{3EaJFWt4j!VVj#MC$AaTF3CXqGHuHF1}wo{;grO
zk5{xhcVc44eU^9CCtWTiay`=3zgu;Rn<;T;ihXu#*G)10D2Df!w4}GGR>bKgTtDbN
z{cIDj;LP{uihq`$6YO{ubX(`#o4$yOtv8Hx;>#9CSR8!4BdB>NJKMcenNm?RRNJI;
zHNI8{&T08n{%pslX}*3>1f^UTiv|cEu|7BV!?c23GnlHDu5WgY$eAuL{(gnu!j3I_
zHwudc_~zv`&9vP2x5c_pal((-tj+Q~AMPFsH$5f7bF=+kT2mI^4bPfaFP}@sSUriW
zZI5x_i@MRKb?z#2wVl$*zu7NTC#fA(GHQN#c7gK!^jrb&A4gVrnD}j*yvNPvOz-iB
z1!npS)s?SV3E$6-&b%Jjs-Sn6F+jxXjqbZGwo?OsKB~#6-D4SSD=C`QWaN_^{rh25
z_*{o;rqMr_DoB0NY<%+THMha5-#;Zj^&Guja5J^wVv>C9zVm<fhVB;%IinhClFTyw
z#6gp*JA!W4tv87)_DuS0)h~1O&2gvb0EVyelX_mw+!3~Fj`rjkzq{V8oKU;%z{zt;
zsq!KUfvNp(&hE&6e(Hiy`Yi+h+pY>6jAE?Ql%G#5-+n-jQS11_Q+E4HcP;03I+T2>
zSpHVT#k)zd7I}L@Jq?_4Jl|;Vb4oDGIQ{v<oIR&r7$?k#x}&;qXJa+@ayw?$ZL4xl
zF8sLh_wEA$Nt?8;w>&g&s<ru?G5bTa!mjY`i)y29d7rB}WpQCzSa)H(#j{&8_rE!C
zImW{6szGB=#iaV4!~gbIed3)az1KWdx5ij`an|WB<LJIE3kvcibB}x%nPy+Os77^u
z$C@SgD_$(+oWEz~w6ijK54g?;-FG|qT3jV`YGTFZBQN&bh!&>(yZI;M)2@%xjy)Eh
zX5^dIbx^YF;j_)D?ym&?z4{kF{Yd%MTag@_DsSw&oG9Zv<7;A|6Bpy+WL?3Wa~3n$
z@84X?_dC)$<Pcl7VD8nNUxmjn^<NNFxwVzYQPQQP&Q{yc@nGItfsMN#{k<2Pa;oZG
zfonq1`<&2aDxW&`9v9i>r(m$OU-NUeul*;l{rA@gmd>_(kYqR0b3xXU4OZg!geM=*
z+El))u$SeGwQ|s==Lt@0?5F*ln0j7wL3XJ7wu!FN?oS%4QZw|JS8Tm;b(fV|{T`h;
zugxqgDiqJR`Gx&^-0@xdnwGt{;-_=sMl+fBBr#O-JlN~>x4Lm{>#^gP(<U-Fv#00p
z=!=Wbv+ifwJT-L3;-2)QiqjbWt~@o}BH+-apL_omygqbM;?6o<gZ9_+lIL3~*km~?
zE=hm=`rqQR_PO36|4N@$eT#qmR<Et)ig#jv{Em{+uW{OW+Hp0DTUyU=a;g2el8^QA
z@_Z-X#jPA4<t=_QUE&XW^YG<%_mKQ$_a`pCU~)pIH*6L6%zy`znQAWzEN}5^Vy?1$
z(J@)1Av<-Z+WM@AGC@5{!@u0t4qI<@f9Cqv^$#9R4Ele6ZO)fnH}3Du4*b;qe$GbS
z)|br}+OB{9Tj%%K;q2Uso6p<VFI%G|>7VS)rE;dHc}dObpRbF%<@2~LHXh)<`t9h_
zMIX;M2iph7ZNJnUlQ3QT=B9Z9_7S4qe=ol~b!nF5yRYXP&+uRNoAdb3Z+|DXg(($F
z9L`O9H!Vo!Ay0Llhgf!Ex$ye0Yqm3Ny;kV*?CFlk@NCBmPP;yOJuH91Wpez8qH4VC
zmNVx~!wMeN9u#~L9`l0rq0TNDPZnkGX6MZ}=LEgye7*FV?~dq*6K~nA4~d^O6zQ|B
zzW4X<x3)hjTX?=5aX)0o*tRFn;A4KBtct<whCuC23#ALy*KyxV=vvyPxb)25eIe4l
z`!`MDbXY$(pyH)Oz>niPVUBm5wKf<3a9Ofe?Zcy}&wMQEt6nWjNqF-3aFO6%Q(gt@
z7mRt@xiu3ugctiwv8r-odSBfYvW!_^;px^LlU>?ZrQ3$J`s?aHZOOQj_*ysca<_`q
zoOjjBa_&A3FyDS#$#qhGUR~q*9@SqLmtWm<Ds)Sx*rS+3-^7<ScYSh9&0QImxxziG
z!2kK(Hs{ZG?igg9TyW;gza>8!%d4G}xVY9fG{4XMul4;{&#l8I6F-~{jFoYTI-sHa
z__HB{>F&mF5i9m_-debK$Em4zq=dU<dQQ2`*2|MknXR;@HQrCD^J&@Si+edDbL*zv
z_xyQnDKBe|%0$y0rfcNwCCfJJNxty?@33R*z1BH~%+gvi4q4Ldon--KHWQ1@Tk7<(
z4!vBO7qWG&>7(5fmQR`P+hPB3)x$Dl&BiMUZJQhP+19RC5ssO;Ye{&n_mfrYbb{ZX
z)DOP3diVJyiUQGxnjIJK>`J^~!G4nITaTnW-;v;ed6m6&n~mRI^y-^+#qOfa?sI34
zSGgWEXniryFW~p@7tG>^c51B^y!Yc8r)jFmvMD?-f>x<SdVFTzbn^Zp@yC6V#Yw`^
zH-9QvMNE+0mvT$6<>BAt=*%Y7`*WrFE+{`clvZ@}QSiY`Hg2AY(YmkaSjhZYdfflU
zq(mLwpHuWs`-(CB^YJ!wFmV2|XyqlI={E{Xtjz;?k4E0`SmvR#fKf5zl0qb_A<LDu
zo3{KYbe7+B%WHAGcqH2a2|2di)57hOnI&YS=57nvoLl(WT3GVr!Toz)>&`Aeo&Wu)
z)JcI5JGG!WJD*+sky8KKf3G~_(zX9T=~YhsaiWxewXS8u;zLt<Hc4<epAJi$9qX_}
z!@Mv55Rbf-``-9l-OGRYe6~5XEQ#x(m0+TZN?*g7M^~$_zwO!`vTTZ&xW9$b*SHfx
z%6AiY7OML--pLYO^YN04vSt4FycNs$Jo+!ok$QZUnY#O=_0x{+a^>UAtWb%5SFdTc
z`~M$byVk&B_upFI{;tWMan6fpQi}TSssl;CC++DgX)<|p^^vv3%9XS3rS>N;=n7(9
z_3hb`zc;@#q^);ln&)%z=+hG$O(wPT`s^3<-N?Y|cEM%IA<cE=d<CwDKYw$Yki4uT
zXO&j%bnCk(!Xrd#RmHjw$mVc~DRQo?&@yCRUZ%0Zdezqa&y~3gTs%9yzO2*9<J&!d
zyUCkc?b@R74d=AJtLHRXPL!0G{5Qp?X=Mfb-Uo-O8@~n5&oa1|z2<<@v9xv7uO7%n
z9a??hkV|Ke#=PV68XHAF+UQHq`Vdf=w<gT-lGn>e%NSB;eRlkOz94X|(6S5RmXH3P
zknHlmEFat=bIKs@ZGCPS=N<-S$HG;&OTR|3=kqPw`a#X%eEMSL`Q42-UTPP*zZSTe
zn>$P7q;dauOOcb?7k=$Ibe2bkWml=mx1D8uhb3PgO=pylXOWa`Q}liP=*g0D70q=E
zRsJ$CX(^vK3tSwsYik#e{r-J2O65^T+2NL-{V#v~s~vg&X`}9fL(^ZWacOdVb=^0i
z@P&6b&;PZ*ytXl|Ii_GNy1Pum`%oLVr23|eMgMQb{is;1#&qoah1W`Nc7<|9Hx?bR
z+V^haSLI!|p8YJJVlKbs-p@;?b7vTA+UzJi|LwQ_P2Fn~x|11RFjr51DxGnY<LK!_
zi(b}5`Sx$MeXxGk(ig>3rCofCP15FjDSY;L;;lG&U+RmjpSM)^$Jb45uN1$k62@o#
zgZ1{NYl|FZ7-O~9%Kj|9{fb}ldkwpZlgb{&P0wYgJo9guId7)k66LFvbKYMux8uK@
z{8!d@38TJhUNBFYkfFw(_YAN4kLvzo$<>hF|EPm&_U8|$4_@8$^Q%Sj?#7(b2gge-
z<ll*2@mpq;((;Jw`hlJ+Za0?mvhS!+h`PIRQj|%d!Kd5H8`r<v-SDDX%{=W)mFddJ
ztdu>KH=Jud&it#Mt^E4g!ZzW~8C}=Duw9q*V3>G%cR>$B>#CRMjd#Z~C`LbwX#AI2
z+oWWj=Qrur^F(IBM_fK-C(lZ#+_BxRAAah0-Vf8sWj#6H7R$G8J<_gxsrBu?EmlXL
zDgLTjZ{#h1m0dx+aoXNr&ZpGdO1}I$>ED`m_A3*UPp9L0!_-GD+9DoHPi<fq*b?xv
z(DU-0dq@9Gn!je*y7>YPT`gbrPrdzp{rbF?t3FE8re2(Ku-E9D$ni(h)MEQoH;CJ{
zn==`D=*zZ9ywu#^Z=UJ9Xz#7|v_je6liIe=KXfoNd155@@x7jn8<tmp+Z4ga(U2~o
zEH(4K^7oE4*6ee0=G|21n0ni}QEZ#kWQ{{I+@E%fz7Kw1r*$|plkdtmqg@LNCM{O5
zu2#EMyu-?Bn?lgt7jK+rGQ4`VMeO4Cdlm_`H;z@CUj47Z|4nB8t(|U5WuNtWExg!%
z{M7mLk^&xO=jK~&KlAIj^Syr;b<bHPw}*ca*eE1d#W~}5z<cL&YE_}{w#+-KB-ohP
zQ|`GW%#(Ga$@DLQKQ6puS-VVfl6*4fG>?YrdAqZUjrcc87D&CC`-WrX{xcar7kgc?
zXTBSjA?z8Ze=%mFz=UMonNL{PA2QvydNJEcmEX^EEzJaHSz6i8S4s2~(Fn1y+8-?b
z>etCeagP^sHl*x~%hve$B(?P3*6!odnjFT5%h$Ym6=TD{;pS||92I_#3ELL0?%(`T
zk)dPFj~@?qh5TH;Yf>*;p7M$7AHqWz{h|-~?E0p~G4sQ0MNacuYH{iRcD_7v|J&yW
zz7rpaEnP3OJaE=x{fS;Cr45Bw@;^S1)9`m{+x@os_T-Bb9Bp~;FL=#bl6$I$)AG`x
zUHtCBk&>(p_A8DH+1yI2^OB$Y!bS3QO1b-kcQTc%PEP~&?g^>;vrDA%v80`0|MA}w
zRct)CcV2w9uI=%+2_Y8?PhEV%Rl+&zfJff`$~9feoQFTJ>Gr$VlzVGi|7*_p=9$~1
zS6=bF-DeqbgzMd#Rhjvk4<z=#$P-X8dDio(U+=G*ESmwB#T&jK=i2XXt8(m1IB-GG
z)Jf?_$8p`|!FB$c%R($`woNGUmwq7SCR$&4HpS%r-y7mm7kdhXH+=tA5~A1Oe664-
z>D;}N8LIpOyX3`BUAy+yWx28co=q}$9;|qlbb9g1Z1)G+cbJ~AdLFG=S8c<s?d75@
z@YHpa%t<M;GG4`6hW<o}aCK(Y6CQ14j~|xCe2lOzdo%sa%8KisxFyO@-}0QuP#b6+
zvB*r|(#<m6R;S|gb5{5KPY`?5Y^G|rcFPy<>0OM^1O>D#bmZJt{<TQBy3FoQC*!~W
zR#!Tv{(GUYeoj)>f*+RO+h3ox{Hz|G-FHZ3_kq^K?YADy3P058HLI;FXPTRQ>dMQt
zHt%-n&*ookG<CX8oBP+g1wz~xPAyY^KJTQAt@SnSk6VvBZoaMb*s_}SWX{^JYsySF
zS>*n*FyB%e@K)o224B@#*@CoZ1`EqJ>$mgjq%BvNS-Nxg5#{3cSFt`3lia^$&ryBw
z*it)m>+*dQSLhn<Dv&#8u*l`dm%g7XzH&*iI!44X$$y;Yt>Y@Yyv)u{uUp{5x|gR7
zUsazF`=c087F9Hn<^DX;)lCymPTVYL{rAVzgyib-`Q^<UO*h|4s4b|_T61n9$EOLc
zH@|;be7A9e|6S3%b9N_~Ga9;^wR!dwtf&yZbtC;lzu}6|H8*$`thqdG`<{(XbxF*1
zEe&#6!kS%AJfD9}wEa<ff62y#`o<#bmTGHO>piy}Dg?iuy`g@5$-bIe=Q#16u!?y`
zCm$RsdfD<gHpOwZlm8DjCl>RW&My9%auc2;-3e3Ll;ip4-YPZyD=L!Ce#>t(e2jmy
zKzHl&b?s|}96H$Q{wy$FYIy#`?*k`G=T%)gc<oc}kLAZ~Uke7t+J5{T^N(wzOli!7
z*v7rz(vymPWn~L>KEH3#@I1Wt>9U!X_cI>vecP2*TPYeoQ!QXihoJ4^b$8Ep2P)sr
z-@A9`%h`z<%*UD)zH4pNkBy$QYqpV4+&(dd+Ff&4WhPb3UTU*`&9~U)dm}d%Y>{97
zRe07^hGi+oYTl)^=NvPg{z=wM#g|jyXn~LHp3-YItCjS(`yb0)doL$OGE#rKyv2I%
zSG9T}rWaTHbDh~{k`;da<(JL3-pM?4RMnpTp{Uc9cQ?zSDgSm^GrOt36%ueWmV1|W
zttLP;=vG!k$&}?D#giElThsUN%QHAydU<M{(Ot!r%O=c{Uh`tU<m!{BZ+w&xduZ5b
zW0q~Uk@MzK&tnEVQ$63@jB#OZZOX3yeWL$mdBm>`#$VNISU*o}P4TRneWh^qtTgo+
z<^0=n9)}Jln_2$tTX13hcdq;YR2wE<ow4py>zBz5L66%uMV;M{=#|yZdUr>o%X$@$
zyi-p^o+{1$5OVeX2A?pQ^I1+NKjx=8G8BBerMQ9p-{rT4w}s=6Hzb7@#&D^#NgcAZ
zyE;*`L$-?T+%*Z0-x8A)?rh%hlIOT9Z*%0%18f}gyf4K5j8V{d>r)<6l+RrhYV9mz
zXQ;ZKVY~af_}}j*-l;Ncb9}_iz4*#{pP~(YGard+ZxpoJb#0n^Yg^HV4RP<cYD{5u
z`u|dOx~b^1mdU>BYc@;2{60NOtK|yEGR@iDGrwQsv77Phfr~7kXmaEHWwpuQ=AV}-
zWUSfqX{Y2HkzMwS1ENAq5^7_4C%R_d;FjUruP>AFPTZJx^Q&zup8b2zu58*ScW;_}
z=bVo@1_B0LGoEEPy||LeB<XyvH&^h+DW8HkzqRWR?)enklW|R@m_7OUmnVFwp?iGS
z#P^Hb6BZ1O5)$cMd4GzFx8G)-yJz<%o_%bvcCp>>hLRU=&p93PD?ak!wDvTY3{8K(
zXy@C~i8EG*9{g-~;1*Mvjoi6+nNRO`#B_$TNPK7cVdS@N@h-jSfY$0IXIuJD&pxfV
z`pML5xA|lzgoo&<wr0-ZX_j5I_SD_JipooUYO^lYm|c~RJ0JEp<ba;Z#{Elf^6>Hc
z{Y{A4ZNBV8MYF!i6txq6$;a)ATs`mHoBTsdt|9Ty+%vqB-@6GUTzo9ppTHWXc{L;1
zY-Q)H>EZXP?O2aFht}m({r%6Rq;~hFmgN+~8+kTgPi5>o@WZ$;rpMGRe%;BrUmoq)
zwc*g-!rl`Ls~^1Tzk6GA+m<`WOr2lV_I>p_7rv)_`nn&IO21#rZWLO4LnCHxvrJO?
z^Xs882c&y_I1{(j&G7gVGUM1o)r_#&ym|rGM9;RmalO5JR9*XK+IFjgiQeZY8XtCX
z?yP#cVEs9#;EOl*bD7>dcOxW!g89v(hRwBkr9x8+&)iFD+xXUQ71xqQT9@YBySuPY
zkK;nBS%$daCkM9rc0LZj*ZV7aj%UBU{;{&)NKhKnEyLuc@8)K=EW0Vew`kt4{<W{!
zmc_@NGJnV8b>bX*n`}~jch@=bd8-(fG^{Y$vOP~&=E#etq|Oz8Vpe-B-L!x6spVbn
zY)9gj{xCW7_WqA|X7>#KAF-)*Jt|id)%C%okxyiD;A`f8TcV^ZjJsz3{LO#IEBv5O
zOKR4Nx!am~6*$Zu&E3>@Cc4f@f9{*vTVC)zE`GuHo^8$j{VthD81f8sX0c7Yd2qvW
zMyZc;BjXjeo>?SX+LsiSAE=!t!?5{|*RG=zRW_@>J6KUFZq+$K*?8`zKqHGe6XiGY
z$xF+096Ve<GvnMRWAzJ0$4*q9-5o2qo%@z?#M|?JznpS96jxPrbZx6QFVyz^TzZS$
z`WRbd&g9kg8UN3ksKz9D^NUC=);}0NW6>kV_iWR;_9ZP0d22O!*)A#h|6vyw-QMD~
zI=NE9%7*LTDsAaAKaZL`II1SDqjtDVJT7_a1Vc6ZkcNsgXZtsOvs}AX>}~f!gV;$^
z4^Lbd@;+ytRi^R9^t(ozc7I=gJ^4Jtg${{naeFoEv+I5JD&l7-+}p_hxQemq{h^Sw
z_KbDs98XEL{nxwl__`s7!i4X70xydvnx-(jY*~Bb?7M8~bKD%RPU0-;PRowE{$wwl
zvG06AYvF=9TCx!p-=)GY?~wUzacP;eh>C~cgbcMA@{wV;_Z+GEc|uNg-xHN=`Jx}&
zB)mO-JXCrymt#c*qxhw@E}EQ|vgR>Izg?!^)5U*q@tGa=`4L}4&wZSn_u=ffzkQzC
zigjVlnakN_xffihdy_oje6HVI?U?QS+Pwz@cs3tDy1ioy?=^Xe9E~}XY7cm&op$R>
z{g%G|T=?>)S!RE9)Od}Kr1Hi6|9t1$n<Zio=T^S_ax?hHrRL1yckOH1?G;{_t$to(
zGOLQ!F5NhHM`Wu({2{@pw27;mRI3**+H$aW6I*$tOiahS;`;sS^8I(#NI!7gr1M}~
zS&)`+;_NMZ7@nWtJ@@ltiP!fE!I&otwsf3v5qljJ@n6HHW}lQs_tuFF6Y38=3Gsbx
z>hyZ5&Y@G^&oZ8Ay8o)bYsYcLS8h4)4$J9<_qW<J8?7nrJ^uU_*U5e2N5nQ=<!ckW
z$gcleH&G;4``p2;OG0lQ{CH=^g3Z6Oyp0knU##D}^|IDolN_dtUDpnWWnZ6D_D5q@
zTA842)rlQ*H|+ABTFp7j^5Z0j)QRCoIoCYovXzgTV?McG>))4!zk6MD868D)ZkgSd
zzQVH9yMJ4==aJZixo3ADf6rZh`#017+I()~jt{#eeK*}cx%rgy2j|IEQ;+KEo-^RJ
zJAO1af}Jb8uJ3$Ixmn&N(-pH{`5wRc?r!?kEWzntUSuXtZ^}6QdR}lIlM>&7^j{pi
z(#zabg33c|v_+3kyy<wT#K`c@OvajfW(<?OGtX`Cd8k{tTt7Y8({WYu{LX#vrk1%}
zVqCNO_nW7uzGke-mb?01jq`r*ZO-t(W4)bza_4g;169n-d<w*GJ@49}>$fw^R4z9>
zWUfm3fw1q^A&uV|9+bVFc5it@+dGD=IRCSybG{4TFFxX!6E=fKqy5{PyK}br$-GcW
zjlRXaIDOl}Ifoc-={--XvwSPyc<$MbewOt)OlqyYR+%QPZszx9FKjp`v{>U4yF;1N
z?3#;8H*Wq&nZr|HrkW9waxv?Jdw||eiG??vd%v%G?Dd}O@B6)*eeR}5UGY!qmT5Zb
zAu=hm{grx(xAn;yHPaI@+e3Nec62f|l@zLWzhR&AEJn~PxBsE&rKP8guZZ1Rx!23l
zq-}fG>zoY1N;B(xP3yh0zE#@Ys8611=I?a2@@sUJdyqxN$<=c+qHY9iy5iP)QMSHB
z;EC=zCcS|8ePNbwi=qy9UaRQ7{y=ulS3lXgJ7-xh-Lv%Z{{DCC^6&Ar-TZiaT~C|C
z$qcOr=ldg89p~l$TI*^U_jj$}ajyr#x7z<s_|NIEeukJyxu^fdK3=u<c{9G2hHNtK
zDSK%3;(vHuWk6lFw2;`^MFw9EMJ`a+(&4o^zBjh$XCqHlZB7l-fdh*V{dPR>>(l$`
z3je=G<9ByU^+a+HirkFwPie{cAb$GbKW&+d3xqjuKUK57XuMNYaAI+r%2EG~+itE7
zshDz|&*#hTfc*dc8WY|f5TAEve=|>KAB$Y?Y%U2aFV^CKNm6V<8S#;6?C0bEpI#<y
zv2OQ|x!k(jOjq?}YhIntXi(E6?EP3IEYS8&v{f7D!_%f&2ZblFZ+>{7_(jsDPE#4p
z7als&j~*{RSl$wG?A(kxmlbuvf_zW0ol<6KY+xX8YlC0N-_1*J&6RXop&!nC(U$pa
zlIbsvW0R#s<#r`Lf6I1zP2kMQD;~0}__B@hOG`$-fVo`rp{n0|O^;_OhFw22sl8YB
z7k5wWm(xchB>APRGv?iNvSkjL-Xkl^m-hJ6)sNlxw|xInymZcHpNPa+U#8!>aYt9C
zYHtXigJVh8%nOgapR6rhm3(Elrox-KhojAAH)ir*nG(_&dCua=(W`~m1x%+jL|mHY
z6!8AT9tRPYyAhSImwa4(<Z+PV;x(5{&Pkm+esu0ktF5)Wrf%lpJ^uee2Z!L2tgpZF
zIQWx{!*70>AQ&^z`-af-kPts}Gk1gjKMVQ~Opnmzzjw(lr<T<_u`I-c-RS7ief`g}
zc)#Qo9=^H!{@yvags->UxEU38Y3YHiCA$PYoH@#TdcJmM?RjgGab|Oq_|>EHHtHOc
z>v|c*zQ?XrlrQqNxxC}yQ&N95?>nSze{w?X)T+P!JvxfT0tR!^qL}orNWSn%wY5oJ
zZx(i3R$Q*<vE02TErmGlfQnzMEiQeIVf=c3!VFcr#7#Vl-%r1sVP?ED&(Eu5{uQHL
zO)dv-+sRH;EXWed(Bfe-zI~x>YRXKODSU?8R?J?{T=uRlQ>bH4N$_Qkr1$fexXe+B
z<9ls6Ic!IZ_0_L}GmMX1u>b#WQ_&HR>G~U^L$_Rcp8sOal6y>**C*aN|4EB&nn>^a
zfHEoZ6PxUo)g%{QayVM$;w)>{vZL=?cX)YR*D*sz{k3ld13&C+N_Tm?)HL`=uan%-
zf=Y+3kJF<L8f?5a<<Gm`hws@|yx$bJac$F<-pL<M9(b@je1*5yZ0n{IQTZh`N4Si=
zs<V7sikddthN%CSo{%+n+4(gh3Jtf5-aMAHzF_k9b99Tei(>dB(+T~CK1WN{8+sn{
zF-^a;((us_QQhf!$Gwx1CWtDwC}#bAaW!F$&P%c4ry*PV)H6RG>abds)Bd7M$Dma-
zMQfwGg<$P!&)K#2?XDLK6usCc5|J+ODYl?t^WL{F9^3qTeD2XE&z>jJauH6AKj%%_
z^Zi_Ay4}(vTUv!3)EySS%lWxx#lI#=LDPUAyS=aNxG1id`pQJVq2iiy`Ip<wW_x4r
zsXY0=q1GqmrP|W;R{qAuL(5a<&XYb;w%jq_`mgxD{dRuqZdUNj>AAh<(!ah8KI`zc
zU!P^IwE3UG->|jmv2wb<&DrR~5|){_rS{Bq^11pkizW7s$m!Rz9;@G}e)#_|<gDda
znTV6-+tfL}+%LX%{rOC-wXN2@@z)kqDa(C(Hm&>aVnf%7{vwk~oA*p$QrlI0Mm?WV
z{*c1;9%+V6imLky+<S$l#%f6Z7O4zBoYx`D|G)9%9qVhYTN@vV9e?!4J2RE-^<M)X
zmmp=Ot8IIp{{5`1s>)Hm+TF6vEp$sy<&0FeeJ`$T640Kxf`{$Z@5MWvCDe<;;u_^I
zE#Qy;m9{{gMU{iKbDC4(TbHFui3_v(vYh1CpGj>uYyMzfAjW$m$n$$?($){(xMrP*
z;Ji@~De5}m`R0!L&|LF<f`_*r)M=clUv@@gayP$+<YeAQ*(Wy`aD4dB6npuB(TPVN
z=S5Gso*HJLctF(eNQmP_droP06ZWd+oRAaoyF9*|a!HmYC6^g*G}+a0>(km_;c}d-
zHupSC&pNsIU*pD#xYgO_``(=n_@v~u?)ayhMpdd#tR)wo{kXBSZJFy{d-gk;cNfiM
zVX)aPQCZ27diCgHo~8#s{%)W8`ESAm-#M?mr^_T9^{Vo^vUi61FYVN`+rMmGcX7Vc
z@5OrW<X0R&$+?2rXnDGP#5bL;o!n6_{3kMuWf<$%8HxN$-2O*l;;E7^-}TlnGL=_V
zV7vb1L3G#hm8t@Hu6z02ByxK;nuT`D>3#pNUr;@<-mh-+Bl~xPTNxHSj4gWd=&J8I
zcZC(--c{z^DYbfF_xZuw*f)&3OccG=H(cQTQSVlKyf@!=-qF<E*0C<tvMU57rU+}~
zDXg-Oxf*uRXI9U;D7D?J0$1YZpNTo|-X8hkS491-_SiGROtN_)58nrI$}23^d*pL+
z;>`{3Lb|tYn7wp2!_>l!_cu;Tw%+t3YVE;~7BLh4Jt*70?+#C>yNlgA$LklaF5Z3V
zc~<62#+|pq-B0l`aD;{2-1YhEjuK9jbxZeM%Uz@`9r`OZZEs$4>mUBbGtGUcRLpOx
z(fqz4qs4N;1=W(0+CH_KGsjh~|4TOQl>M<~)w>AU`CUJ+r-(^vs>X!r>uBXktl4|(
z^3FLO`qw72{91bN@s35&H^U6;<sFVx%v!yb?Tz<*UCHic>_x`A0+WSX9KT6<sC^LJ
z&iBJ1y!O*FvFz&Gj@q0Ho(CRUb>*$b8H?9T*rbaJqSyXeuXs*s`6Q+PSJd9Vz4ysz
zLz^vE$?Y#oHP(hc5;5n#cWS!cnY#;e{u^mprLU7v_<Q~u?|X&DJ?ZXO3YZfgW^U8{
z`r-Lz%b%C73w&HxJ$>bYnoytJ^SXOyOHb-4|G%#I#HM=heM`$HFZi6i`1rOZZ{|$<
zui;T(>G@@q+;7(nnYPnEDhb=m%ok#R_dQW<vqs8I-s!iN)yXx_d%iwBVfwC9lV{1<
ztdEN0emwEA?d5uwguuIUFZjG))!UxDGpny_%6wmzk|$j;j#m<AC4JJ9nzhiW=#lTm
z*n7oYr@jgF{+t@*Dib^T(ye>CQa99gUcA?S&TTFG`X%;zU(fi*?~%Q(d{c5`L1(y;
z&8zaJNtrWcC!b5=j`jbzoNcmf=jx^9TMlv?_jygPbpN5XhD&{x68p1X6Msg#IZfX$
z(D_imzhUve7=z5NJ@Yk-kGXtZB>L`+Nw48cfq*dKWj-!nRyDTIQ2g$-ztl1-y<JjC
zeiHAQb5)P;@|@^j#*@Lm-DTF*(9~rt%MX8)^vW$;<n$)U`rxH!N(Id9Kc@WL-p{(J
zKCnXXP{o2fODcb)mg*Sg{q_^wzwPIq!@3JS_G$a&FrQv0H^uwwOMbRBzfuM5`yb!F
zrc$OVc>T~?Y4v=^vsW${HT$-@w{vpvA1}E&dA~%aPs#iCYyPfxrigw>*He;SzMacy
z(!wc^a<1kIpDvgcZJ!@nuOKh5DY)ZEK;?|Oz~29kOWrJ=E%L_V<lPxbOV(uk<9ht4
zA-dt-0`XJ4i|0S6xcG4H(k1K8r0x{2zWmbgaDV9Q@7JB|Beor#>g;P>)DRZ-(x;MR
zZpOVsy3eaQp7c&LzBRj)!%5gZQGaWVyG5DEy<M8Do__+%MHg8vK5P4Hi^_kK<MvM$
zP5UagNA~qUn|r?)MN|~Rj_rRa&Hh6n$u0Pyx@q>k-K`5BaGy(^&}>`aGHrkJd)t?K
z8)cWrbTDsLkda~i(-V*%wtVa9xchZK?=;+$Nb}fsJ~!uni6D!#$&o3nj-iv~u1>5I
zUG@Ky%&8S|*Ey}Yw(|w@=pVLSTk~uGypG~WKmP{*)|{#kX(G8bduhT!wO?~=vJ^@c
zcK$V(yKkkBldDWRe^2YYUZsHai#?K+QAhjJ#m&x7cx5s3WN^a;p%XfXuBgjCXWVa{
znSG!-r{?R<KQ*@xsrU!!@A%bm?nd+#qm{RL_uh<{uhY19M{-&6Td7C;H%6QbW&U^P
zi!XPlP{BR+#~Ce~dB2|0-{ovn*}pYH+E{<x<-=KrE-Kw);Fpf6`|`f&q}R1~f;(@x
zT1Xih-cQ{1<(ER<_f-nBK3hvLYF7BwyyU(!U7OeJ^(s-5CrW<mdl;+MdYLaY(qUXN
z<sHj9qfH*N-1{|qPV+yyBJK6XKJe*WMK0^qn|mIyXDsV|^QlUAT~_MNDADIm^O$1S
z?QiD^XyK30uRQZ)Tg7j#$0~2*^xRB@yFR7!Pwj7g!SQ{GRpC_!`$x_PZIo~Q5PCW3
ze^L4szq#+1_&%=;SuUKW!}RKieAo>8>-Vx_CVQVtOp%T&JY8};bA?u`WModf%p%6>
zvioWNY_gxTVwN+CPG54^&U^Z-igU>#9c!lTS}{MjG+gvlJqx3IMTdpiqzliC9X+;B
z(s`?$72vm&)2mIVYvQY}hli(y6zvIlU=a6Z+ZFY;Pm7F|4?oZAvt@i|c>SHYMXZLb
z>dYjEg<qNKGdFU!G%b|;wyLnD)%>6>&$;!#%ujwjJ6p5#@VYq{OtahF+GjZ%&d_d>
zVBU4%%$3g{&cFMR$NIN9(}n%X(H;)|`9fO*6|-i&+Q@pzedlIL;k~hMjvR7ew)!yp
zx5?HY6E3ZH`}L2R<tm5S(GB*+ohSY%MG9`MKj<YDHsjjCzX4Gx9*ccUHyRaY-MceY
zt(WIoNKOa0@NI^@?;aZ!Y|Un`xW3G3k^04H#(i^_wg%janA<kPZfDuXC0i7WQ;lcE
z2jrMs&h?I)rv1gRY_<I2?<^}4n|G;KJl?-|!q$?Tnfz-fi>>|_!*gttnfA$WnMk&8
zP271-Z)Vi*U9DAQT<M|sTG;389y9A4u569Si(#u;mQ59Ym~rA=)js?9-ha&(|7pt!
zA6h*B)-P|l=8yY0A97pVes_a)h8*Xt{p?){Zyax>P5!g+%|4^4dpCX2mN_jQ<t$=9
z(KvC}(&w9XujcIfdh+Kr#&!M5Pje$Ug0Ff0nsTW~#QXM{eg3^WpOqKavdmBQVfrY%
zo}F>gJrNU`r=RVe+qoIIN~@N=>bhyhEW@j5CF1Sie`c%c3%2kyXXa}glDB=?D&qM@
za^D`oEr|_Z6x`-XpDIcg{h&3=_C&?PC&6zX+<U$32>bO4!A_2tWm;4AnYz5>+w?Mm
zVfA6IoA2_{X1ShO7^=79vDEc7OE^s8&P@KzaCTwKPpzXjA_{YJWG4LF+wkOB)<V%U
zavNt&Si7S*e)pGibsbW%C)t<tsya>Uat)an_P$wXpWS~xjye&QtTn$D&gR{`F5Y&_
zrXLlnvKU3Du9~vgV)|Ku!|vtFH|0O_OW(!g!~Xlv9PtRzx(SR^)I1*^I&@f2hPAQe
zr`DnQ+kT0ut2!N&UaK>0@r+w#-?+_EMDrFj2t14~+<8>)<MFAds;ADJ^fWFxqvNw`
z--Xyty|;QB=P_7!_#QhZyrrP_%rTic91GR8o!0apPwwals5@+6a$cZsE~n)Z_rFJe
zXWaYmI>oZ`?F2{XJ-ljDO=Xp37crX0hwj*2`6x<-U!Id8;l|y-&Lhd?7Xu;|o?kJw
z@|DN+-3L{#lo&iJ+n~Hho1@2x>&t;Fjf_c$yOo25qXJW>v|CM#o$s~NUg5(rl?v$_
zCpMf`)b4%evomGV+&i2S2ja_4_eXZlEvtWF(QT;m*olqxL#~g|7M@F-S1%=~r@wox
zygOv+<SXBJtq<K#T+k7}-sNz3w%FgPZ4Lhq9p##{@l%|rPUAz5-|rVMb<`5vl~T9O
zt~FzBrjPSvDIM|K(u=#co_Y4RE9duv@F#2yrf-<fSS_9^ZOO;3Z?>fE?h4a+8A11)
zrbK%b39--VxUco_gVx@Je6#gGCp<~K{;6HEA@5+<1&%dqz9$~~Ic14Pp5y*I4M75-
zb`@K>{;yQtRMXG#wR1s3k^X}hP4Qg;U!G(LJbdHiHRX)_;^ywG$IIe(Sv>I5HSP|n
zR@79g=P?L=pp*Ug!UV%z&GSBNU-wbNpo%eh_H{$W-Lm^OHocm(nZN6B$5ij-u@$1<
zSh)o|8(Dh(uXwa6lCPa*!%?}X>;F2uoY^Ng>vLG-kw=FeHh)l3&)ZloWhk<IDHEgo
z|D%T)mtOVW$0@z7*22u$`&3J;bXq9?-({u8&oxe(%>LicskPPa{9(JzYv+n)x&^s#
zU+&rPX?|Nm+Kf%td?%b1{CT!Ud-kUv`uA)<>Ghrar~CMG%;Gt`=VTtn%(PrO$?e^G
zw+n~bZ%5XDwLW@fuh;*EzXrzU8N7R5U+zp#K6vZr47aN`^C$Ml9MB64Yo4R-^KR1`
z+2=K)lZ;ItO?lDII$@2)ng&*um$y6?|1;{1KftIeclUStY$M?(%Z}zn?~U(ok<>f0
z=v##wV^;3gk0zlnCb)f^vXN=&--nrrO8mirCxsI~eV@<pQqI1<Wx7{?MAu!eZ-O@6
zy|)e;)xThIOqi5>Yl8F4dDowAFW}w&c#jU7%}lv>T6v7Op4^_$xO)3q4n^lOqmxhX
z+25Od;>S;RIVQ>cz8pz~b=PZ@C;s8{t33bN@=0CVgXeQ@>FYH{q_7Jg+^lXAt~0?x
zw#l}iH}%%{S5>|t4g9+Tq*_grWj+Ng*f;O%n=NLSPj_*6IzF$Nu|ezEN$-sjA9;e;
zoDzFmdF#zp=@rlUIx|GKd~OKMYA}o`?osDA@8(PPel+((Oo^rR<PsJB4cGc78)WeX
z374<jB)y=2d6}0E*Uw!MMxR7azl(QGaWXyg;IqRVX}A0TlH96WCGWXU_>sIUN{k_L
z>*ZY)*X)#E7TY~5TD)ohrC-fj)psH%Pg^Aa)K-!If}*6*=6!mJj0wGa{j6N2v_EP5
z&|55P#wr`uEt@Xi@T%PQF|+9>R*?-6d!92~*wVthu4?McJ#L$qc;@^*q2Xlg8x|YM
z@Pk(`Nxkg-r&|{`t;^>4HDg=#WbK`=Ha(m8lAF1$!&y*rmU-vuKecsYe@}hjXkJ$)
za`(%wJr(`S6Rp;TJpOwBJ-=4#Y(Xc70ymw9EO(23cCP<EQF$JlR#H6UPb-HtUs%*`
zz707Ut5a}1_~JV!&SK<ou_Ox%OUNx)i3V@)q(^>TvXK4#_FBJMn=M@8J*#E*zhhci
zaq?&A8ujy+PnVe7c#?Uk=8%E-4Yv809Gy2Q^t3sdUHUm&^TY=Eu(n6v7uCuYTx{L2
zMbY+^fM3ie_JXH@U+iO#tn15V{JwwvJHzEIQ*Wr{Jk2_IjdvBZSX;u~hO3(Qh5qry
z-M(AIsvdteE2d0tSIATGCx^aex@H<ymc=Al|2|NqVIcgp@utJFXCf1NcfWhkX8*ED
z^-c7SRiBqgZ;Y$c*`TkWUHSddb4Fzgv13|iPvl+Tc%b-Mv~o84m%{h&&ga~oSD&uC
zxoCRK;@JV2Q}1RK*6Po>v0S9n;JQufo|E$v=7(=<-SyAVK{NeL>ESmU-%IyjTYvQN
zzWo>8uz#%A=E_i;`PYn{C$n(&q0Fw`KF6Py?0LLZ#86#(`}STw%NGnCA3rXO{@HVX
z{f_kWM(08q6Zqe$xovpcH@oT}_x!qY!N0p*TIXKKH4EM+BNdz9QJ}R{TP3Z3!adET
zT{|4V-q|RXx+`*?&B_CXz9Prweh!&Fb#<rLgCCmhCpeYe0@u5CYRZ~q`TshVRdUfV
zy?6hIe_Rcn?*GlJ{$EZzB`%s9$!x88`lslv%efm&lYI2wg?3EZm}4J#-)iQuOCNX`
zx2fA6eRAo0$&R#rr>8u5^JdQG+inIkmnf=QNbcWs|AYCXdmd&!22RJ!ra8!mCtvFk
zU_1YK;bA`gvIF(sZm$rZQMPK`!Cc$>nhgOg3j(gmu>?!RI2wiDt#w>p*6?YIl8FT4
z)LSatO%D#(PoDSNnRm?twZ&l`cKd^7nEHH*ytC16f6Tg8nXdamIl+-X*TqlPHh=#0
zMoDbE$CorQF@5Fui`x##-wV!pn{=#jHJ7Tvq_V2+-)}Z#biZDqAv7gxZsN~pN2g6>
z*vIp2g1*MenU|Nzy%F}7XOP#ptg_V4Br5t$bq&*EN$+{)TV-Z_)%^EmYj%tDwNnDT
zd>tZNW?H!<-kdsr{*}@Re5HZfkG4&m{4V66?w6M}8yEkMUN`yR`m1`IIYV{|sdB!P
z+go*P!Ji4q8{S{6zifCc;e618ORE=qIUWw*>i64Z#=C>5l}xOQdl#-d#mgsg^<hh!
zU?1}%J<qNkp$E^sJns`dEz&kzM|4s1@1l#`J5Kr}E#lvsyQ#ffrK?GI`qYpo^(~5_
zoS~1W+%D&zSSTT<qo_LdYe|u+`^!FWOU>izidPmy-Cck0epA7N(_73owD0~o$#l;-
z;|HvJ8&%v*oR({Ddb`Q*PxqFiJ9AcX?VtW`()9z3W{vBoUHBhkX<Z`jQp{x-mnPWl
zYv(DnE=O}+A<wyO-gSkRx+W7Vmi;n+dc6GNoMlfAE<Po-_fg-6rX}hUpYCi-GLyg1
z92Q;wu`KtzSf+l&S1tb^FLD>I_l^E4JA3|`EbTPWGp9AT&R?e;`!+pmiT}=>2VBmx
zUFWpfx6!;}*?r&czSmM4J8vw@FpXQkUS4XFZdZ=oMD24WS49)g7HxX$78Tzvyng@k
zFI^0)FD*E(%`~Z*uf9|_O4aXEc}J<2o7d0E|K)M+`a!83B0u$CI_=ugp5(A`)4_Va
z-EZ3-o@e}I!Zan$PiJj}tzO=#7QL?-BC|^Vs2J>!-+KDa(--SKU(Gz@->WIv=TbXQ
zSY0r(?nvdO49|_(FIJ^D^z-{!eqVlT!h>X1jli=xSByP>FSb%x@F1KaT({_d3Y%EZ
z$;yj8+S(zJk8TJtC9n766UjO~<;sWo2P~eixf^nAe`ao{(4u=A<mGq&`w;#AL&Mf^
z7ui4St@8}G-2Lt)y~ogBe^ZX5?UX$64ZITXe+Zp;@$k%3zVKY9vJKfYPWBsF+S?a0
zc3W{C^O=x%rEkt{mMN^Nvm-7oI9_bfTCbvVF>YD3086i=r|GqwbFX~MVUxRhecskn
z%{wD)w0s3!gzvhP@hQkx_4_6Ja+$?QN`9PDc3|H7`vPfSqvUM`Q_m%zf3oMw(~Vy*
z?g{HVX!h2(<@U_Nt<M-I3EX~NE}t{G==L$@kJAp`Jzwd{6c)&#;S+B;%`{#-<l$}q
zceej!ZW^mB%D-KplYB4Xk%I8vI&Cf?UfrEjewJIVtqib}f9x(*(J(hA&SUE78&&o<
zDqSn9E_zBYk6pIm@fo4p*^URdlz!W(zxL6Eyl$h3ynB4@uU(H_{>tI+e$@@jYz3cO
z-(4uXe&)Z-DTNExe)}+`<MPcrhjbj1zAT?`SmQzRTS4Z>xzo(LOTJFamwl9c!Ro;z
z!Je{31}R7Os7uAT2;A-d=6-3Si*NiF$@EQ6)LB%6BQ+C0->NTTw^-6rxwLZea*?Zh
zi`us5)aCiUY)@Hsrj2pEwJMYF=E<Kf3H48BF0Z}8dN!m$Q2oh=s`%LDjfxW|ER{LF
zM(W+kl)t>oBtHF==}ckS$g_P4Z+qc0nRPKP^OrV6zgCi;v*>r|;{_tQeKl@#<%8^}
z{aUf6vnTM_28q}syVUI6KfF*EmEkana=sAzbz$Cx1)Ar+^y)q@mg7z<Wwch$;x+CR
z{wP+fv4rQ>6OQy(?;PI9|2sRQxqA^)U9V|RX*%Omy)Qi_eQSB{6<Ao!sN&r>^{Da6
z3+%3Wt$!9eXfIwh*_c(g-sR`!O?AsB@jU&pVZ&8R@wy|Lvd7tlW>?<&(z2vnae6O9
zSmJTXqNx$Lj5Q9-teGLJZp<anyI`s4gNYIPeS*xJ%qAT_#g{QLEBTCuy~Q6!{im`K
zYqqZ9|IEX_{aCTywy!xBS-x6Jn1s`po%7{ee9HgM;`pLz?Kf@-HYK@VdN1>C(u1iU
z(&7%zESqP3=*qqMAVy!)($;)`XLik+z1c6XL~_cXs~0H<YT3EynDp@%$Cjr=?5uba
z=qnoW<cq=~$CBC8WLT2rPO7TS=w4TC?z`+K@5k-Z(i2x{d-|rG4Al_TG4Z_<Iw|$S
zqlrJfv!&Z*4=czDoY=O(@qpSX4zI)8M5LadbNg!E-<iH*d6cUJ@6xCIb0@!&DY&WH
zZ+F$D!)eVP>r-t)(J`ysUCt(nJWWYATeDmIy7~4!hIyM(KAX>)ZR~M>pSM?Kx7)&p
z0zY(iEk8cLoNw*Ng%;v!o}Eu`>u~38(=gQwT`<-4y?F1HoXr93_hTl1KCN)w_HOp8
z)mugOKD2l`$4rEmQDNQVTleRR|MH)kz4+&o2M@eW+x3nfdiMRu{xd!u>rb94=-)EO
zV821&BNj<ViF-GXER;BqZF6yV_HX9?x2M~uixgQW7rY4k@=U69qgP$asZjBg=Puo|
z==5IqrC+yir$`9Pat@7iYYw)lOliC?XOr+d=kJ1PKDKdQk=%Xmdb~DHl4nXjGcFLT
zp8DtC!G_x*yMHbY(XKQJdH5x2ueF?R{O>Pndqs_IsFdbya^Z>EetfI)-PaeVZ;@u+
zYcEr)CqDW1bI0`77<mcNoh-cFliu#W7TlwGufb$P<C#xN3JFXL_b%?(YhAr1<DzSk
z*zP-5E;X&LDNeRu5mNB-*Tn~?>}~QF9Q(7&+%3QTlxqCW&%GtQHTqo}{7N~`F1Y;U
z-&z|@&FN)fTgqo%t=p$}E!yea`Lcp_B0V#BSlcX<f6q|UU(U0_eb$7t(@$nwa$FXR
zSnWNh)4*i6T#&Bie?jX-S$C%y2?xIrf4yb?yQ9-Hk1`zmSJCq1^#_^L5}CcR-&S<U
z>i?^L8T+f}e}PFIcZ`=;_@UOBe~f-SyHYZd-{NG!;+-7--X;I8*)N{F+IPyL&IML0
zwjR9opI3!-)w-iKmpUf}`f+5g?CIg$y5W_E?6MDyWo+lBm+_@+-Ty*(ajIici22jD
zO-tAM&#~S0nRS2PnzeJyJM|t#8%x|*e7iGa@r{yYlQad6J(p7AjagLO8lZaIaf-k1
z{cKm~ovsSU`gFsOdQCZbtEZz-oxRbZGP+0iYSY^P8>j6^SuJLAU+u{*<HL{jT|9rR
zyH)b#Wz?6xMGi&&jd|RnbDpKH6l6W_*>>Zy<*q44I-x-p`hts#58rD}?yY8KpM3AX
z#?riwxl4Ft=1+IoF~_TW#nSqMUn2HzPTudTQ=ZOUV{H8RqU1{DA9wQ0Qv<x2Swt8Z
z7&sVy)pYs(yYJz_#>l|1fRTYgn1O*IJux>mK0hr!Co?TIzPO|`Nv|x_|8&-21A#ri
zwV&N+%06!UW#Sw!{j<6)LR?E{^lsVansU;kNcw-Rs(Qe8CBx0en>VL+hFkmiZH;yK
z`sYhQ`TkXM9~W*hdM*>K%(W+@w^pd)&CSif(;`zMBOmPzmb=5B=#>9-g`~Y!iNqT2
z2|6a0T&JW=wE9?j=h^;AaXM}%)x6beZ@{ud_CtY_Egoi^&scM~EPRQq_1Pzq%s&rw
zoU1>0%EkKO0l&=Khi+fw+_$EiQ|0NK8HSY;Jg0d1T?#Lp7(09WmEzplQLEeXTkM{G
z_$YDlYT-G{drA2d9~b<T62H2AHqXX`25c^W<s*IwWgee!!!n`q`4ZNDYgT=ImCbiA
zCFjY~uy5R`!8XNjTZkAV1H*ep1_m((tihI%l2h96n0?4Wp!NMv(c(21Sc1E9Cr`d{
zfs4^w>(cE_yKf#jSZe;pZ`IH5vBd&g-{#JEe|GlwM-pP&q-C~6H+^|pDQ53;S^bAo
z@3RViZ)M>b*}PXhA1n-t+nFD#KGE&r4(WtQ5m&jdalOHxcGjgneU-a2A=9eb=UM7Z
z_lZU=CJv{+cin8u%n@18&tSJkc}KGWqsQK6o(l(VD#?HU*PyaJCqMhEdj0q2iH$er
z<z0MMe`SZjGqH`n8za`QnxNska2va`*fIl7_Q@MvYeN;+?3f&_qPnu2%jPRn&ga!?
z>O~q=TwMnZ7Vf-bnArbt=Y}xtyieRGB{%n7U&CFxIpNKPEz?(JFaA*cXYRpJxi1du
z_zhdLF3x%M{31VUNVW!x9}Q+;VCZ6CU=TzLNxjUx<Rb2qXU?AS?l1S$@$4x-t>5+i
zjJKZGS>LnTo@WYApVZg))-}3fY-kju;ir4b=gi49UOFewoZr03OULWn2_5fH1CvmL
z00YJ(g+pw;ffW*$JSK26pt@j9!l9&I1_p+8j0_9{=q@NqNi2rh%wJSk0=4myu@PPy
z8JwJ1l@&M-v2k&*2(kvLC2*!_%5cagaU`@0xJa6`?2u!cc(bj|gUNyKNO(Y>;FRQ!
zH9Th;HJOVOPW4Qf+GuHzn!x8X({PiK0sAtZGlooTE8^?ow8YVz&cMLHzyu-~7#L*G
zoF1PUkWpFeUr?e~lv<FJSjmj0SD=`$M3Iq!AwU3&x64z~j!tvTJFFn!`rVe-;Dh=z
zD>IL~CP7M1|NOMrz3$e_CCSkfdprM4Zg+fdnD_ns>+tjP4Q4#^c&qL6%|&x8Ze3Z$
zD%pJgz>~j?Yo@+ebGvO?xTNq}|NqO1H5xYND`TVlJFov2Vwftjmb<?Blj+(&LQ~_y
zs-~&fC`n8Sj{GY#ac}nJQyF^uH+<Q&uP$NVhR+edMCDyJtkRd7USC%4@qh29gYTwm
zNo{79OWDDob0CU!BhU1$LMqF|gj+8QUhUPAxv{bAVX=GP54KZ64l&=7`Z}-0FWr5+
zq4nT(_8yizw}i9bOPRP^=Zi*MvfX=N-uqOxGA4WT4aOBW8|NN4&HsjFUR%P#59KZU
z1Aas{wH~@I%)^pzz{-8pJY3@XO>ehTo{@9Z1H2iTM3@mpDN`Ju$0|k!22B<Q26kwq
zFfuSOENKLBQj!bwDspqsHT`)yO?F}l1H<A@Mg}2}Iw)S!c%BipSkWuaNGwQ2*Dtr}
z=*h{&OblHCM&QH?(hjk7LK&L=)RZ)I-68T%gf%jl84NO*8Tdh3p?FDS;43DSx<IcY
z4_z~Q)dA8Av3gk$8;WLVMS^YsdLfE1;BGKh12R%D!VkUpK$xK(ifRV9FhO?$dfrE9
zUx}{0C?yeHH%K*d_5<-jcu8YQ7^>yynGxM2^vnn{1rnAE&`i=R2Sp<*8%PBg0~bRT
z69dEha5e@#23O-!vj__#uP7hWG%t^+%JNWy%nIky6!X&J(D2B#a1Zm~3WG}HJonTb
H$9!7=Bnd^@

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.tcl b/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.tcl
new file mode 100644
index 0000000..9c21d51
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.tcl
@@ -0,0 +1,57 @@
+# 
+# Synthesis run script generated by Vivado
+# 
+
+proc create_report { reportName command } {
+  set status "."
+  append status $reportName ".fail"
+  if { [file exists $status] } {
+    eval file delete [glob $status]
+  }
+  send_msg_id runtcl-4 info "Executing : $command"
+  set retval [eval catch { $command } msg]
+  if { $retval != 0 } {
+    set fp [open $status w]
+    close $fp
+    send_msg_id runtcl-5 warning "$msg"
+  }
+}
+create_project -in_memory -part xc7z020clg484-1
+
+set_param project.singleFileAddWarning.threshold 0
+set_param project.compositeFile.enableAutoGeneration 0
+set_param synth.vivado.isSynthRun true
+set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info
+set_property webtalk.parent_dir /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.cache/wt [current_project]
+set_property parent.project_path /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.xpr [current_project]
+set_property XPM_LIBRARIES XPM_CDC [current_project]
+set_property default_lib xil_defaultlib [current_project]
+set_property target_language VHDL [current_project]
+set_property board_part em.avnet.com:zed:part0:1.3 [current_project]
+set_property ip_output_repo /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.cache/ip [current_project]
+set_property ip_cache_permissions {read write} [current_project]
+read_vhdl -library xil_defaultlib /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd
+read_ip -quiet /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+set_property used_in_implementation false [get_files -all /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc]
+set_property used_in_implementation false [get_files -all /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc]
+set_property used_in_implementation false [get_files -all /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc]
+
+# Mark all dcp files as not used in implementation to prevent them from being
+# stitched into the results of this synthesis run. Any black boxes in the
+# design are intentionally left as such for best results. Dcp files will be
+# stitched into the design at a later time, either when this synthesis run is
+# opened, or when it is stitched into a dependent implementation run.
+foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
+  set_property used_in_implementation false $dcp
+}
+read_xdc /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc
+set_property used_in_implementation false [get_files /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+
+
+synth_design -top game_of_life -part xc7z020clg484-1
+
+
+# disable binary constraint mode for synth run checkpoints
+set_param constraints.enableBinaryConstraints false
+write_checkpoint -force -noxdef game_of_life.dcp
+create_report "synth_1_synth_report_utilization_0" "report_utilization -file game_of_life_utilization_synth.rpt -pb game_of_life_utilization_synth.pb"
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.vds b/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.vds
new file mode 100644
index 0000000..2d49c52
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.vds
@@ -0,0 +1,442 @@
+#-----------------------------------------------------------
+# Vivado v2017.4 (64-bit)
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+# Start of session at: Mon Dec 10 15:37:28 2018
+# Process ID: 5920
+# Current directory: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1
+# Command line: vivado -log game_of_life.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source game_of_life.tcl
+# Log file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.vds
+# Journal file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.jou
+#-----------------------------------------------------------
+source game_of_life.tcl -notrace
+Command: synth_design -top game_of_life -part xc7z020clg484-1
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z020'
+INFO: Launching helper process for spawning children vivado processes
+INFO: Helper process launched with PID 5929 
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1279.293 ; gain = 84.992 ; free physical = 14677 ; free virtual = 16836
+---------------------------------------------------------------------------------
+INFO: [Synth 8-638] synthesizing module 'game_of_life' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd:47]
+	Parameter cell_number bound to: 32 - type: integer 
+INFO: [Synth 8-3491] module 'clk_wiz_0' declared at '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/realtime/clk_wiz_0_stub.vhdl:5' bound to instance 'clk_gen_25MHz' of component 'clk_wiz_0' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd:88]
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/realtime/clk_wiz_0_stub.vhdl:14]
+WARNING: [Synth 8-6014] Unused sequential element neighbour_cnt_reg was removed.  [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd:147]
+INFO: [Synth 8-256] done synthesizing module 'game_of_life' (1#1) [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd:47]
+WARNING: [Synth 8-3331] design game_of_life has unconnected port switch_mode
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1328.824 ; gain = 134.523 ; free physical = 14681 ; free virtual = 16840
+---------------------------------------------------------------------------------
+
+Report Check Netlist: 
++------+------------------+-------+---------+-------+------------------+
+|      |Item              |Errors |Warnings |Status |Description       |
++------+------------------+-------+---------+-------+------------------+
+|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
++------+------------------+-------+---------+-------+------------------+
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 1328.824 ; gain = 134.523 ; free physical = 14683 ; free virtual = 16842
+---------------------------------------------------------------------------------
+INFO: [Device 21-403] Loading part xc7z020clg484-1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/dcp1/clk_wiz_0_in_context.xdc] for cell 'clk_gen_25MHz'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/dcp1/clk_wiz_0_in_context.xdc] for cell 'clk_gen_25MHz'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 33]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:26]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 34]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:27]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 35]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:28]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 13]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:29]
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/game_of_life_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/game_of_life_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+Completed Processing XDC Constraints
+
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1697.891 ; gain = 0.000 ; free physical = 14374 ; free virtual = 16550
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:26 ; elapsed = 00:00:54 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14471 ; free virtual = 16642
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7z020clg484-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:26 ; elapsed = 00:00:54 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14471 ; free virtual = 16641
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property IO_BUFFER_TYPE = NONE for clk. (constraint file  /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/dcp1/clk_wiz_0_in_context.xdc, line 3).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for clk. (constraint file  /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/dcp1/clk_wiz_0_in_context.xdc, line 4).
+Applied set_property DONT_TOUCH = true for clk_gen_25MHz. (constraint file  auto generated constraint, line ).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:54 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14473 ; free virtual = 16643
+---------------------------------------------------------------------------------
+INFO: [Synth 8-5546] ROM "Hsync_sig" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "Vsync_sig" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "vertical_counter" won't be mapped to RAM because it is too sparse
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:28 ; elapsed = 00:00:56 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14464 ; free virtual = 16634
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
++---Adders : 
+	   2 Input     10 Bit       Adders := 2     
+	   2 Input      7 Bit       Adders := 1     
+	   9 Input      4 Bit       Adders := 1     
++---Registers : 
+	             1024 Bit    Registers := 2     
+	               32 Bit    Registers := 2     
+	               12 Bit    Registers := 2     
+	               10 Bit    Registers := 2     
+	                9 Bit    Registers := 1     
+	                1 Bit    Registers := 4     
++---Muxes : 
+	   2 Input   1024 Bit        Muxes := 5     
+	   2 Input     32 Bit        Muxes := 1     
+	   2 Input     12 Bit        Muxes := 1     
+	   2 Input      9 Bit        Muxes := 1     
+	   3 Input      1 Bit        Muxes := 2     
+	   2 Input      1 Bit        Muxes := 6     
+	   4 Input      1 Bit        Muxes := 2     
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Hierarchical Component Statistics 
+---------------------------------------------------------------------------------
+Hierarchical RTL Component report 
+Module game_of_life 
+Detailed RTL Component Info : 
++---Adders : 
+	   2 Input     10 Bit       Adders := 2     
+	   2 Input      7 Bit       Adders := 1     
+	   9 Input      4 Bit       Adders := 1     
++---Registers : 
+	             1024 Bit    Registers := 2     
+	               32 Bit    Registers := 2     
+	               12 Bit    Registers := 2     
+	               10 Bit    Registers := 2     
+	                9 Bit    Registers := 1     
+	                1 Bit    Registers := 4     
++---Muxes : 
+	   2 Input   1024 Bit        Muxes := 5     
+	   2 Input     32 Bit        Muxes := 1     
+	   2 Input     12 Bit        Muxes := 1     
+	   2 Input      9 Bit        Muxes := 1     
+	   3 Input      1 Bit        Muxes := 2     
+	   2 Input      1 Bit        Muxes := 6     
+	   4 Input      1 Bit        Muxes := 2     
+---------------------------------------------------------------------------------
+Finished RTL Hierarchical Component Statistics
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 220 (col length:60)
+BRAMs: 280 (col length: RAMB18 60 RAMB36 30)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+INFO: [Synth 8-5546] ROM "p_0_out" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "Hsync_sig" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "vertical_counter" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "Vsync_sig" won't be mapped to RAM because it is too sparse
+WARNING: [Synth 8-3331] design game_of_life has unconnected port switch_mode
+INFO: [Synth 8-3886] merging instance 'shift_register_top_reg[31]' (FDCE) to 'neighbours_reg[7]'
+INFO: [Synth 8-3886] merging instance 'shift_register_top_reg[30]' (FDCE) to 'neighbours_reg[4]'
+INFO: [Synth 8-3886] merging instance 'shift_register_top_reg[29]' (FDCE) to 'neighbours_reg[1]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[768]' (FDE) to 'cells_to_draw_reg[768]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[769]' (FDE) to 'cells_to_draw_reg[769]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[770]' (FDE) to 'cells_to_draw_reg[770]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[771]' (FDE) to 'cells_to_draw_reg[771]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[772]' (FDE) to 'cells_to_draw_reg[772]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[773]' (FDE) to 'cells_to_draw_reg[773]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[774]' (FDE) to 'cells_to_draw_reg[774]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[775]' (FDE) to 'cells_to_draw_reg[775]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[776]' (FDE) to 'cells_to_draw_reg[776]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[777]' (FDE) to 'cells_to_draw_reg[777]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[778]' (FDE) to 'cells_to_draw_reg[778]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[779]' (FDE) to 'cells_to_draw_reg[779]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[780]' (FDE) to 'cells_to_draw_reg[780]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[781]' (FDE) to 'cells_to_draw_reg[781]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[782]' (FDE) to 'cells_to_draw_reg[782]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[783]' (FDE) to 'cells_to_draw_reg[783]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[784]' (FDE) to 'cells_to_draw_reg[784]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[785]' (FDE) to 'cells_to_draw_reg[785]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[786]' (FDE) to 'cells_to_draw_reg[786]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[787]' (FDE) to 'cells_to_draw_reg[787]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[788]' (FDE) to 'cells_to_draw_reg[788]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[789]' (FDE) to 'cells_to_draw_reg[789]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[790]' (FDE) to 'cells_to_draw_reg[790]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[791]' (FDE) to 'cells_to_draw_reg[791]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[792]' (FDE) to 'cells_to_draw_reg[792]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[793]' (FDE) to 'cells_to_draw_reg[793]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[794]' (FDE) to 'cells_to_draw_reg[794]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[795]' (FDE) to 'cells_to_draw_reg[795]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[796]' (FDE) to 'cells_to_draw_reg[796]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[797]' (FDE) to 'cells_to_draw_reg[797]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[798]' (FDE) to 'cells_to_draw_reg[798]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[799]' (FDE) to 'cells_to_draw_reg[799]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[800]' (FDE) to 'cells_to_draw_reg[800]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[801]' (FDE) to 'cells_to_draw_reg[801]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[802]' (FDE) to 'cells_to_draw_reg[802]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[803]' (FDE) to 'cells_to_draw_reg[803]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[804]' (FDE) to 'cells_to_draw_reg[804]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[805]' (FDE) to 'cells_to_draw_reg[805]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[806]' (FDE) to 'cells_to_draw_reg[806]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[807]' (FDE) to 'cells_to_draw_reg[807]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[808]' (FDE) to 'cells_to_draw_reg[808]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[809]' (FDE) to 'cells_to_draw_reg[809]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[810]' (FDE) to 'cells_to_draw_reg[810]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[811]' (FDE) to 'cells_to_draw_reg[811]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[812]' (FDE) to 'cells_to_draw_reg[812]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[813]' (FDE) to 'cells_to_draw_reg[813]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[814]' (FDE) to 'cells_to_draw_reg[814]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[815]' (FDE) to 'cells_to_draw_reg[815]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[816]' (FDE) to 'cells_to_draw_reg[816]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[817]' (FDE) to 'cells_to_draw_reg[817]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[818]' (FDE) to 'cells_to_draw_reg[818]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[819]' (FDE) to 'cells_to_draw_reg[819]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[820]' (FDE) to 'cells_to_draw_reg[820]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[821]' (FDE) to 'cells_to_draw_reg[821]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[822]' (FDE) to 'cells_to_draw_reg[822]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[823]' (FDE) to 'cells_to_draw_reg[823]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[824]' (FDE) to 'cells_to_draw_reg[824]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[825]' (FDE) to 'cells_to_draw_reg[825]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[826]' (FDE) to 'cells_to_draw_reg[826]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[827]' (FDE) to 'cells_to_draw_reg[827]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[828]' (FDE) to 'cells_to_draw_reg[828]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[829]' (FDE) to 'cells_to_draw_reg[829]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[830]' (FDE) to 'cells_to_draw_reg[830]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[831]' (FDE) to 'cells_to_draw_reg[831]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[832]' (FDE) to 'cells_to_draw_reg[832]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[833]' (FDE) to 'cells_to_draw_reg[833]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[834]' (FDE) to 'cells_to_draw_reg[834]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[835]' (FDE) to 'cells_to_draw_reg[835]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[836]' (FDE) to 'cells_to_draw_reg[836]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[837]' (FDE) to 'cells_to_draw_reg[837]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[838]' (FDE) to 'cells_to_draw_reg[838]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[839]' (FDE) to 'cells_to_draw_reg[839]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[840]' (FDE) to 'cells_to_draw_reg[840]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[841]' (FDE) to 'cells_to_draw_reg[841]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[842]' (FDE) to 'cells_to_draw_reg[842]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[843]' (FDE) to 'cells_to_draw_reg[843]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[844]' (FDE) to 'cells_to_draw_reg[844]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[845]' (FDE) to 'cells_to_draw_reg[845]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[846]' (FDE) to 'cells_to_draw_reg[846]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[847]' (FDE) to 'cells_to_draw_reg[847]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[848]' (FDE) to 'cells_to_draw_reg[848]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[849]' (FDE) to 'cells_to_draw_reg[849]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[850]' (FDE) to 'cells_to_draw_reg[850]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[851]' (FDE) to 'cells_to_draw_reg[851]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[852]' (FDE) to 'cells_to_draw_reg[852]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[853]' (FDE) to 'cells_to_draw_reg[853]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[854]' (FDE) to 'cells_to_draw_reg[854]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[855]' (FDE) to 'cells_to_draw_reg[855]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[856]' (FDE) to 'cells_to_draw_reg[856]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[857]' (FDE) to 'cells_to_draw_reg[857]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[858]' (FDE) to 'cells_to_draw_reg[858]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[859]' (FDE) to 'cells_to_draw_reg[859]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[860]' (FDE) to 'cells_to_draw_reg[860]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[861]' (FDE) to 'cells_to_draw_reg[861]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[862]' (FDE) to 'cells_to_draw_reg[862]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[863]' (FDE) to 'cells_to_draw_reg[863]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[864]' (FDE) to 'cells_to_draw_reg[864]'
+INFO: [Common 17-14] Message 'Synth 8-3886' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:56 ; elapsed = 00:01:25 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14418 ; free virtual = 16581
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+INFO: [Synth 8-5578] Moved timing constraint from pin 'clk_gen_25MHz/clk_out1' to pin 'clk_gen_25MHz/bbstub_clk_out1/O'
+INFO: [Synth 8-5819] Moved 1 constraints on hierarchical pins to their respective driving/loading pins
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:03 ; elapsed = 00:01:36 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14302 ; free virtual = 16466
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:01:30 ; elapsed = 00:02:03 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14234 ; free virtual = 16395
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:01:31 ; elapsed = 00:02:05 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14228 ; free virtual = 16390
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:01:32 ; elapsed = 00:02:06 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14229 ; free virtual = 16391
+---------------------------------------------------------------------------------
+
+Report Check Netlist: 
++------+------------------+-------+---------+-------+------------------+
+|      |Item              |Errors |Warnings |Status |Description       |
++------+------------------+-------+---------+-------+------------------+
+|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
++------+------------------+-------+---------+-------+------------------+
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:01:32 ; elapsed = 00:02:06 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14229 ; free virtual = 16391
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:32 ; elapsed = 00:02:06 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14229 ; free virtual = 16391
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:01:32 ; elapsed = 00:02:06 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14229 ; free virtual = 16391
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:01:35 ; elapsed = 00:02:09 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14227 ; free virtual = 16391
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:01:35 ; elapsed = 00:02:09 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14227 ; free virtual = 16391
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start ROM, RAM, DSP and Shift Register Reporting
+---------------------------------------------------------------------------------
+
+Static Shift Register Report:
++-------------+-------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
+|Module Name  | RTL Name          | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E | 
++-------------+-------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
+|game_of_life | neighbours_reg[6] | 30     | 2     | YES          | NO                 | YES               | 0      | 2       | 
++-------------+-------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
+
+---------------------------------------------------------------------------------
+Finished ROM, RAM, DSP and Shift Register Reporting
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+Report BlackBoxes: 
++------+--------------+----------+
+|      |BlackBox name |Instances |
++------+--------------+----------+
+|1     |clk_wiz_0     |         1|
++------+--------------+----------+
+
+Report Cell Usage: 
++------+-----------------+------+
+|      |Cell             |Count |
++------+-----------------+------+
+|1     |clk_wiz_0_bbox_0 |     1|
+|2     |CARRY4           |     5|
+|3     |LUT1             |     4|
+|4     |LUT2             |    14|
+|5     |LUT3             |   530|
+|6     |LUT4             |    17|
+|7     |LUT5             |    62|
+|8     |LUT6             |  2475|
+|9     |MUXF7            |   305|
+|10    |MUXF8            |   132|
+|11    |SRLC32E          |     2|
+|12    |FDCE             |    66|
+|13    |FDPE             |     2|
+|14    |FDRE             |  1033|
+|15    |OBUF             |    14|
++------+-----------------+------+
+
+Report Instance Areas: 
++------+---------+-------+------+
+|      |Instance |Module |Cells |
++------+---------+-------+------+
+|1     |top      |       |  4663|
++------+---------+-------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:01:35 ; elapsed = 00:02:09 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14227 ; free virtual = 16391
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:01:25 ; elapsed = 00:01:32 . Memory (MB): peak = 1832.242 ; gain = 268.875 ; free physical = 14287 ; free virtual = 16451
+Synthesis Optimization Complete : Time (s): cpu = 00:01:35 ; elapsed = 00:02:09 . Memory (MB): peak = 1832.250 ; gain = 637.941 ; free physical = 14292 ; free virtual = 16456
+INFO: [Project 1-571] Translating synthesized netlist
+INFO: [Netlist 29-17] Analyzing 442 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+WARNING: [Netlist 29-101] Netlist 'game_of_life' is not ideal for floorplanning, since the cellview 'game_of_life' contains a large number of primitives.  Please consider enabling hierarchy in synthesis if you want to do floorplanning.
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+INFO: [Common 17-83] Releasing license: Synthesis
+126 Infos, 8 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:01:39 ; elapsed = 00:02:12 . Memory (MB): peak = 1832.250 ; gain = 662.781 ; free physical = 14354 ; free virtual = 16518
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_utilization -file game_of_life_utilization_synth.rpt -pb game_of_life_utilization_synth.pb
+report_utilization: Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.17 . Memory (MB): peak = 1856.254 ; gain = 0.000 ; free physical = 14356 ; free virtual = 16520
+INFO: [Common 17-206] Exiting Vivado at Mon Dec 10 15:39:53 2018...
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life_utilization_synth.pb b/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life_utilization_synth.pb
new file mode 100644
index 0000000000000000000000000000000000000000..c1f57626d33090407b4158ee8571089822e57894
GIT binary patch
literal 224
zcmd;LGcqtV*E5;KtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp-
z<zZOR=`xp>;lg?Mr@XbrE%tAP7z!L*_X;yy@ON(&`C+`%p;wZDfx+RfB*TYxx1~}k
zK{hsP<rx?f9RJEQFnBm0RbXIv;BZ!zfq{Wxt{MXakMmPC5WCk1MBX)GV2E*EY7Anp
zwE&TSL8Q}BOAvdK6^B!(o4a#Jkk45=kicAf28ITQr}iNBTNe<y*A*nv>IPy10O)Ey
Ar2qf`

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life_utilization_synth.rpt b/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life_utilization_synth.rpt
new file mode 100644
index 0000000..c79f53f
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life_utilization_synth.rpt
@@ -0,0 +1,185 @@
+Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+-------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+| Date         : Mon Dec 10 15:39:53 2018
+| Host         : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+| Command      : report_utilization -file game_of_life_utilization_synth.rpt -pb game_of_life_utilization_synth.pb
+| Design       : game_of_life
+| Device       : 7z020clg484-1
+| Design State : Synthesized
+-------------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Memory
+3. DSP
+4. IO and GT Specific
+5. Clocking
+6. Specific Feature
+7. Primitives
+8. Black Boxes
+9. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++----------------------------+------+-------+-----------+-------+
+|          Site Type         | Used | Fixed | Available | Util% |
++----------------------------+------+-------+-----------+-------+
+| Slice LUTs*                | 3079 |     0 |     53200 |  5.79 |
+|   LUT as Logic             | 3077 |     0 |     53200 |  5.78 |
+|   LUT as Memory            |    2 |     0 |     17400 |  0.01 |
+|     LUT as Distributed RAM |    0 |     0 |           |       |
+|     LUT as Shift Register  |    2 |     0 |           |       |
+| Slice Registers            | 1101 |     0 |    106400 |  1.03 |
+|   Register as Flip Flop    | 1101 |     0 |    106400 |  1.03 |
+|   Register as Latch        |    0 |     0 |    106400 |  0.00 |
+| F7 Muxes                   |  305 |     0 |     26600 |  1.15 |
+| F8 Muxes                   |  132 |     0 |     13300 |  0.99 |
++----------------------------+------+-------+-----------+-------+
+* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 2     |          Yes |           - |          Set |
+| 66    |          Yes |           - |        Reset |
+| 0     |          Yes |         Set |            - |
+| 1033  |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Memory
+---------
+
++----------------+------+-------+-----------+-------+
+|    Site Type   | Used | Fixed | Available | Util% |
++----------------+------+-------+-----------+-------+
+| Block RAM Tile |    0 |     0 |       140 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |       140 |  0.00 |
+|   RAMB18       |    0 |     0 |       280 |  0.00 |
++----------------+------+-------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+3. DSP
+------
+
++-----------+------+-------+-----------+-------+
+| Site Type | Used | Fixed | Available | Util% |
++-----------+------+-------+-----------+-------+
+| DSPs      |    0 |     0 |       220 |  0.00 |
++-----------+------+-------+-----------+-------+
+
+
+4. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+-----------+-------+
+|          Site Type          | Used | Fixed | Available | Util% |
++-----------------------------+------+-------+-----------+-------+
+| Bonded IOB                  |   14 |     0 |       200 |  7.00 |
+| Bonded IPADs                |    0 |     0 |         2 |  0.00 |
+| Bonded IOPADs               |    0 |     0 |       130 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |         4 |  0.00 |
+| PHASER_REF                  |    0 |     0 |         4 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |        16 |  0.00 |
+| IN_FIFO                     |    0 |     0 |        16 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |         4 |  0.00 |
+| IBUFDS                      |    0 |     0 |       192 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |        16 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |        16 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |       200 |  0.00 |
+| ILOGIC                      |    0 |     0 |       200 |  0.00 |
+| OLOGIC                      |    0 |     0 |       200 |  0.00 |
++-----------------------------+------+-------+-----------+-------+
+
+
+5. Clocking
+-----------
+
++------------+------+-------+-----------+-------+
+|  Site Type | Used | Fixed | Available | Util% |
++------------+------+-------+-----------+-------+
+| BUFGCTRL   |    0 |     0 |        32 |  0.00 |
+| BUFIO      |    0 |     0 |        16 |  0.00 |
+| MMCME2_ADV |    0 |     0 |         4 |  0.00 |
+| PLLE2_ADV  |    0 |     0 |         4 |  0.00 |
+| BUFMRCE    |    0 |     0 |         8 |  0.00 |
+| BUFHCE     |    0 |     0 |        72 |  0.00 |
+| BUFR       |    0 |     0 |        16 |  0.00 |
++------------+------+-------+-----------+-------+
+
+
+6. Specific Feature
+-------------------
+
++-------------+------+-------+-----------+-------+
+|  Site Type  | Used | Fixed | Available | Util% |
++-------------+------+-------+-----------+-------+
+| BSCANE2     |    0 |     0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |         2 |  0.00 |
+| STARTUPE2   |    0 |     0 |         1 |  0.00 |
+| XADC        |    0 |     0 |         1 |  0.00 |
++-------------+------+-------+-----------+-------+
+
+
+7. Primitives
+-------------
+
++----------+------+---------------------+
+| Ref Name | Used | Functional Category |
++----------+------+---------------------+
+| LUT6     | 2475 |                 LUT |
+| FDRE     | 1033 |        Flop & Latch |
+| LUT3     |  530 |                 LUT |
+| MUXF7    |  305 |               MuxFx |
+| MUXF8    |  132 |               MuxFx |
+| FDCE     |   66 |        Flop & Latch |
+| LUT5     |   62 |                 LUT |
+| LUT4     |   17 |                 LUT |
+| OBUF     |   14 |                  IO |
+| LUT2     |   14 |                 LUT |
+| CARRY4   |    5 |          CarryLogic |
+| LUT1     |    4 |                 LUT |
+| SRLC32E  |    2 |  Distributed Memory |
+| FDPE     |    2 |        Flop & Latch |
++----------+------+---------------------+
+
+
+8. Black Boxes
+--------------
+
++-----------+------+
+|  Ref Name | Used |
++-----------+------+
+| clk_wiz_0 |    1 |
++-----------+------+
+
+
+9. Instantiated Netlists
+------------------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/gen_run.xml b/game_of_life_v2/game_of_life_v2.runs/synth_1/gen_run.xml
new file mode 100644
index 0000000..901d5a4
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/gen_run.xml
@@ -0,0 +1,45 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="synth_1" LaunchPart="xc7z020clg484-1" LaunchTime="1544452647">
+  <File Type="VDS-TIMING-PB" Name="game_of_life_timing_summary_synth.pb"/>
+  <File Type="VDS-TIMINGSUMMARY" Name="game_of_life_timing_summary_synth.rpt"/>
+  <File Type="RDS-DCP" Name="game_of_life.dcp"/>
+  <File Type="REPORTS-TCL" Name="game_of_life_reports.tcl"/>
+  <File Type="PA-TCL" Name="game_of_life.tcl"/>
+  <File Type="RDS-RDS" Name="game_of_life.vds"/>
+  <File Type="RDS-PROPCONSTRS" Name="game_of_life_drc_synth.rpt"/>
+  <File Type="RDS-UTIL" Name="game_of_life_utilization_synth.rpt"/>
+  <File Type="RDS-UTIL-PB" Name="game_of_life_utilization_synth.pb"/>
+  <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
+    <Filter Type="Srcs"/>
+    <File Path="$PSRCDIR/sources_1/new/game_of_life.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="DesignMode" Val="RTL"/>
+      <Option Name="TopModule" Val="game_of_life"/>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
+    <Filter Type="Constrs"/>
+    <File Path="$PSRCDIR/constrs_1/new/constraints.xdc">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="ConstrsType" Val="XDC"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2017">
+      <Desc>Vivado Synthesis Defaults</Desc>
+    </StratHandle>
+    <Step Id="synth_design"/>
+  </Strategy>
+  <BlockFileSet Type="BlockSrcs" Name="clk_wiz_0"/>
+</GenRun>
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/htr.txt b/game_of_life_v2/game_of_life_v2.runs/synth_1/htr.txt
new file mode 100644
index 0000000..be2818a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/htr.txt
@@ -0,0 +1,9 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+#
+
+vivado -log game_of_life.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source game_of_life.tcl
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/rundef.js b/game_of_life_v2/game_of_life_v2.runs/synth_1/rundef.js
new file mode 100644
index 0000000..371cd66
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/rundef.js
@@ -0,0 +1,40 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.4/bin;";
+} else {
+  PathVal = "/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64;/opt/Xilinx/Vivado/2017.4/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+ISEStep( "vivado",
+         "-log game_of_life.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source game_of_life.tcl" );
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.bat b/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.bat
new file mode 100644
index 0000000..220ba68
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.bat
@@ -0,0 +1,11 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.log b/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.log
new file mode 100644
index 0000000..376c638
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.log
@@ -0,0 +1,441 @@
+
+*** Running vivado
+    with args -log game_of_life.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source game_of_life.tcl
+
+
+****** Vivado v2017.4 (64-bit)
+  **** SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+  **** IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+    ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+
+source game_of_life.tcl -notrace
+Command: synth_design -top game_of_life -part xc7z020clg484-1
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7z020'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z020'
+INFO: Launching helper process for spawning children vivado processes
+INFO: Helper process launched with PID 5929 
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1279.293 ; gain = 84.992 ; free physical = 14677 ; free virtual = 16836
+---------------------------------------------------------------------------------
+INFO: [Synth 8-638] synthesizing module 'game_of_life' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd:47]
+	Parameter cell_number bound to: 32 - type: integer 
+INFO: [Synth 8-3491] module 'clk_wiz_0' declared at '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/realtime/clk_wiz_0_stub.vhdl:5' bound to instance 'clk_gen_25MHz' of component 'clk_wiz_0' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd:88]
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/realtime/clk_wiz_0_stub.vhdl:14]
+WARNING: [Synth 8-6014] Unused sequential element neighbour_cnt_reg was removed.  [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd:147]
+INFO: [Synth 8-256] done synthesizing module 'game_of_life' (1#1) [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/new/game_of_life.vhd:47]
+WARNING: [Synth 8-3331] design game_of_life has unconnected port switch_mode
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1328.824 ; gain = 134.523 ; free physical = 14681 ; free virtual = 16840
+---------------------------------------------------------------------------------
+
+Report Check Netlist: 
++------+------------------+-------+---------+-------+------------------+
+|      |Item              |Errors |Warnings |Status |Description       |
++------+------------------+-------+---------+-------+------------------+
+|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
++------+------------------+-------+---------+-------+------------------+
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 1328.824 ; gain = 134.523 ; free physical = 14683 ; free virtual = 16842
+---------------------------------------------------------------------------------
+INFO: [Device 21-403] Loading part xc7z020clg484-1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/dcp1/clk_wiz_0_in_context.xdc] for cell 'clk_gen_25MHz'
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/dcp1/clk_wiz_0_in_context.xdc] for cell 'clk_gen_25MHz'
+Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 33]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:26]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 34]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:27]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 35]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:28]
+WARNING: [Vivado 12-584] No ports matched '[get_ports -of_objects [get_iobanks 13]]'. [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc:29]
+Finished Parsing XDC File [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/constrs_1/new/constraints.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/game_of_life_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/game_of_life_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+Completed Processing XDC Constraints
+
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1697.891 ; gain = 0.000 ; free physical = 14374 ; free virtual = 16550
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:26 ; elapsed = 00:00:54 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14471 ; free virtual = 16642
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7z020clg484-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:26 ; elapsed = 00:00:54 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14471 ; free virtual = 16641
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property IO_BUFFER_TYPE = NONE for clk. (constraint file  /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/dcp1/clk_wiz_0_in_context.xdc, line 3).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for clk. (constraint file  /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/.Xil/Vivado-5920-VLSI-01/dcp1/clk_wiz_0_in_context.xdc, line 4).
+Applied set_property DONT_TOUCH = true for clk_gen_25MHz. (constraint file  auto generated constraint, line ).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:54 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14473 ; free virtual = 16643
+---------------------------------------------------------------------------------
+INFO: [Synth 8-5546] ROM "Hsync_sig" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "Vsync_sig" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "vertical_counter" won't be mapped to RAM because it is too sparse
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:28 ; elapsed = 00:00:56 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14464 ; free virtual = 16634
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
++---Adders : 
+	   2 Input     10 Bit       Adders := 2     
+	   2 Input      7 Bit       Adders := 1     
+	   9 Input      4 Bit       Adders := 1     
++---Registers : 
+	             1024 Bit    Registers := 2     
+	               32 Bit    Registers := 2     
+	               12 Bit    Registers := 2     
+	               10 Bit    Registers := 2     
+	                9 Bit    Registers := 1     
+	                1 Bit    Registers := 4     
++---Muxes : 
+	   2 Input   1024 Bit        Muxes := 5     
+	   2 Input     32 Bit        Muxes := 1     
+	   2 Input     12 Bit        Muxes := 1     
+	   2 Input      9 Bit        Muxes := 1     
+	   3 Input      1 Bit        Muxes := 2     
+	   2 Input      1 Bit        Muxes := 6     
+	   4 Input      1 Bit        Muxes := 2     
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Hierarchical Component Statistics 
+---------------------------------------------------------------------------------
+Hierarchical RTL Component report 
+Module game_of_life 
+Detailed RTL Component Info : 
++---Adders : 
+	   2 Input     10 Bit       Adders := 2     
+	   2 Input      7 Bit       Adders := 1     
+	   9 Input      4 Bit       Adders := 1     
++---Registers : 
+	             1024 Bit    Registers := 2     
+	               32 Bit    Registers := 2     
+	               12 Bit    Registers := 2     
+	               10 Bit    Registers := 2     
+	                9 Bit    Registers := 1     
+	                1 Bit    Registers := 4     
++---Muxes : 
+	   2 Input   1024 Bit        Muxes := 5     
+	   2 Input     32 Bit        Muxes := 1     
+	   2 Input     12 Bit        Muxes := 1     
+	   2 Input      9 Bit        Muxes := 1     
+	   3 Input      1 Bit        Muxes := 2     
+	   2 Input      1 Bit        Muxes := 6     
+	   4 Input      1 Bit        Muxes := 2     
+---------------------------------------------------------------------------------
+Finished RTL Hierarchical Component Statistics
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 220 (col length:60)
+BRAMs: 280 (col length: RAMB18 60 RAMB36 30)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+INFO: [Synth 8-5546] ROM "p_0_out" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "Hsync_sig" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "vertical_counter" won't be mapped to RAM because it is too sparse
+INFO: [Synth 8-5546] ROM "Vsync_sig" won't be mapped to RAM because it is too sparse
+WARNING: [Synth 8-3331] design game_of_life has unconnected port switch_mode
+INFO: [Synth 8-3886] merging instance 'shift_register_top_reg[31]' (FDCE) to 'neighbours_reg[7]'
+INFO: [Synth 8-3886] merging instance 'shift_register_top_reg[30]' (FDCE) to 'neighbours_reg[4]'
+INFO: [Synth 8-3886] merging instance 'shift_register_top_reg[29]' (FDCE) to 'neighbours_reg[1]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[768]' (FDE) to 'cells_to_draw_reg[768]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[769]' (FDE) to 'cells_to_draw_reg[769]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[770]' (FDE) to 'cells_to_draw_reg[770]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[771]' (FDE) to 'cells_to_draw_reg[771]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[772]' (FDE) to 'cells_to_draw_reg[772]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[773]' (FDE) to 'cells_to_draw_reg[773]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[774]' (FDE) to 'cells_to_draw_reg[774]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[775]' (FDE) to 'cells_to_draw_reg[775]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[776]' (FDE) to 'cells_to_draw_reg[776]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[777]' (FDE) to 'cells_to_draw_reg[777]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[778]' (FDE) to 'cells_to_draw_reg[778]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[779]' (FDE) to 'cells_to_draw_reg[779]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[780]' (FDE) to 'cells_to_draw_reg[780]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[781]' (FDE) to 'cells_to_draw_reg[781]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[782]' (FDE) to 'cells_to_draw_reg[782]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[783]' (FDE) to 'cells_to_draw_reg[783]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[784]' (FDE) to 'cells_to_draw_reg[784]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[785]' (FDE) to 'cells_to_draw_reg[785]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[786]' (FDE) to 'cells_to_draw_reg[786]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[787]' (FDE) to 'cells_to_draw_reg[787]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[788]' (FDE) to 'cells_to_draw_reg[788]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[789]' (FDE) to 'cells_to_draw_reg[789]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[790]' (FDE) to 'cells_to_draw_reg[790]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[791]' (FDE) to 'cells_to_draw_reg[791]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[792]' (FDE) to 'cells_to_draw_reg[792]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[793]' (FDE) to 'cells_to_draw_reg[793]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[794]' (FDE) to 'cells_to_draw_reg[794]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[795]' (FDE) to 'cells_to_draw_reg[795]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[796]' (FDE) to 'cells_to_draw_reg[796]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[797]' (FDE) to 'cells_to_draw_reg[797]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[798]' (FDE) to 'cells_to_draw_reg[798]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[799]' (FDE) to 'cells_to_draw_reg[799]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[800]' (FDE) to 'cells_to_draw_reg[800]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[801]' (FDE) to 'cells_to_draw_reg[801]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[802]' (FDE) to 'cells_to_draw_reg[802]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[803]' (FDE) to 'cells_to_draw_reg[803]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[804]' (FDE) to 'cells_to_draw_reg[804]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[805]' (FDE) to 'cells_to_draw_reg[805]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[806]' (FDE) to 'cells_to_draw_reg[806]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[807]' (FDE) to 'cells_to_draw_reg[807]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[808]' (FDE) to 'cells_to_draw_reg[808]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[809]' (FDE) to 'cells_to_draw_reg[809]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[810]' (FDE) to 'cells_to_draw_reg[810]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[811]' (FDE) to 'cells_to_draw_reg[811]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[812]' (FDE) to 'cells_to_draw_reg[812]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[813]' (FDE) to 'cells_to_draw_reg[813]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[814]' (FDE) to 'cells_to_draw_reg[814]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[815]' (FDE) to 'cells_to_draw_reg[815]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[816]' (FDE) to 'cells_to_draw_reg[816]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[817]' (FDE) to 'cells_to_draw_reg[817]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[818]' (FDE) to 'cells_to_draw_reg[818]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[819]' (FDE) to 'cells_to_draw_reg[819]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[820]' (FDE) to 'cells_to_draw_reg[820]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[821]' (FDE) to 'cells_to_draw_reg[821]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[822]' (FDE) to 'cells_to_draw_reg[822]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[823]' (FDE) to 'cells_to_draw_reg[823]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[824]' (FDE) to 'cells_to_draw_reg[824]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[825]' (FDE) to 'cells_to_draw_reg[825]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[826]' (FDE) to 'cells_to_draw_reg[826]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[827]' (FDE) to 'cells_to_draw_reg[827]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[828]' (FDE) to 'cells_to_draw_reg[828]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[829]' (FDE) to 'cells_to_draw_reg[829]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[830]' (FDE) to 'cells_to_draw_reg[830]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[831]' (FDE) to 'cells_to_draw_reg[831]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[832]' (FDE) to 'cells_to_draw_reg[832]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[833]' (FDE) to 'cells_to_draw_reg[833]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[834]' (FDE) to 'cells_to_draw_reg[834]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[835]' (FDE) to 'cells_to_draw_reg[835]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[836]' (FDE) to 'cells_to_draw_reg[836]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[837]' (FDE) to 'cells_to_draw_reg[837]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[838]' (FDE) to 'cells_to_draw_reg[838]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[839]' (FDE) to 'cells_to_draw_reg[839]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[840]' (FDE) to 'cells_to_draw_reg[840]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[841]' (FDE) to 'cells_to_draw_reg[841]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[842]' (FDE) to 'cells_to_draw_reg[842]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[843]' (FDE) to 'cells_to_draw_reg[843]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[844]' (FDE) to 'cells_to_draw_reg[844]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[845]' (FDE) to 'cells_to_draw_reg[845]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[846]' (FDE) to 'cells_to_draw_reg[846]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[847]' (FDE) to 'cells_to_draw_reg[847]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[848]' (FDE) to 'cells_to_draw_reg[848]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[849]' (FDE) to 'cells_to_draw_reg[849]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[850]' (FDE) to 'cells_to_draw_reg[850]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[851]' (FDE) to 'cells_to_draw_reg[851]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[852]' (FDE) to 'cells_to_draw_reg[852]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[853]' (FDE) to 'cells_to_draw_reg[853]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[854]' (FDE) to 'cells_to_draw_reg[854]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[855]' (FDE) to 'cells_to_draw_reg[855]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[856]' (FDE) to 'cells_to_draw_reg[856]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[857]' (FDE) to 'cells_to_draw_reg[857]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[858]' (FDE) to 'cells_to_draw_reg[858]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[859]' (FDE) to 'cells_to_draw_reg[859]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[860]' (FDE) to 'cells_to_draw_reg[860]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[861]' (FDE) to 'cells_to_draw_reg[861]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[862]' (FDE) to 'cells_to_draw_reg[862]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[863]' (FDE) to 'cells_to_draw_reg[863]'
+INFO: [Synth 8-3886] merging instance 'cells_reg[864]' (FDE) to 'cells_to_draw_reg[864]'
+INFO: [Common 17-14] Message 'Synth 8-3886' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:56 ; elapsed = 00:01:25 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14418 ; free virtual = 16581
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+INFO: [Synth 8-5578] Moved timing constraint from pin 'clk_gen_25MHz/clk_out1' to pin 'clk_gen_25MHz/bbstub_clk_out1/O'
+INFO: [Synth 8-5819] Moved 1 constraints on hierarchical pins to their respective driving/loading pins
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:03 ; elapsed = 00:01:36 . Memory (MB): peak = 1697.891 ; gain = 503.590 ; free physical = 14302 ; free virtual = 16466
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:01:30 ; elapsed = 00:02:03 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14234 ; free virtual = 16395
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:01:31 ; elapsed = 00:02:05 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14228 ; free virtual = 16390
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:01:32 ; elapsed = 00:02:06 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14229 ; free virtual = 16391
+---------------------------------------------------------------------------------
+
+Report Check Netlist: 
++------+------------------+-------+---------+-------+------------------+
+|      |Item              |Errors |Warnings |Status |Description       |
++------+------------------+-------+---------+-------+------------------+
+|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
++------+------------------+-------+---------+-------+------------------+
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:01:32 ; elapsed = 00:02:06 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14229 ; free virtual = 16391
+---------------------------------------------------------------------------------
+
+Report RTL Partitions: 
++-+--------------+------------+----------+
+| |RTL Partition |Replication |Instances |
++-+--------------+------------+----------+
++-+--------------+------------+----------+
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:32 ; elapsed = 00:02:06 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14229 ; free virtual = 16391
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:01:32 ; elapsed = 00:02:06 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14229 ; free virtual = 16391
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:01:35 ; elapsed = 00:02:09 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14227 ; free virtual = 16391
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:01:35 ; elapsed = 00:02:09 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14227 ; free virtual = 16391
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start ROM, RAM, DSP and Shift Register Reporting
+---------------------------------------------------------------------------------
+
+Static Shift Register Report:
++-------------+-------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
+|Module Name  | RTL Name          | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E | 
++-------------+-------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
+|game_of_life | neighbours_reg[6] | 30     | 2     | YES          | NO                 | YES               | 0      | 2       | 
++-------------+-------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
+
+---------------------------------------------------------------------------------
+Finished ROM, RAM, DSP and Shift Register Reporting
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+Report BlackBoxes: 
++------+--------------+----------+
+|      |BlackBox name |Instances |
++------+--------------+----------+
+|1     |clk_wiz_0     |         1|
++------+--------------+----------+
+
+Report Cell Usage: 
++------+-----------------+------+
+|      |Cell             |Count |
++------+-----------------+------+
+|1     |clk_wiz_0_bbox_0 |     1|
+|2     |CARRY4           |     5|
+|3     |LUT1             |     4|
+|4     |LUT2             |    14|
+|5     |LUT3             |   530|
+|6     |LUT4             |    17|
+|7     |LUT5             |    62|
+|8     |LUT6             |  2475|
+|9     |MUXF7            |   305|
+|10    |MUXF8            |   132|
+|11    |SRLC32E          |     2|
+|12    |FDCE             |    66|
+|13    |FDPE             |     2|
+|14    |FDRE             |  1033|
+|15    |OBUF             |    14|
++------+-----------------+------+
+
+Report Instance Areas: 
++------+---------+-------+------+
+|      |Instance |Module |Cells |
++------+---------+-------+------+
+|1     |top      |       |  4663|
++------+---------+-------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:01:35 ; elapsed = 00:02:09 . Memory (MB): peak = 1832.242 ; gain = 637.941 ; free physical = 14227 ; free virtual = 16391
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:01:25 ; elapsed = 00:01:32 . Memory (MB): peak = 1832.242 ; gain = 268.875 ; free physical = 14287 ; free virtual = 16451
+Synthesis Optimization Complete : Time (s): cpu = 00:01:35 ; elapsed = 00:02:09 . Memory (MB): peak = 1832.250 ; gain = 637.941 ; free physical = 14292 ; free virtual = 16456
+INFO: [Project 1-571] Translating synthesized netlist
+INFO: [Netlist 29-17] Analyzing 442 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+WARNING: [Netlist 29-101] Netlist 'game_of_life' is not ideal for floorplanning, since the cellview 'game_of_life' contains a large number of primitives.  Please consider enabling hierarchy in synthesis if you want to do floorplanning.
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+INFO: [Common 17-83] Releasing license: Synthesis
+126 Infos, 8 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:01:39 ; elapsed = 00:02:12 . Memory (MB): peak = 1832.250 ; gain = 662.781 ; free physical = 14354 ; free virtual = 16518
+INFO: [Common 17-1381] The checkpoint '/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_utilization -file game_of_life_utilization_synth.rpt -pb game_of_life_utilization_synth.pb
+report_utilization: Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.17 . Memory (MB): peak = 1856.254 ; gain = 0.000 ; free physical = 14356 ; free virtual = 16520
+INFO: [Common 17-206] Exiting Vivado at Mon Dec 10 15:39:53 2018...
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.sh b/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.sh
new file mode 100755
index 0000000..a058f9d
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/runme.sh
@@ -0,0 +1,39 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.4/bin
+else
+  PATH=/opt/Xilinx/SDK/2017.4/bin:/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2017.4/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64
+else
+  LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2017.4/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+EAStep vivado -log game_of_life.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source game_of_life.tcl
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.jou b/game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.jou
new file mode 100644
index 0000000..4a6eda9
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.jou
@@ -0,0 +1,12 @@
+#-----------------------------------------------------------
+# Vivado v2017.4 (64-bit)
+# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
+# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
+# Start of session at: Mon Dec 10 15:37:28 2018
+# Process ID: 5920
+# Current directory: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1
+# Command line: vivado -log game_of_life.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source game_of_life.tcl
+# Log file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/game_of_life.vds
+# Journal file: /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.jou
+#-----------------------------------------------------------
+source game_of_life.tcl -notrace
diff --git a/game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.pb b/game_of_life_v2/game_of_life_v2.runs/synth_1/vivado.pb
new file mode 100644
index 0000000000000000000000000000000000000000..6ca4558463b2a9bf8d7ea2d5d807af3315cbfcd2
GIT binary patch
literal 55867
zcmd<8<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ^58NluFNaRh)+o^&P>l!
z&@IU?P)JYAO^we_i_ghSOI6S<NGvK*s7N-iGB7eo&Pg}1Fwr$MVoym;ODxSPv4Sz8
zSWR>d4UIDx3Ro&wCNMGxFmYLNi3OJ=7L{b?r7Iv?0&)hkp@}7uJ3zXPjSP`>&tv3r
za4acF%`E^MP?E2Zo?4=isF0JHoSIjhs*sjnq>z@HSW;S)s-Uh~tgeulm!h9vq>z$Y
zmYEC@0$XHkV4=m9oS&PUpJyb?#Tg9pZEA65F{T%cq`BCk{=}4wVly<?H8wFvc48kR
zmw|hJ329DZm0~tFG@zoBEHfAiSSwf|VSbg7i%GRufJciJ9O6cM7`c`+!eb#Q#7Dt3
zCow6%D6u3nKTp9*AtW<5RY9Xz(@G(^pj5$D!N9-@gv=GJ6;g8&3yM=y5P}v8dJ4X&
zx%owv3L3snnpO%0sfpPNwhD$u=9YR!mc|O!3h9ZNc?z})7AAU@mPQKJ3TZ{DsR{)d
zmBpFKi8&ypCT8X!v%%tJnMEa~5MeV5V>2$yK+j+(;Hlt&`0N8Cmju{Psl}O9pd^)>
zpHi9wiD&^<DP}Wc3oX|C#Nx_gBLyxV<Rpw~u2C6Rf__GRZmNE6PG&)>eoAVYep*3#
zVtheSepYI7i9WLS_%b7OzFu)ra<P7KerZv1YH_@weqL%hiW0rDj1&PzEcQxpF`1YP
zFk#AAFgh?sv0CVw8CxJnXCgQ@xnQyB$z{qJkXV$Mn_7}uq>!ANlM|m;nwykbq>z+f
znwO$bl5eG8Y^0#8P*PctYNe2wSCX2ZTBLwIWVtH1AR*hw!sU^R2uy{P)a0DRqSO?H
z#1c@>vI1o;nE48sdBr7(dC&}|ke{ZIoS$2epO=~kR;w<+D#c=KY-t3G3Ry1B<ecpI
z^31Au1595VO=je(rzj$dO7n{K!O0@tP+u=1Ge<uRT(;?&S{fPXhWP}0>KYj87o{fV
zlw{_n>cia<UtCg}1d65{EUpseVl>4ftjNU+(x0B17jI<h>rsV82?6g8V6wBYz?tmW
zEOd=cEJ1}Yycp?aB094X@bc7wk6~On(a;2EkYMJ-ZYD16(7aMmfmobcSelwwl9`yJ
zkeZX43rhN`#R}z##R^5Kx%p+ODS8TA0&G$&CdNi)uzaA(C773*nVyl9Us@EOoL3TG
zl$wqu{R~tBk>p}F#8WV_S?HP>7@A}-6tGpWK?;r4OkAod`FW|3njK4-te~M<tgKqB
z2`Zb7OyL1RxNH{XV#LzEFv1}`P$5RJBsVfOLoUhN7`YUoZ7kJdg^a{vh0?s_{Jgx>
z<dW1Bg@XK|5(U*_P^vLDHa3K%8p44k&&6F_o>`Kd5ucl%l8Tw4qClD3*cg<#;Zb)D
zt&Omkk!uB`TV`HnaYky20?};*3k57~1WR0P1Y;u$JqsffL>s}-*hJ6N2-Ii*HwI7}
z2^NO1#sf+t!NdT2RR(V)xS-aTI$RQ5L8;)tb<Rjl&Q|bCEy>9&F0mq1C6=O^6U*hP
ztqTTF3e1KQFd-PNs|#bnXsALErH$PK@VXe&;u-}osPQaG%>}dIM2%}vQGQXeLQQyL
zQC?<Vda*)Ha7kiGX|Y0$OKNd)QDy<Skq1#<L$bwC*F!0g<6#&k1f!|#V?(f$YjR6-
zN;2b9iZaVm^WyVTONtesVPOEJ6%-6=0uqZsWpa%#NU;J$u>wT#VD)hwYT`=c3W5bJ
z)o21<zmHY|9Ao6#h*|>p7nEe?W>!JE2>}_2#i<I0xVsD3iULCeDisCrA_BE2Fv9Lf
zcu_F$p&Z7=?~|XH0_v-P`_QVzpt{h|)Le@V(n&Q^=HiETIPr9>*^CTzO$<OiYk2d_
zn@cmGD77H52xN91q)Y>Mh;#DOGm{naks^-^WT&x-r4~E1Zxh98sB3C&fLu4(amjE6
z6y+zU78ipIjBs&QaL&&wE-6aP%qszp8ZaA~n4p+oWM+ii6Sn1&_RPx!HHjd7Gf?8m
zOIJwEOV7+pg>=JBEKm$EH3Riq;bR3oOk64fiA8Yx-7<4h6{1y(W5M16^>jd85p}Rp
z7A6*2+>pAov?Md9*l0Q<R|6v*x)CYK1%^mnh|IkB<ovvn)QS?lij-umtxRRKE`tCk
zW)*G07{z8}ple|Q>iNL~WGWMvF1$`AK4i>?Wyqk6x@=?Q62lrG;KX5QZiyNMrCjk8
zB@J*_KN*}IU|oF(51cu0#XK}p4b4$fc|Q}ExL-cFwO6c=n^=+zYH)!Duk=7224+(W
z6D`hyoWwlGjMT&wBO5M_==9W*cu@PLSV1>GEj~X9G&)hN02a>7PfE<oE><u$j*Z1k
zRYn8jZzBmVCL=SP{VFy?BVAJql#T>#!o*~-g$eFaAG(E!>0k>Jivdq3hJ!BeSdtPZ
z`x&|9@mCViG7+u99GJ2JUM8YcbW54JZZdl27C=T)APvsqg4E>9w9I4$q>@&lJhcck
z>JJ*{EYAQn4~kMDjVpvAg*1>jsM(;W5R#EvoQi5dW=@VmQmR5`dR{(gcoQ^(03JF7
zO$R6>m6j-!Lsfu>c{1}9GEtnRr{I}ekO=OtBdjb|NGwWK0Cg%;Qxr1u6iPBukz4_8
zeHj@W!<#-v#Wb&uu+#-cTyo&CQIuirf};EaP`HDtFibt5W}=ZX$`FPJmzr}vC`3Rb
z7I@l=;527sVhZ;(*eo+p3l`qIspC=%&C4v#%vA^}O3W)x%P-1>M162+Zf;^xr4<*n
zwQOhzH^#`4OVux50jdu=^i~W>7bP%LQd9J>bPPZ)Ff;^>g23C*UzxayREyE)KU9lR
zMm1E66|m2FfYm`~JHTx8K@5Z-qT2$Rf#Z>4HnBiV|Cn$gHMJGO5_2+BAmI>Hng<%O
zR<KgQj2j~vE)LKvje(T`wn24*vW8}s=6V*E*hbkTxmXSK46qt2$;E1DVr*`L#XTS?
zGgDJ6jsZ`bnHzyR3xlX<b_cEhdzg`H9isn>==&f?2(Gy@BQul~1Bn+?6CCLV7W9a5
zFjE6#JyS~q*mxJpIGBk!)^RX1lfmEl9Sq%X&`c<N?#zx$3p@|10PB+nfabLm^HM-_
zprCHAXCAy1!cu}{FciQC=?30!UZ_JzMqF|*Ux7v%tk9?H2fcq@p=HR6j9hyV84~6<
zJTZoIY-&KVry=$LA4DbKz$f7-)FkZ0r3cBLjs*odm7x5nUJUB{7UdVD7L`=0E1-``
zVOB$fD~k?%2){$iR96|f4j?jBB5~n7Kp6|`ps$&U@u1IGm0T7<{=N!Is>MnQ<@tH)
zC7>QhZel?}Drk9zLXe}cLQ-mSVrg-zLS~6VX0bv^e!fC+L1IyHDtPULr6qhBi!2wX
zM{#9da(r<nmbqfk%$}*K2}%o{x|WBLW_bf6^}MdaB~X@HR03Kx6rY@5npcuqgqh#5
zg}}h)I<n?gjBsZ&Y;!B7X4Gk3nt|qH!95p5C&kdr%-CemXERsS+C!I15;oBbn!g4$
z6f!}RpTz`cdc#o-vggv)hR@$Zr{fUQoVtjaP7o7&D8ZYFm`2wq)Sx&|p(ZG`ASW{!
z(&el1%!910DpnvgTZ?Hl4P8w&qX#~7`k=<P36}z-(E*AT(AWlKAsc8GJ+rtZGr5@1
zI)Q=rkvFQ3jJf1pQcDsubD%3Z5S{{cZxje-2P;(Ll(_gnsoyarCAFxSux`vY9jAhV
zf{}t}UO{OIcv9ccK*1>!!c<Uz8EdOx1ePR_p9q_-V2;&v$a(^@Ot(aGyMhV%rrV;1
zt16cuC|rY5(=&@pC<t0`uz?9f10%Tekc_1$Xu$?U31cIe=V@WOA#F{ECpucVUBMD-
z$WV~zpg|6y46&MS0+u9@q%Bbsogx=6DAD<rR-{rPFC!;7kYk|6+A5fWc!Ua0!g(2y
z;6UcVO{XAOQOY5Bf}@4$h$KNR(~XhKA$XENF`ZgvD0b7$Kz5NAt0q)(`@mPW{-_zs
zoJ$!#`!;l25Cb2qk*LAy$fb*Dm3d^Q79|!XXMnnb3W(OsP->!KrWzDap{AT7$Z}Nz
zCA%YPh-q?(`a(8vAkXj-%B`bKN92NOwCM;c;c3x8Le%86FnzS?2-?wT<iw>1>T7}G
z5RTzBIZC*XHXYITt|Cty5N?<v5*#R?sogLgZ8{<+=i$?Ij7F`oow@W;nvN(f0&LA3
z0u6$JZ^R9}3p`MR*nmq0(u@R+1}g-mLbmHFKo=Md`tWRq%-+G*FJyCtqj;p)ipwQ9
zpx8>m$jCrJBRM}uAtyC2y(GiR%s`XNDag?mBxQjr1)6?xGPF=IGXS%U%@m9cGzWc1
zV761?SqCLVhD_8Bd{)7nKY(Nv=c4@LVg)DAX7a?MO3;xC3XVmoi3-Tufd+kgDW~2%
zq%;?MLA*hHerXAD(~s1hfF*JEcTh~gQa1!hoPr)in{2l-aw+Ae7Nvt$vY?)sprKl<
zsZf#+-p>S{@;5OygKhZL<B}@Q$V>xo4+qZ-#Fyk3fcA<<8yjNV<RQVu;^yM)ikUc#
zkT;wcgH15UVgP6g-PpncWf1|@U2cHIVk1&qZi2-Cdbr%k5{tzoxg1-hVRQLfvQmo@
z7awS695i~(EU>v&oQv7b1zQ5q;u3>sF3FEiDM~Ddq!Fx!(9FeH!<SSSV>5(SE;h%S
zmPvFmRzqm!Vr)s!h*TG2GlXU?Hljj0HpgZN&0K6u4HsiGgk~<rR-}@gDzF(sGZ&ju
zBaE>bLNgbeQNzX94568eu{9A$P8HY;p_z-RQRkUsGlXU?##UXC9LCrTp_Pj*s8Q!(
zHH2m^##UXC8pamb4568eu~k<jyBM1xG;=Yw>WX9+V>5(iF2+_}k?dk@hS1E#*s3d%
zU5w2Tnz<NTbw#p^u^B=$7h|igNOmzcLulq=Y}FOXF2-gE&0LJFx+2-d*bJeSi!G^9
z=V3L3W-i87U6C5bme>rTnTxSiS0uX_n;|rFF}CW8WEW#Igk~<rR$Y<oVr+)c%*EKM
zE0SG|%@CTo7+ZBkvWu}9LNga*tFB0PF*ZYJ=3;Es70E8fW(dt(jIFvN*~Qolp^=L%
z45(1&VK;<kF2+_}kr>7n2G|UtnTxSiS0uX_n;|rFF}CW8WEW#Igk~<rR$Y<oVr+)c
z%*EKME0SG|%@CTo7+ZBkvWu}9LNga*tFB0PF*ZYJ=3;Es70E8fW(dt(jIFvN*~Qol
zp_PjbsZr-)HH2m^##UXC8pej$4568eu~k<jyBM1xG;=Yw>WX9+V>5(iF2+_}k?dk@
zhS1E#*s3d%U5w2Tnz<NTbw#p^u^B=$7h|igNOmzcLulq=Y}FOXF2-gE&0LJFx+2-d
z*bJeSi;bvJ=V3L3W-i87U6C5bM%WCYnTxSiS0uX_n;|rFF}CW8WEW#Igk~<rR$Y<o
zVr+)c%*EKME0SG|%@CTo7+ZBkvWu}9LNga*tFB0PF*ZYJ=3;Es70E8fW(dt(jIFvN
z*~Qolp_Pk`sZr-)HH2m^##UXC8pc=`jnmA<*s3d%U5w2Tnz<NTbw#p^u^B=$7h|ig
zNOmzcLulq=Y}FOXF2-gE&0LJFx+2-d*bJeWi?LN#B)b@!AvAL_w(5#x7h^MoW-i87
zU6Jf!Y=+Rv#U|9K^ROC1GZ#~%&NIPg2+drKt-2yLRhVEigk~<rR$Y<oVr+)c%*EKM
zE0SG|%@CTo7+ZBkvWu}9LNga*tFB0PF*ZYJ=3;Es70E8fW(dt(jIFvN*~Qolp_z-Z
zRaYdt7@Hxqa<M5j>O8E5(9Ffysw+~%*c6)~G;=Yw>WX9+V>5(iF2+_}k?dk@hS1E#
z*s3d%U5w2Tnz<NTbw#p^u^B=$7h|igNOmzcLulq=Y}FOXF2-gE&0LJFx+2-d*bJeW
zi?LN#B)b@!A+&O_88zxWtcK9c#n`GVQp4B`n;|rFF}CW8WEW#Igk~<rR$Y<oVr+)c
z%*EKME0SG|%@AxZzRSqf$mp9|T%4Gm3O<TO0dz-8Vo|X|Zhld!LP<tqo`Pzz0_YCD
zV(>Xg8HvRTNvWxM3MrYziAg!BDS8T_#i>OK$%%Oi$r*`x=^#y+#R|DlGjlR?GfP0{
zycDOVLd=B8z>b3{)&pG+$z*7v#g?3(o133!q`<`!3_AW!!9o{wDhrllUBtPV4YA$M
z7sYC5u4`z5e5?1spEC6ta)cR=7AyFgO`}VUTze505D|0M6wYf=OfhfWGqf@?rOve|
zhM+rFFs?;0wHW-@dW~L-qFO8fKQfQDm$?k1Yf*wwPfoPrQiB`^2fvFAbop9HW-jP3
zE5z-X#e@DNxUGy_M!xxFpsTkajz`?vtB_WdpQ})inFlHSOY-4tP@&9XVqgTnhYNI4
zQciY!dTL(0k*Tjom40$gHt0w=Z1<-cbIF5b5o(i?ic3n9;z5G>r6q>?{+NY2sCqIr
zH3!{_48NVWhmk87;&RnuluL~B^As|Wk3=uX%mdva2D*1Rvq+&R6?AoSNoHB9LP}9)
zS!Q0keoj8*mSm7Zh}VpaO=0JaiE=Sw@demH7KWg!>){R>_}b(dT5WQck!uH{HX)Ey
zaa1IRRtBJ(=2CMK3yM=y6l@hh*S8v48B^zeEn@>C*tM?6_iLG$nPJa4qxVB1U9#$i
zS|;gnNkPgaXfZUTPNW?8%ySDZ^BiR4T7$?uSi%%%hA{?RRg9Wpj6k^v`#sr)7RE+;
zMkb(q0KF~S%-CGd(gc35G0J_%M#fk#q%*cO9rPJywB<G0@`BzMh(cjcxbQmyF_R6n
zluJ#{$ji^kPp?$)O)MzL%u6R=(7+cl1Mh;HXocJXMy}OJg&anZ;x6Mr#S=yuhqHG{
zS{Y}AwRdT3X@EV32T`K{GqH|dx`~q6QEv_fUA6|5*2W&U@L~Y-JnmuCyR=7b$*FS*
zL&`W$e+AFHV$e0e`FRAwXW+}0fp<Y5YA{-IsX~I$Ehn+0BsCAzH+M=+0}Wn|1}rq&
zK`8=>D+D!QZMZbx_X~`MEi?#mQUt;l*PR@0nR$sh3Vx|2Ihn;J3eGvHiFu_31k4)v
zEI9Bkz;hSIXprJaqy&=HWwch~E=I1Uh*l$VS&F;82<qQrv=?y>B9Yi$w8S=uWNbP3
zXCqut3sxO230Qm4IU_YW8<zbEUP@hxYECSdC$wn=rI6ztCIq7qH?|{0;OYrA%P=jj
z0p0nfpitvkl9~%)!pIueqN4nwVuhOU#3E2-T&z$7x}>tSSfR!xwK%ybvjB7<JybQJ
z``s}uhPoa~!F>R_h91g6NWoYz8ma(9k>O*=jk`6ur8y;;@t{%V)V%n-)RJNaXjm9P
zX$1v?nt;S&&^T(1FGw+X2s$-S0iu{dE*vl)4}6)9c|RzmVHcE|mk6G6aZk-lElMm&
zO#!u+OA_;vQwRUl%fJWgW3+Pp6eHJGM7fSHa7W8|P*H(|3FO_;ae>jXPo&fWXA(#@
zKB(2Y36}z_+)FCW%t--tXu&INV0R-{5-@Mzi=2UX!2`5H?>HmZCgeg7N63zrc<@9E
zVGR1DhS?E>Wgd)1LqL8}$!LQ?S67!nq~1r%JI5HgHX`R8>;XHPbs#wgP7(;^fiEL{
zQR^L3E=5Sz@kq=|$pO_m&ZWgA`MC;?B_&0fNu?#Dt!+@;5{TA^Xqo2(BiCj`=D{7b
zxU-L`g0%w1n2IH?6`mxHshAJdF_nSOK3=HV$B0V~l6|oBsQgk#D;^^9&ONlebCi*5
z10wI>2-ne!0}5FrOrU_;2nl5P;@}yKT+NI~+Ns0jg60K(UmegD+d2v^!2#gy1Hqtc
zT@`{-)4|ueDg>n#<QJ6;g=HO>4NZ_AOEQxcuzJagKs2mFi-xI;Tn&t<1C^*eNW8$s
zU<?=ykw%?(hl*hpgzJMdbP0`#u19n7G|<+O8sGes(wtNUzr@^B1%(=gpb#G@8#=_R
zP@~`jzK~s^Mj<>ir6dESD784XL?Jjc9W?n>qYzM<lcSJdTB4AaSyWsCN*oGEf;owB
zvEU#dLo-)}8in8>A7^7D5No6ab9!QKYJ7fLd`@OsszQxIUTS7~MpAxh5#-Wavsi^1
z1!Dtnkk=>}LFq`>U@!|z)F}A*gBi#~jRJ}quvCo##2f{fL7-?Q5X@MU1|nsF5+W)O
zB!ZZdfTvJ2MQB_!O%N`age!zIbhWt%xOod&a-Pk|)kcGY5I%<<G<?d!fp2_amd20@
zC%h;VRGTP(w~wV3XBI<i7y@Zw;63Ds+M3kl5{1nXI^`rLXFKIrq!tsLAjF(Of#wG&
z1<or-Q)M6~fsny85<1OQ19O)`9;oQA@ysiROvxw^n$*KI66!oCMIBc{r|Uo)PRcW@
z;vr=k)a?oi3WfvVN=O{Tw*ex>v2$upjzTDC4<@cShA)5g11A)?A!b}k&|rg7u+Rg=
zErgAuUdLl1G?;3@&H`5oP@={;zcjA|R}AAZ5$ZT71#uUcgo=>iM)U}dPfE(Kh&O;v
z$Kr}#JZ`Lk)|<|bK|zrwP#=RRNN||qNHO?KGzMu`sPPF6fw&gTRRC3}AeIRkCPLf=
zG7;ihkU1a>cB3IVCYpk@gWU*mEtm^+qp7h08E!NK8v$}7#I;~9)I<eCb23ac2O9x0
z5#m}f7iOZF5g8_0fQ<l|2yrc#3o+5i#GIVCwgekd;~N^`25~N!4~c7I19D6>1Q%Lh
z6Cut;GSSeO9A6uPlVJ_4`3yA=;zk7pvP=Xg!x}diXIHpsAO<)+nwgOiGlt+~SmWjr
zfW<`e+z3vFHEu3J7$zDT7#ox0MsPB$@plS!19=vX!M-LZ7s84`aG8iqz$CC&)9|tf
z_tZ12URH1{N=+o(gn(2p@X-Nm)eF=~utqzMq8q-Z8`DH^D1jCaL7NN;uuden!YRg8
zz2Gqs>Le(IsQM^yBRHoh)Rg2GKw=l_Xi)WHVrGUb(a_M11K&o)KQV->={-6?hn$}Y
zPrns`8+h;>7{%p==**;L=4BRVq^2m8XO?6r7$~HI*V^eQ7$_uzIzGvXISS>lWp{~r
zDGG*gt{(OR1fE{+p!J3iGjgqCL|BZx%~T<%G!Jwh2+oK#!kn@&v@$j#ddkAc%tFt?
z9ApUi@Cnqdkrr5|EKE#sECYpm@GhDMk1%qr$K^ri{M>?^)RI)3J~Re7j>JhBQ_zM{
zVm5zTf>zOjeU7qQ+7!obX}AxaxD-N)67!0462V<y@L40N#hF#9DWIi?patfjeecZ1
zrUqK<1x5K;smUc#tcJR#=7z|}rKEG|I_4$jR91mz09A_>Lh~|<GjkPEb5e6t^Gb>p
z((*yu>kD!clfeQYBbf}%wb=6@YuG^t)tHzVVcFf!YGkQvXpU@E7MBs!bg;YA@{4j4
z!HYwaq2ZsRkO@A0#yKEVp*S@;KQE;iY@d+@!ah+hMgttS8KE2{u#AaoA0up~y}D|#
zx<Y2LLSBA}LS{;8Vh-3}X*v1%MFlyDd7y=>Its;^dC8#DY*H1HQ*(04GE>VTha@ED
z=anRa&KpQn$Vn_pPgTe(%}q)zQpitJC@2CQcavF`TCAs_5Rj9aSeyzzwjeVlwMZc~
zFEI%`u?jo6pc3RiSUeRgWTq)p=9emzC+2|<%t^^tKyi>BmjIg-v$3H8!t)ATJjers
znBf3AN(aA+C^k^M7=Y3MTLs$$Mg{>UE^jW)fTGlb#3E1{fJQ7hfO7KFGm{na3raF`
zGpoRH1&R-5V-riXBxQgc&!t?N0j1z#OSM=bGp{VQs3f&WqgWGkDv)Zi0_bE0(5Vv|
z#hQ?lfGjPvnDYxtjIc*74&f*^V?$j-W0WYb<5I+)z=BJ2a}$dyt+-T+1z4q+4Gj(9
z?l-dJQuWKnNcQEapwo~**$b3RQ&X^&r=Z+qXb1{P_;C@TTw+0~pkx3F$(+pO)V$(U
zD+N_ZGPW>=pLit8#R)HLF=G*Ys*!~;a+n@x<Z@RnR`ASA%P-aeozMhZZVl!*!)gPV
zD0uEgwO9eVI6E~j8Pt(aEdqH&fJKVQ$U=*=EVC>zCBGy&2b6~mjm$9J0SbBx?7~>`
zAV@V9;V2dpT@z3su~e`?l3N^?6!>%xNTHjeP+XdvoLXF*R+^Jj$pv<uC9>-jxOl*M
zCO###I5RyD(>-7tj4~Js;5IyE;wn-t4#~_-RnREbv{FbeC{?fpg&OkIx@s|w8cMZT
z!CC=Ya)a66!WpSrLI@&CY)~BYNHLpO7{DW0iHi@};Z_QmPB)U_;((sLVToJT$jT5)
z2@BE-IcLBWORyVBbFrJ58R?l@U^(f;NRo@y(8So(1dE*@DKk?;Eb$)2W@xT!ZUhQx
zct_+iBbP!*Mk*+5!DTG`xUh8C;%#sqXErl3gole97gsW9BSb-dW?l&v7vyn8>1X8U
zrt0VBWEQ0Ar=*tYrxm0p#zQMHedGczzRU=nuUAx>SF8^Sc|#OQy_DnvO#7nPL1%~=
zTY%CiJR{GCG#^-{7)`X;ic0f9*`$e)E6=qeHMtaA-&!dYfhRNLOG`3yprxaNZdzte
zssf4wk)-3nj?ybCC{fTYNFt=HAPIXL4(`U?pdCAyj<8ZdPxzn|W?*Gtpl67A>I+2N
z97k3#v@kW(GcpAoPXWyk26_etpq34Ko&Y5$(BWiYaYVK-G&40a;1XbgMLu#aZD8aQ
zajk$1;HwrZB$g<s7VGIj(u<LS8BB|j6c<|<xU9mAJ0l$~3E%uY1((!h1w#V`LsKhb
jODj`j1tSBT3E0TM47p(C<KlA7OHl|)P0lY$5nu!Wht2}u

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..3c537ef10c3f0e6cdfae3f5c41efebf61959d170
GIT binary patch
literal 9200
zcmWIWW@Zs#U|`^2_+8fJYjtOt-eE=th7=YC26hGphLq$2y^7qN-YJ3kvkgRA=TDDM
z{J6z-iW66E`=k)Y$!whtE(&Mo>9C(k63ub(nf2s)zuCHN(^fvZ_<hc2+0)^lUObEt
zd*JZO_G!+V7ps=p_^>IbyI*?V6|8pPSM2YV4Xmkq<g{lQN!Cc;?0oJlqQZT<*P+4N
zD6H+)-?s%Tw6CxKrSq}&OWLGYuQ+e;>KZBJHW(YM)@)E=-F4GX_wt53lMN9^-#YH!
zoiHtsLs)%UkE!j0p60`=*GWD2y|!@c(nsxE9z}WFH{5HT?X0U?C;k1{)IAf=7S8>f
zthBU?zlX#0>C#fQnW0-}rM-N0_Ne@4FRROsB==r0zNE*rq<W9;i7kS6xZkRszimFr
zFH+P@>5S|-7R!CxJ&&$-n&-w_t<rNPV#1rnHtnaGy60S9I_LB$NnMT(|4{3j4(yeT
zMTZp1%r}1e+0|h*C2rQ$<Z0WYA4mG!*YY`R;Nxq4mao`!zTRCvue<LHTz?71yg0jy
zVbasTkFw1_{rMoc_R*1d2^Zo_qQ734Y|{R3`i$7HFUq-1d``BT>LT?E7Uj*_wB_CO
z{s&v6*Bjq4eyjKQ<$6Abi%;Ke;n!YxZHd;0mGW;m|D|u#kJl+H`TPC<3?8<62DEsN
z`myIE0|Uc+Mg|561_p-Yob34W%&K^U_{_Zc<ovvn)QS?lij?G~{dc*V90Xe5*LGDh
zI(Nq{IO-r2{%dE)1jTC?RSI*<d6jCu`)F&uS+#m5^Ya>Gca2-pPJteUEW4Gao@R+j
ze`3M+#>gnbGu5QyQAg#=W7A&zm7KcdmTINi)-_WXEabQ`C1uIOSBE6jeDwq6KV3Pn
z)&7a!Zo`)$pZPX=ce=ejzr>`}C35yR?pkw=oBOi$66U{}!}CKXefG~g(T}R6D+NAX
z_^t4DUHC*r7H*5^KfWKsU$~#JbbiLlxMuoncBg>AEgUod<@{*vUn`C3eU3LrRabE^
zFi06OFz_OKUoSN!?P_#x@ggs=fAiumK5ds>drGY#|Kycjp)3~)+z&GOsFlA86VJ+?
zA-F-nw`lvf{rTmKo49TrICFQ`%9%IH=0D%_b>Z(4`5@QebT+ka`44A*3KxYlgm|83
zW7R(Xy@A2vz}2$7wObs!-+q^W@%SwNvUPj!Y!|=%@K$K4=&grUrL3#+8-?3WD0f^a
zoG5wgoe+miJ$nV?2bl-b3vM3yuW>&0!FlG}tW(v~ll}j4t$6okMS{ogWq~ULcXhts
zb%NXRY2sTQr-tM9rOfOnGMIj-J^Q!w=8u@?2M%oMSn+MSmsHjtM!UWJg~eXSR_k%S
zEx!=@n~QzJug1q`9iM)?T7Gfu?t*DA%DT+9Z+?2GE#mb14554Ha>X6%*~HKB9PBOl
z^EXY@Cv3ZRy{yj#u20*~eJXqZY)9#4MKjeUpX-;F?8tSEYPcm@8ggHfz3c;H+;Tp#
z=R5pf<Ot03DUf1IiMsgY>SytPf0#q|Znbu6>`CiucdUqeQImLm@lmEPCYD*P^4=^}
zUCSg^i5MOfe!IZ@!NP)vYYx4TUV2Gn_4--Yrg2@ijO%EcY3rD9z4h=hey0n}S62!r
zoEO;m>x5L_8V`$~H_cwSJ1tiC{M9PO%boGX;MKRfg<iibr*1RJn&fshVoTV@TlMy9
z*_ksJa0E=5U$^jG(0}jmjIYlm9P0bhIQ80=FAoDI-aHYiD{d+G@P?*j=rLs*YgOyu
z#<`Q`X3hl>%`-Qh^tqX|V9hPZgvYE_4s!R@8`d;;t*L)Jd;U&)Ez<+rvv_P$oa+)5
zUcbI1zDz@U)~0ouJS`?SLUlbWzqA~0Syd*GnEryV=^4-5m0$FO<$i}xNoC$WqoyX?
z)h|!}hsE699#x|NO`d%g*F28CS`leH@0?Se-Hf><ix!?-^7%qaU{%JdSt{B>%uhEf
z+8Y;`oM~P<JvTjI>-?RnZ%l8kD#=@Y>*AcdpZd0{{r~PM?RTX9!bhW(w>+C9w(D7_
zwaz=}dVIIq!C$WpayNI_x^^(vcQ$=su6dgspBR5t#G~j%$7dN<hm8BCt-o99ABU-A
zKJ>3!@N)fhXPu%wQ?|ZLKiRq0>Z9RCaW~HDD^DwaI6O<VzbrGy$D<*wP++p8s%%M#
zAgj>Ne^mtxE3WcADmgzn?cz+Eml=8UZp0c}#GOB9&=>Tyc+Tb6-wWznubmF)JRj-o
zEW2XbuO%+WPmB0mE7>ypZCSVfqD^ZK7W2#IT=>)D8YuPmdW1@+b6}8e;AA0#J@U~3
zLcKW>fr6*J;%gfHlj^4&D7q<e_efF7#R<ZbrmVAg6@7EYx;c7oGLcnkTG_K+mF~Nn
zx7kNHvg99MVfp<G&a>TYJKr5?{Z_*4d0ukzB=v<%Z4Xb@hNZqX+~y-6bz{qYQOnDl
zl<qC4REV4RkfELL&9Mu=rQ<S+V#+w)O+4&=(TD9VuY0{7v%A_%W*b3g;gI9|_B(82
zUu9gq(P?I1=cPc4BeR#x>!_XQs&&razd^@hjW&DgSI?<am$biHEB#18?9GX)#!KBU
zhjhixaOgdV>(YJ^+w*k$v18|t9W0u|rIDu?f5B;AORG~HcdL;Y7pty_S4715?8Lo2
ztzVnOu6ak?IIw$_X6KP3b9T&`mpSF5&*BB?QmQtW&txZV?rA;SBxdFvapP2W;_9B(
zwM}B%x*}Kbw{@j;O<R?{@x*=kuXW$f_3v$T-DK@*x^T<h_QoFx>m<Z>#@;&l$i8>8
z@8N)=_W>MYu`VKwmu7b|IUL^dX%Wv-?)qR|Z$qI}Wt+!;Zc6qCI`K`(bSi4yy!d@r
zt+93J;Umm*ect!Q1exgY+pXGroO?m-t<C*Bi^9~73Grr|w47g*W!Rn@7Iio0W6kDy
zE~>La=a{`;fB7HdN6l){B7u;7e?P8Y@%OzKZ^-`NeeKIQH-7oyzbRAdh|`?8llL{V
z{B^i(yXKWrQQ+piK`PhFmP(kMHp-Zi8lZpLLF06T{^^F(=M{F{Jj<=bALDl>E?D$K
zs#>(Js8-fWi$HJI&L}OXD?twzigorbc~g}4`1H?S{g*q6<~=^W^W8p?U+Y9>T`B8Y
z%&qfki^*i+%hoPmOf2W-MPEF5(pKxk6SlXnY%3dYfAaT}Sj)CCQRuCUu`cHWVNZth
zlUOcvDa{t!c(A=g-81QGS$yS*YhJb~^Y*OsyPkI1cs^U9?#nrPSDb6|{=eu^iwQ8+
zvP#V^*Gel-p0n;+U%H>@jM$}@ubUM8dR4M3xYuI+^PC<>mcwhZLk^!``FiEy%vg=>
zi{5y>dXhSKPSm_Alj+lTE2V5p+dW;Y=#{A9z9p`2wHz59B~Qv*Ve7%yJ<GyF=IOU}
zcPvdmJ4`P<XSF8j692E)D}J1b%KB$Nea8E!T*XSe-sp)-=7%QjQ)!R*V(fKhW>M1m
zdD@1P-D0w>IH&D+Rbn(n#cRsK6Vkjp`#+T~yt~VKhpC52<oBbto}V_JuDiAE$;pjt
z+`F#@{{CgWQ`ajdy5-=n57(4@UwT)E_#9qW)@S?bQ_|MO3BvJty^~6u8%s(TOrH>N
zbywA?pwhkBxwAGfzTWZJUig0OFS))Xk4ZcIe0Iet?x@-NF~9oZ8QV|iD%yQ`WD8{Z
ze|@m7Txt8_LiDl~x9i%^Wq$v1`1Yo#y;hqI3?EgvJa~1MQ+@rvXDlu93HN7Pe$F*N
zXMUV}&H3GzAFfO1@IC&GW%0|~cjvDA^MQ5Jt{-3bwcJt^y_=o?c2cJ*e`ve9oPwrc
z+3d&u)`5aXuSEK+Rx<xF7df!<|1(t+?h9P1y&cQ+%FbO|aUou>u-s+)^=WI)%g%ZK
z{nx3jF5iqTUPx|XyL0hK_VsVqzA*`fvz!xgeenO;{YXAB{a=oCSJyq-{-4+6u%Ks{
z)@k-@+Dt+>OTI`}lssF{u|D?8+?8CsJ6?&bE#NrfE&8;2SJTop;et=&9y-Ri`*$Ty
zlDO*D%zm_EvB|I7Y!&-Ae>-tz;llrHsiur4KDRS2_nB^XPv_g7d+KlPEgNU+JnP+k
z%>HM`oIi>O{-d?&EV`351KAiDc5*W?@S`+piZc=mQgg$+3vOSLy0%gH`F5S?<NGfi
zdHFQ)-qX+|^W4k7A1HYj<z#s~PP)Cs#^u(owTdm5THN37b<jWm>Bfe}#N!*9CEFxt
zBqmg>*~Of8V?)y6F4YZ*yITIsc-9r<rzQQp|9$`YpZ$Gu+FGad;~&n=Za#bPT5#z0
z|0$*xn+vzaZ#6G7>&wsip1(S(f1Yi9Ucs+3ep~lNM6a4Pdv+-2_SMgS-Tqtb|Hc2^
z-XgESDbg?EciMW}o9<OeakWfQZ~7Ujv?YR1@Bg2tPY;QHsQz_4VEfq%JvsYpj}$I$
z+Z@Eg`gz~YUcWgvC2|TAR(w^tbHi?9;imW&o@Z|pOv7GJIO=pe+a>pWnbor@&Se2p
zBb;QH370<ju}%2Jgu_kZr=MIhNMQS_*f*bz;Z>*2qfg4apJX<8l@;E)!nd$tsm0fh
zOC4Tkt?qf<)^Zl?UO6>|N251M^`l^kj&Bg#hmA$avQ9J4T)HI0)j6p#c(sv4gwKi@
zH+eT(q|a<jz125Y=X$`_Y@eyq^yJyj1TI{yR%ue@YaPKEoh@}n*&|O?CBSh(`V+x}
ziHuuaF7H~d-f?$@>ZUCkhvPFeC%w{4x~+XkbcT4eTA<`QmD-@D6pI*FL-mf;fzsPG
z97V4t{^pfkCulsO<e{lof$qxV4@yrS@!)THeYDJUQL^s(Wbf5ncN#Wt+;%soPm^ay
zp^4^{U9Y42_{@(APfuvEJ>2P`!JOxJtw7c0*%e!D6Kl3RO}{u!ZPh%qHc7SRVOBwb
zSe~=ps>|!Dd%s?-+#%cB>Dl$u@<z8s;+-6!y$t0K*4fYYK5L`1$ncep*U#91!=F_2
z4`0{(<I>PEjV)3B>#LyIMOJGrygzeLXp!$O&#3mlMN@YLuDSfM;fQ0CrOXmvzprun
zPd4au-e~BskvWv9RCTuUhqjax$KrL8Eq(Kk%xK=Pc&z4vVZQ}uY}@|JE~<xjYp`wR
z`7dF(b;eHN?k_zpySHc`wpX7yi?O^@HrqAqbV=-T;fr>X34Q0%gEX9yD<s;_Mr@k7
z;v!$O-|Zrc8!MJN@I2MAIMMF%D6C11H}AHsQa8ux4MsOPWItU?Q9iQ8_2%oxy?1O+
z1}L|?D!+c*tK-04-dpUP%@=zm>f4KosRvw5BlvgC)3)(`u<#a_&+I8e>$oyzYwl5R
zO<HIA;pJJyV^a=g3mkEHa!GMXqsOEmb*nEM9D<_U8T%gi-0r>>7G1*A?-!@km;F4E
zce(Z64L8pm-ZkZpUVHKiPfaeT{kee`sy8Og+^7FLXLsce{^i$g?nj+J{MX{{+qI_C
zKYwu0kv-!q;@zJ1!0`-Q-EszLi{#R(q!$)4(+?i?z51de&4O9o!2A0YHg&;{wXzYb
zS*5<TH|(;X+GLgKw>+Wqz)TSxVF`~7>-CszOjtx7JQV3*n5`+mDCc>wXu%<|XDTnU
zK4csU&fz`hRgmDxR+qz}@nNUPm6Ns6yW}HYi6yY7Cl&7G=s%skD=c2^yONEe)0bye
zL5qIXT`UotC#864?(~d$-FMI9r=0BmYw}||%cX$!{|Soo{;$jYIgic1`(sBV<M|7Y
zlQ#?8w5^JtwBpd_8QtuH51FLrwoJ3QwEN-yo_n<?@BAv6Ge;xmV)4?@#^(}y9WQ;a
zIKG*$Tl!-5nS-BsP8Uuy{H0aV_>gJlcFm9ftDZh|Z40&&Os(j&i<7#NGsUNqOW$}-
z-i5QTqzWb`p5(a}d%8UA(fXM-dz{v-4!f;+aJ7$~aPGmPf)d8KWQ*5p+X8Pd?NLl!
z6uH{f*UEIg@tl`C+hgCan7wiX&jt5u((mgEcRE~(+wtMg_WaZz*L%!=&hC-^!+7tJ
z_aC9h^NseM*q?gmx#d5($IEww2No54>|NiIEavdg%XOvJ$_b1KhQ@0zh09Ie@06x9
zO<0YYOLSuM`}_Zc!X`9xzpwe?sK%aO?=vy<$JgERaiWbgxa{kG+<e`$nM+ghe8{Gm
zwmmV=um0cfDS0k!=NmVXjq00EOq;sfNVoNe%${n`Z?n%H{pen~G)!&Q0}h`g$H3qA
zwZh?Z8#OO-80hjv%v>~Ojg0%DnZmRA-J91&O$`*a+)*-dPSJ;d-{=1cn-XZZUq>}-
zsm+aB_jWd)IcX&&Q~&2mrd{aDEG?Gixpfu)a^|Nm4{1&B=*$mZer|JR=$-7}-wKzl
zZasUjaP|J3nz@oIf|Hh6{$@t)QYTqIX8ORuz>vqtz#xEJ`@|QQlqTtwh4!8GJ8U4(
z^1iamvPoo88?*hZm5;7OhbkUfTlCg<bJy$MoOUxC+p-{)9c%U&e}21T-AA><Pkvr;
zHCeCk&)>iLndJPOo3Y+GbJuOyDE3>1<3_N;f7fMNUAvA|A7?C)d(^Ud#zi4L8Ec+L
z$~>8?_jKv->8g9LHVkl>5%Wev#h~kk&B1l5{H=>acO4I0mvJ<C_v)hK=O%HrIJSCt
zJlTKvjnKLej`P;e5lHzmYuc_7M@eJh&+C|`oEAzCx*5GgE6@J=Hv5<#zc=hUtuL7z
ze5mW)$tjzUuiF_f?oiZbcrJ+V@5E^(VmJP}32oIoF>~pXAB?D>Q*(NY**69ThB=H3
z48mAKCnF^%x6j}Eh=IV-_di97LpG#pZ^|l;x~A0Hw5jTY*d&wNvQm>K`INq1ZvHm$
zf5Y;b55GU#J3V#7*TW(ktOZ2Q`@eou7ix1zJgVfl^@_RY5|ee`q&c?C?NqCoZI&(;
zIw7%X*D9@Z$1<kr{{K-Uc=mSoUQySLbtlYy4@(;NO;EeAP2B#6c38ti#y!6s3YasS
zS$|IC(op2J*!iI~YJK(Pf9Ie1mwZ;<W$gW;j5F{2%9qm|<tOwkGnD8s(faWFb<`w{
z1yY(1PjaR%eb@Twc*^=c+uf|{6fF}CPlWNTbeI{#yz5<1Y3>xJ=?{d~ol0QgTKv#w
zCg0tdIh*ZKgDK;cMwu=b1A_(^0|O6oFzHp~F=}vK{~D1~5oT!N>ZG8cpkQodY6hYe
z5)BRJmuzoJpRhk%IqHpUTJPoqomPi8XeF(jSU2(3CHuXh+zfj!?^=AQPI^~>n#{~e
zb!s2@3j7W?<q6zoh?MhVx}&K+RbbAZ#d|Mh-dO6a@E|6?)Y36#|D)8$Zp#jt&+Fg0
zFHZbW@0P1uk8rHiS|k?#eO=RQ;S$xZKi$<6#NG*ixBC0xbe7-KgFdZ)SKm0GyW{ev
z@bHegIjQTa&OhE*BJ`Z;;Gei8buOL%D_XDGr0l%;O~wAsy;-mOeVyh1Ry~t@z9jsX
z;f>rdwf#TWt=}lu<DYbB<`d>i3fK2<soVJZrjpXiPdf!?b_CrQIlkolZB3oBqWV48
zOEYY)ACak%&D?pR=W*YIxmGeiX0tyy_IbbP{mh%nEBlVjkNCY|hiWsom6#%<!?({`
zYWLDFe7=(PX+iy;SJHtC<>F-TGYXf~{QMWw*!}F=!ut~yZIZZHH%r-0eXJaPR6c26
zYjxtiPx(G=hnl(hZW?r2BwwGnGk&4iGrxL~)|@$hM;El7F_$TQ9URjoyZYn}<s&|o
za>o8Pe2qDuI{c=HIRF1;w=Gy*c}`(OP36@fPtlm|A7-kq-J<<j@|cLvpX7<(_xo%2
z?3}W1{$G)>x!Uf9OW!^@ZKqlk@W<%tjOXt>B0V|&m%sfTe{!ztyOaBZcRgma{?qzs
zA&;O$@3D#*6W4CHn6^*GVBVFTnwP(}YbeV~ZNI<3HK2_BfrKwt`_7XKU6l_Rygy*o
zo@-s$wR7Y8m-U7k>Th+A+n8-Q^TISv@44D4AKCnjy8CJkD*b^jks6izBaaKEl)Zho
z(fqPvXS{a?@8|4Gb9TutkIO1v`O08Hi~j9{w}P#DyQY14(f5}r>_nfozqr!<vUltM
zsQ&q$)zy|@XvO>d=%oDa{vR<0xrbj|GS^_~40CIJ_+`bP<$E_S3HT;F|5|p>{`%^N
zS7elLm^jK>C4V~|9D4q_=fidNHte%5I$V=!dvJ1ol$>i}gGp({zE2xMLuPOP;<#er
zj|ajBSCq9e`<6V&_!E8ZeE61X(S@B8PMmnj%gT83!DQC*9rc|{a=X8E=+Be9wQo*{
zaP{7U0v%l&6qWKjr&sO2K2xOS@*1`GcaAi!yUm*NYrpHFpKI>T&x=eq{V~_>%iY;;
zOoDB@kN&AP{hYhV#dUeI<%yg3yji$!ef4FVxH9GOMiaMXIWzP3&HN^AY;*qU(_23h
z^^U!YmpQ3B?~THg8&@1|_;J43w!Qu<pF{PJf9Dd8Im(BKs;_i^F7E2IRb3{3R;;4j
zo&$9uQ8HC0RwT}zf64#GtX1u&PO!+&`}kJ(_q;Z#H`k=}rb#DGd_CcFTN(e;@Lf`K
zeoA}ui(kC>eNl94Zm;ew*-0!;TVGb1-I2ezQ!D)XW&zpj+nakcG=FJ`xc^^zf2P3G
zle-ojd{pbNl*;%?e~+uWKvd5BwaL4-d_MU==KHE{|D?soE=?|Kdv{~&vQy2y$9{OE
z1*Mtz%xb+W-TE|W!n=eitF~A_>A5GRC2A;MaIWv8YESW`ba#PD7WVY&M<$B%ZXQqW
zJ^jDlbUK^xmV-yd?(E-r@YSR(MnCdC9h}l)nl(LG%J=w0nX5&TOFw))u<rPxxZr6D
z>zrMEM3W>hO*<F<<Mr)ByHYHh)sl+}Vq_|xJ2GxO^L%NDv}QcxMy=-OY6rLO_m8;M
zYGW};Cv&=9G*8a`6W<w4{r2VUDRj(V_4`1VzN@8Y75jv#5eyG5MLuqtGx5&V2#3g?
z(%-JdGk0cOi(RlM%rmb(vO)dS^zVENgTDP*w9cV#*~g7a33Dg0ZhF$?y+uvL!R6f5
zs4bSg_g5KQm+2@?^ELcz;ISav@8-t!3znVPTgkqlG3{L9QnSZ;hZdX-P;s7@)WyEc
zPf*I@;YGRTOR5K#S=L|tS-m9D;I8@M+BX-ccbzOSVCqqgy4fSQ;<XXOvDuG$cJ=bf
z_I%mY=qz8o)OEsXyL$o8`s#hR&C*NRE>gPiqHmz2cZKH3*-x*w-m|<Ocy)dE%$^nM
zZUsuJ{i|}OvHtzDI>+f6v-pLjlcxXq@_x$xMZr~WZ+W^rv{_`P?s&H2h4Sqv&a?Ld
z`uva1w7oUQ+D~UsEMs9*?vzuL^0UJ`>;7K;dqJ>e+47y6m`{fYq_(*?G6-o&IW0Rj
zp+a~bs~@kFS7CVc`8}0_M?-G)miWj`YZVc<zx&Bi@L-~V)Uxcz#cw2?a?)0A?q57l
zTJl-toih{m%-wJ$m}7=#La=|_zNb%<B`*4&-KW(l^i!Uv!QZqb-jVU+IzC;EUH|_i
ztoY;8yPxIsj=CFSnR0J-G1p3|&u&Oq{JwbQlLOQJS~t|%Kl>Q+=t1c5(~rWoX9r*P
zv{|Gnv5I%{8IJkC4w&pNNSn*H*5tjAd8fS!*LI)W@S}E}Qp=vFMNcW<U!ScmdLVWt
zx8t`Pr=R->)oouK?tEp2(6#z6GiQ2wy%8|l8d>qs?^Tdm)AV)BUo$i#<F%*!Quuj6
zKUekHl;Wqa+~e}yJ}IwH^FCF6OJdpkl@mB+{X;$3&mUF%k<N2v)4O`1p3k?x>0Rc&
zYna5PRZ$cm&&Va5z$qKDD|fw*`;jE}CmL7h9S+v-yr}xZVv2I0?AgWu3-8|-I-Z{3
zZeD0~iu*55TL1h9a}z(BF!SdB<@*(UXI0UpWvgD6-D)p1G-q@(mN{y0{ItT|llP8z
zy`I|99d_j1W|4y8f@v#PU)M-|{yipg;wH`c3P)~im1bT(;oK>+{P|}kRtit=-^ucv
zSNp&m&A<0r9vn&L_IC+)+@SyN{)Py*g(ri9-Q=g{Jla_)#(cK4O1Z2{?&UNMDQStN
z`<#~aukg~Gpv@zC&G28|u|Ga@%!C*+TQ$3*Z7SU-*a_9e8+m#jef~BjRB6d;=Y3aZ
zFP~<6v{dm}%DL)wm8s2YJAO|)JGn->$HXT|@zsX^@pEUtP@8z=#9V>W(7;#QJTkgi
zM9SALiWijK`FVNN)jQYk&z^g^HvQhZNQ-rCab5OC8*83yo)La(ds&qA)(EyKZ+}}Y
zSY^K>WU}k0{j=U^hw#7HrmbOpYSR0oudbirD`!~!t<yy-lSjqsx7EtlCtI83)5Nk*
zDzKlv*t~U}$GUpKk7auzpRY3YpQW??&?bfFuWzgfXg<g(#;9s`V%O%Mp7I<oigaul
zzPF~{NpV%W89Tu<<)@(Mgi=p-=A}Xh*0sjA7db83!na$-b?^PUb6h*pO#L<Mw|qP$
zl2+2=HzTxLYpWisU%@kTot~tE_%)r+=iiL?I1_$k(}zDVoQ*xq`u0|8IjH@+vM<&8
zit~5vW~1mcJ6kH+H=Aeo-u<<{uw_}1o9L@9k?!=)3ye!A8h;7MIOcHQ^tP6b`Q^CC
zrRD#2b@ol#9jX<_)3!bSLV;>y?h6@PzB7_iTNYIR64aY^jeT~{is!|Za`!(k-D7Bb
zd-;8SRln@%5^4>sl7W#yXN_FfP80mOyVEQ2Cd2W?+wS>nl%3(@{&k)b<Aw`cb{6DK
z{~sGXW67-<4blC(H+;Ia;%)L|)MjOCu=vqn1_p*M1_lN`lxAgSUUCul$unoqc=wlk
z>Uj2)pVsgCe#TqR>#XltZO=1>r%&qZd+QoqF*Y;`((uzg<#Xob8ZVubXU=cl<fY?v
z?u3qasDVkSL4W~clENXj-oOfpOCA%r(FW#J8@!|Y7#JAVF`~~l7NsN>!>r{mDlCCo
zcgffYuXPMg&aBD`oQK%BI8r!T5>Bz`H0rc6FLdT%d?V1L(cC6*-C4otw!jn484Zdj
z{5@opHI55JO3q*oW_xyEilm}8b8*6{12Qv=l2cL>_<SU1B(v}Y?EkYTL=Vm33=9km
zOdx`Rfk6^E+~P9>GAfJx3rh5gQVVhtE1A(WF1+_7bt(e`gB&ZmkIPfij!tsqa#rMV
z`EJ`gE2U}Yx$uRv>oOKTe}CcKuB@Zqgj*%t?{O5rzka)Nzl$AX-V2?>V&}K*TCnJ5
z!PlmqbH)ZY%u~Ye@s`}SDNG8f{i7D3p=Pke#Vh9jQtPEslll{`U%$`4$b4GCY!T@x
zE9IXYag^WGa(3p9I@kDF`z8PFVmWsqJguPZ|7sDxipuke_uV~Qo3hF(83Mc+nM9az
zFN6Sv0wV(h!;(f23%V=<LlfGpI!Gx<)7!=ujJW66(M>_0oQ9dQr13cuk}066YILm@
zXhXmttsuOlaSu1LR`7r@x@PnqJV+zR^-CJ9gpf2t`}63!(R;WE-4Vi=x-(L8&<#NE
z`+*Dq;U$grB1i`4Rpg=TM9<zJT@Z&~lS9@C%IxTR(eoxkZ>T)7-lCL5bgdwz$hiZ=
z2jL}+d<sZf(enzrG3a>(WCX<Z?#RaIm8YZyc(byBq`4Wm8J03JFt95!Fz7M38W)!a
uxhJMqM&_F4hq?M|XQg>sR%Mt5CWV;>Tb3GzX8D>#CcA|v`V^-n+X4XAqLa@6

literal 0
HcmV?d00001

diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v
new file mode 100644
index 0000000..e4068ca
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v
@@ -0,0 +1,90 @@
+
+// file: clk_wiz_0.v
+// 
+// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+// 
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+// 
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+// 
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+// 
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+// 
+//----------------------------------------------------------------------------
+// User entered comments
+//----------------------------------------------------------------------------
+// None
+//
+//----------------------------------------------------------------------------
+//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+//----------------------------------------------------------------------------
+// clk_out1____25.000______0.000______50.0______181.828____104.359
+//
+//----------------------------------------------------------------------------
+// Input Clock   Freq (MHz)    Input Jitter (UI)
+//----------------------------------------------------------------------------
+// __primary_________100.000____________0.010
+
+`timescale 1ps/1ps
+
+(* CORE_GENERATION_INFO = "clk_wiz_0,clk_wiz_v5_4_3_0,{component_name=clk_wiz_0,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.000,clkin2_period=10.000,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}" *)
+
+module clk_wiz_0 
+ (
+  // Clock out ports
+  output        clk_out1,
+  // Status and control signals
+  output        locked,
+ // Clock in ports
+  input         clk_in1
+ );
+
+  clk_wiz_0_clk_wiz inst
+  (
+  // Clock out ports  
+  .clk_out1(clk_out1),
+  // Status and control signals               
+  .locked(locked),
+ // Clock in ports
+  .clk_in1(clk_in1)
+  );
+
+endmodule
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.vho b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.vho
new file mode 100644
index 0000000..86c38a5
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.vho
@@ -0,0 +1,92 @@
+
+-- 
+-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+-- 
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+-- 
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+-- 
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+-- 
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+-- 
+------------------------------------------------------------------------------
+-- User entered comments
+------------------------------------------------------------------------------
+-- None
+--
+------------------------------------------------------------------------------
+--  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+--   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+------------------------------------------------------------------------------
+-- clk_out1____25.000______0.000______50.0______181.828____104.359
+--
+------------------------------------------------------------------------------
+-- Input Clock   Freq (MHz)    Input Jitter (UI)
+------------------------------------------------------------------------------
+-- __primary_________100.000____________0.010
+
+
+-- The following code must appear in the VHDL architecture header:
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component clk_wiz_0
+port
+ (-- Clock in ports
+  -- Clock out ports
+  clk_out1          : out    std_logic;
+  -- Status and control signals
+  locked            : out    std_logic;
+  clk_in1           : in     std_logic
+ );
+end component;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : clk_wiz_0
+   port map ( 
+  -- Clock out ports  
+   clk_out1 => clk_out1,
+  -- Status and control signals                
+   locked => locked,
+   -- Clock in ports
+   clk_in1 => clk_in1
+ );
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
new file mode 100644
index 0000000..bb911dd
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
@@ -0,0 +1,668 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+  <spirit:vendor>xilinx.com</spirit:vendor>
+  <spirit:library>xci</spirit:library>
+  <spirit:name>unknown</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:componentInstances>
+    <spirit:componentInstance>
+      <spirit:instanceName>clk_wiz_0</spirit:instanceName>
+      <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="5.4"/>
+      <spirit:configurableElementValues>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKFB_IN_D.CAN_DEBUG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKFB_IN_D.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKFB_OUT_D.CAN_DEBUG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKFB_OUT_D.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK_IN1_D.CAN_DEBUG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK_IN1_D.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK_IN2_D.CAN_DEBUG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK_IN2_D.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_BUSIF"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_RESET"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.CLK_DOMAIN"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_BUSIF"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_RESET"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.CLK_DOMAIN"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.INTR.PortWidth">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.INTR.SENSITIVITY">LEVEL_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_BUSIF"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_RESET"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.REF_CLK.CLK_DOMAIN"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.REF_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.REF_CLK.PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_ACLK.CLK_DOMAIN"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_ACLK.PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ADDR_WIDTH">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ARUSER_WIDTH">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.AWUSER_WIDTH">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.BUSER_WIDTH">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.CLK_DOMAIN"/>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.DATA_WIDTH">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BRESP">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BURST">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_CACHE">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_LOCK">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_PROT">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_QOS">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_REGION">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_RRESP">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_WSTRB">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ID_WIDTH">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_READ_THREADS">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_WIDTH">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_WIDTH">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AUTO_PRIMITIVE">MMCM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CDDCDONE_PORT">cddcdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CDDCREQ_PORT">cddcreq</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFBOUT_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFBOUT_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT0_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT0_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT0_ACTUAL_FREQ">25.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_ACTUAL_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_DUTY_CYCLE">50.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_OUT_FREQ">25.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_OUT_FREQ">25.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_ACTUAL_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_USED">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_ACTUAL_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_USED">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_ACTUAL_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_USED">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_ACTUAL_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_USED">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_ACTUAL_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_USED">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_USED">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUTPHY_MODE">VCO</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLOCK_MGR_TYPE">NA</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DADDR_PORT">daddr</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCLK_PORT">dclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEN_PORT">den</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_PORT">din</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIVCLK">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIVIDE1_AUTO">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIVIDE2_AUTO">0.25</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIVIDE3_AUTO">0.25</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIVIDE4_AUTO">0.25</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIVIDE5_AUTO">0.25</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIVIDE6_AUTO">0.25</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIVIDE7_AUTO">0.25</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_PORT">dout</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DRDY_PORT">drdy</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DWE_PORT">dwe</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_CLKOUTPHY">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK0">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK1">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK2">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK3">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_Enable_PLL0">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_Enable_PLL1">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FILTER_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FILTER_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_CDDC">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLK_SUM_ROW0">Input Clock   Freq (MHz)    Input Jitter (UI)</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLK_SUM_ROW1">__primary_________100.000____________0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLK_SUM_ROW2">no_secondary_input_clock </spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_SELECTION">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_JITTER_SEL">No_Jitter</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOCKED_PORT">locked</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOCK_1">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOCK_2">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOCK_3">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCMBUFGCEDIV">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCMBUFGCEDIV1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCMBUFGCEDIV2">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCMBUFGCEDIV3">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCMBUFGCEDIV4">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCMBUFGCEDIV5">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCMBUFGCEDIV6">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCMBUFGCEDIV7">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_MULT_F">9.125</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_USE_FINE_PS">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DIVIDE_F">36.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_USE_FINE_PS">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_USE_FINE_PS">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_USE_FINE_PS">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_USE_FINE_PS">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_CASCADE">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_USE_FINE_PS">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_USE_FINE_PS">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_USE_FINE_PS">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLOCK_HOLD">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_REF_JITTER1">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_REF_JITTER2">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_STARTUP_WAIT">FALSE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_OUT_CLKS">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0A"> Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0B">  Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW1">clk_out1____25.000______0.000______50.0______181.828____104.359</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW2">no_CLK_OUT2_output</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW3">no_CLK_OUT3_output</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW4">no_CLK_OUT4_output</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW5">no_CLK_OUT5_output</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW6">no_CLK_OUT6_output</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW7">no_CLK_OUT7_output</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERRIDE_MMCM">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERRIDE_PLL">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PHASESHIFT_MODE">WAVEFORM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLATFORM">UNKNOWN</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLLBUFGCEDIV">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLLBUFGCEDIV1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLLBUFGCEDIV2">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLLBUFGCEDIV3">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLLBUFGCEDIV4">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKFBOUT_MULT">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKIN_PERIOD">1.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_NOTES">No notes</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_REF_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_DOWN_PORT">power_down</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_REG">0000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRECISION">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMARY_PORT">clk_in1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMITIVE">MMCM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMTYPE_SEL">AUTO</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSCLK_PORT">psclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSDONE_PORT">psdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSEN_PORT">psen</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSINCDEC_PORT">psincdec</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REF_CLK_FREQ">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RESET_LOW">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RESET_PORT">reset</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_PORT">clk_in2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SS_MODE">CENTER_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SS_MOD_PERIOD">4000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SS_MOD_TIME">0.004</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_STATUS_PORT">STATUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH">11</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH">32</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USER_CLK_FREQ0">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USER_CLK_FREQ1">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USER_CLK_FREQ2">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USER_CLK_FREQ3">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKFB_STOPPED">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKOUT1_BAR">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKOUT2_BAR">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKOUT3_BAR">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKOUT4_BAR">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLK_VALID">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLOCK_SEQUENCING">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DYN_RECONFIG">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FAST_SIMULATION">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FREEZE">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FREQ_SYNTH">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INCLK_STOPPED">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_LOCKED">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MAX_I_JITTER">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MIN_O_JITTER">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MIN_POWER">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_POWER_DOWN">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_RESET">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_STATUS">0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_component_name">clk_wiz_0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AUTO_PRIMITIVE">MMCM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_DRP">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">empty</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCDONE_PORT">cddcdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCREQ_PORT">cddcreq</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_JITTER">181.828</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR">104.359</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">25.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_USED">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ">600.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE">sys_clock</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">auto</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">clk_wiz_0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">daddr</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">dclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">den</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">din</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">dout</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">drdy</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">dwe</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CDDC">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLKOUTPHY">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLOCK_MONITOR">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK0">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK2">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK3">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL0">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_MODE">frequency</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_SELECTION">Enable_AXI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">locked</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">9.125</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">36.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASESHIFT_MODE">WAVEFORM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE_DUTY_CONFIG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">UNKNOWN</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">power_down</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRECISION">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">clk_in1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">MMCM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">mmcm_adv</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">psclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">psdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">psen</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">psincdec</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REF_CLK_FREQ">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">reset</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">ACTIVE_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">clk_in2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_TIME">0.004</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ0">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ1">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ2">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ3">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_SEQUENCING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD">em.avnet.com:zed:part0:1.3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z020</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">clg484</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2017.4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
+      </spirit:configurableElementValues>
+      <spirit:vendorExtensions>
+        <xilinx:componentInstanceExtensions>
+          <xilinx:configElementInfos>
+            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_JITTER" xilinx:valueSource="user"/>
+            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR" xilinx:valueSource="user"/>
+            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ" xilinx:valueSource="user"/>
+            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" xilinx:valueSource="user"/>
+            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" xilinx:valueSource="user"/>
+            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" xilinx:valueSource="user"/>
+            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE" xilinx:valueSource="user"/>
+            <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.USE_RESET" xilinx:valueSource="user"/>
+          </xilinx:configElementInfos>
+        </xilinx:componentInstanceExtensions>
+      </spirit:vendorExtensions>
+    </spirit:componentInstance>
+  </spirit:componentInstances>
+</spirit:design>
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
new file mode 100644
index 0000000..a5da024
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
@@ -0,0 +1,60 @@
+
+# file: clk_wiz_0.xdc
+# 
+# (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+# 
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+# 
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+# 
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+# 
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# 
+
+# Input clock periods. These duplicate the values entered for the
+# input clocks. You can use these to time your system. If required
+# commented constraints can be used in the top level xdc 
+#----------------------------------------------------------------
+# Connect to input port when clock capable pin is selected for input
+create_clock -period 10.000 [get_ports clk_in1]
+set_input_jitter [get_clocks -of_objects [get_ports clk_in1]] 0.1
+
+
+set_property PHASESHIFT_MODE WAVEFORM [get_cells -hierarchical *adv*]
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xml b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xml
new file mode 100644
index 0000000..0a6c224
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xml
@@ -0,0 +1,4792 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+  <spirit:vendor>xilinx.com</spirit:vendor>
+  <spirit:library>customized_ip</spirit:library>
+  <spirit:name>clk_wiz_0</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>s_axi_lite</spirit:name>
+      <spirit:displayName>S_AXI_LITE</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARADDR</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_araddr</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_arready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_arvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWADDR</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awaddr</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BRESP</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bresp</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RDATA</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RRESP</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rresp</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WDATA</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WSTRB</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wstrb</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>DATA_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.DATA_WIDTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PROTOCOL</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.PROTOCOL">AXI4LITE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ID_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ID_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ADDR_WIDTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AWUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.AWUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ARUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ARUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>WUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.BUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>READ_WRITE_MODE</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.READ_WRITE_MODE">READ_WRITE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_BURST</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BURST">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_LOCK</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_LOCK">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_PROT</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_PROT">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_CACHE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_CACHE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_QOS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_QOS">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_REGION</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_REGION">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_WSTRB</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_WSTRB">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_BRESP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BRESP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_RRESP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_RRESP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SUPPORTS_NARROW_BURST</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.SUPPORTS_NARROW_BURST">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_READ_OUTSTANDING</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_READ_OUTSTANDING">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_WRITE_OUTSTANDING">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>MAX_BURST_LENGTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.MAX_BURST_LENGTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.PHASE">0.000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_READ_THREADS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_READ_THREADS">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_WRITE_THREADS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_WRITE_THREADS">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RUSER_BITS_PER_BYTE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_BITS_PER_BYTE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>WUSER_BITS_PER_BYTE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_BITS_PER_BYTE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_lite" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>s_axi_aclk</spirit:name>
+      <spirit:displayName>s_axi_aclk</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_aclk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_BUSIF">s_axi_lite</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_RESET">aresetn</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.PHASE">0.000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_aclk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>ref_clk</spirit:name>
+      <spirit:displayName>ref_clk</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>ref_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.PHASE">0.000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.ref_clk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>s_axi_resetn</spirit:name>
+      <spirit:displayName>S_AXI_RESETN</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_aresetn</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.ASSOCIATED_RESET">aresetn</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.POLARITY">ACTIVE_LOW</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_resetn" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>intr</spirit:name>
+      <spirit:displayName>Intr</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>INTERRUPT</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>ip2intc_irpt</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>SENSITIVITY</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.INTR.SENSITIVITY">LEVEL_HIGH</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PortWidth</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.INTR.PortWidth">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.intr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLK_IN1_D</spirit:name>
+      <spirit:displayName>CLK_IN1_D</spirit:displayName>
+      <spirit:description>Differential Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.BOARD.ASSOCIATED_PARAM">CLK_IN1_BOARD_INTERFACE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:enablement>
+                <xilinx:presence>required</xilinx:presence>
+              </xilinx:enablement>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLK_IN1_D" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLK_IN2_D</spirit:name>
+      <spirit:displayName>CLK_IN2_D</spirit:displayName>
+      <spirit:description>Differential Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in2_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in2_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.BOARD.ASSOCIATED_PARAM">CLK_IN2_BOARD_INTERFACE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:enablement>
+                <xilinx:presence>required</xilinx:presence>
+              </xilinx:enablement>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLK_IN2_D" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLKFB_IN_D</spirit:name>
+      <spirit:displayName>CLKFB_IN_D</spirit:displayName>
+      <spirit:description>Differential Feedback Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_in_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_in_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_IN_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_IN_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLKFB_IN_D" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLKFB_OUT_D</spirit:name>
+      <spirit:displayName>CLKFB_OUT_D</spirit:displayName>
+      <spirit:description>Differential Feeback Clock Output</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_out_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_out_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_OUT_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_OUT_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLKFB_OUT_D" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:displayName>reset</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESET.POLARITY">ACTIVE_HIGH</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESET.BOARD.ASSOCIATED_PARAM">RESET_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.reset" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=0) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>resetn</spirit:name>
+      <spirit:displayName>resetn</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>resetn</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESETN.POLARITY">ACTIVE_LOW</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESETN.BOARD.ASSOCIATED_PARAM">RESET_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.resetn" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=1) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clock_CLK_IN1</spirit:name>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_IN1</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.PHASE">0.000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.BOARD.ASSOCIATED_PARAM">CLK_IN1_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clock_CLK_OUT1</spirit:name>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_OUT1</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_out1</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.PHASE">0.000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>xilinx_elaborateports</spirit:name>
+        <spirit:displayName>Elaborate Ports</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:elaborate.ports</spirit:envIdentifier>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:4956c922</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_vhdlinstantiationtemplate</spirit:name>
+        <spirit:displayName>VHDL Instantiation Template</spirit:displayName>
+        <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis.template</spirit:envIdentifier>
+        <spirit:language>vhdl</spirit:language>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_vhdlinstantiationtemplate_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Dec 10 13:54:27 UTC 2018</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:3d2f61df</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesynthesis</spirit:name>
+        <spirit:displayName>Synthesis</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Dec 10 13:54:31 UTC 2018</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:3d2f61df</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesynthesiswrapper</spirit:name>
+        <spirit:displayName>Synthesis Wrapper</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesynthesiswrapper_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Dec 10 13:54:31 UTC 2018</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:3d2f61df</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name>
+        <spirit:displayName>Simulation</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Dec 10 13:54:31 UTC 2018</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:0d7c963c</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesimulationwrapper</spirit:name>
+        <spirit:displayName>Simulation Wrapper</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesimulationwrapper_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Dec 10 13:54:31 UTC 2018</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:0d7c963c</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_implementation</spirit:name>
+        <spirit:displayName>Implementation</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:implementation</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_implementation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Dec 10 13:54:32 UTC 2018</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:3d2f61df</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_versioninformation</spirit:name>
+        <spirit:displayName>Version Information</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:docs.versioninfo</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_versioninformation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Dec 10 13:54:32 UTC 2018</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:3d2f61df</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_externalfiles</spirit:name>
+        <spirit:displayName>External Files</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Dec 10 13:55:56 UTC 2018</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>7:3d2f61df</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>s_axi_aclk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aclk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_aresetn</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aresetn" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awaddr</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH&apos;)) - 1)">10</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awaddr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wdata" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wstrb</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) div 8) - 1)">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wstrb" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bresp</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">1</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bresp" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_araddr</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH&apos;)) - 1)">10</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_araddr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_arvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_arready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rdata" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rresp</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">1</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rresp" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1_p" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1_n" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in2_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2_p" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in2_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2_n" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_in_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in_p" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_in_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in_n" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_out_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out_p" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_out_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out_n" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.reset" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=0) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>resetn</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.resetn" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=1) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>ref_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.ref_clk" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_stop</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_stop" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_glitch</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_glitch" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>interrupt</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.interrupt" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_oor</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_oor" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk0</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk0" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK0&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_Enable_PLL0&apos;))=0)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk1" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK1&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_Enable_PLL1&apos;))=0)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk2</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk2" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK2&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk3</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk3" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK3&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_out1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>locked</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+    <spirit:modelParameters>
+      <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT2_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_USED" spirit:order="194">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ0</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ0" spirit:order="1194">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="string">
+        <spirit:name>C_AUTO_PRIMITIVE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AUTO_PRIMITIVE" spirit:order="1195">MMCM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ1</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ1" spirit:order="1195">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ2</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ2" spirit:order="1196">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ3</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ3" spirit:order="1197">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_CLOCK_MONITOR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR" spirit:order="1200">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK0</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK0" spirit:order="1201">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK1</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK1" spirit:order="1202">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK2</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK2" spirit:order="1203">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK3</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK3" spirit:order="1204">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_Enable_PLL0</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_Enable_PLL0" spirit:order="1205">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_Enable_PLL1</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_Enable_PLL1" spirit:order="1206">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_REF_CLK_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_REF_CLK_FREQ" spirit:order="1209">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PRECISION</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRECISION" spirit:order="1209">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT3_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_USED" spirit:order="195">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT4_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_USED" spirit:order="196">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT5_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_USED" spirit:order="197">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT6_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_USED" spirit:order="198">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT7_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_USED" spirit:order="199">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT1_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT1_BAR" spirit:order="200">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT2_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT2_BAR" spirit:order="201">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT3_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT3_BAR" spirit:order="202">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT4_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT4_BAR" spirit:order="203">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>c_component_name</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.c_component_name">clk_wiz_0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLATFORM</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLATFORM" spirit:order="204">UNKNOWN</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FREQ_SYNTH</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FREQ_SYNTH" spirit:order="205">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_PHASE_ALIGNMENT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT" spirit:order="206">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_JITTER" spirit:order="207">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_JITTER" spirit:order="208">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_JITTER_SEL</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_JITTER_SEL" spirit:order="209">No_Jitter</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MIN_POWER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MIN_POWER" spirit:order="210">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MIN_O_JITTER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MIN_O_JITTER" spirit:order="211">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MAX_I_JITTER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MAX_I_JITTER" spirit:order="212">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_DYN_PHASE_SHIFT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT" spirit:order="213">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_INCLK_SWITCHOVER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER" spirit:order="214">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_DYN_RECONFIG</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DYN_RECONFIG" spirit:order="215">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_SPREAD_SPECTRUM</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM" spirit:order="216">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FAST_SIMULATION</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FAST_SIMULATION" spirit:order="217">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMTYPE_SEL</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMTYPE_SEL" spirit:order="218">AUTO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLK_VALID</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLK_VALID" spirit:order="219">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_FREQ" spirit:order="220">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_TIMEPERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_TIMEPERIOD" spirit:order="220.001">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_IN_FREQ_UNITS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_IN_FREQ_UNITS" spirit:order="221">Units_MHz</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_FREQ" spirit:order="222">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_TIMEPERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_TIMEPERIOD" spirit:order="222.001">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FEEDBACK_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FEEDBACK_SOURCE" spirit:order="223">FDBK_AUTO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_SOURCE" spirit:order="224">Single_ended_clock_capable_pin</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PHASESHIFT_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PHASESHIFT_MODE" spirit:order="2240">WAVEFORM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_SOURCE" spirit:order="225">Single_ended_clock_capable_pin</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_SIGNALING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING" spirit:order="226">SINGLE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_RESET</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_RESET" spirit:order="227">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_RESET_LOW</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_RESET_LOW" spirit:order="408">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_LOCKED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_LOCKED" spirit:order="228">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_INCLK_STOPPED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_INCLK_STOPPED" spirit:order="229">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKFB_STOPPED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKFB_STOPPED" spirit:order="230">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_POWER_DOWN</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_POWER_DOWN" spirit:order="231">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_STATUS</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_STATUS" spirit:order="232">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FREEZE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FREEZE" spirit:order="233">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_NUM_OUT_CLKS</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_NUM_OUT_CLKS" spirit:order="234">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_DRIVES" spirit:order="235">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_DRIVES" spirit:order="236">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_DRIVES" spirit:order="237">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_DRIVES" spirit:order="238">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_DRIVES" spirit:order="239">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_DRIVES" spirit:order="240">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_DRIVES" spirit:order="241">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW0</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW0" spirit:order="242">Input Clock   Freq (MHz)    Input Jitter (UI)</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW1" spirit:order="243">__primary_________100.000____________0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW2" spirit:order="244">no_secondary_input_clock </spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW0A</spirit:name>
+        <spirit:displayName>C Outclk Sum Row0a</spirit:displayName>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0A" spirit:order="245"> Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW0B</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0B" spirit:order="246">  Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW1" spirit:order="247">clk_out1____25.000______0.000______50.0______181.828____104.359</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW2" spirit:order="248">no_CLK_OUT2_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW3" spirit:order="249">no_CLK_OUT3_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW4" spirit:order="250">no_CLK_OUT4_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW5</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW5" spirit:order="251">no_CLK_OUT5_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW6</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW6" spirit:order="252">no_CLK_OUT6_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW7</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW7" spirit:order="253">no_CLK_OUT7_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_OUT_FREQ" spirit:order="254">25.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_OUT_FREQ" spirit:order="255">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_OUT_FREQ" spirit:order="256">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_OUT_FREQ" spirit:order="257">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_OUT_FREQ" spirit:order="258">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_OUT_FREQ" spirit:order="259">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_OUT_FREQ" spirit:order="260">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_PHASE" spirit:order="261">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_PHASE" spirit:order="262">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_PHASE" spirit:order="263">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_PHASE" spirit:order="264">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_PHASE" spirit:order="265">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_PHASE" spirit:order="266">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_PHASE" spirit:order="267">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_DUTY_CYCLE" spirit:order="268">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_DUTY_CYCLE" spirit:order="269">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_DUTY_CYCLE" spirit:order="270">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_DUTY_CYCLE" spirit:order="271">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_DUTY_CYCLE" spirit:order="272">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_DUTY_CYCLE" spirit:order="273">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_DUTY_CYCLE" spirit:order="274">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_OUT_FREQ" spirit:order="275">25.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_OUT_FREQ" spirit:order="276">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_OUT_FREQ" spirit:order="277">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_OUT_FREQ" spirit:order="278">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_OUT_FREQ" spirit:order="279">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_OUT_FREQ" spirit:order="280">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_OUT_FREQ" spirit:order="281">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_PHASE" spirit:order="282">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_PHASE" spirit:order="283">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_PHASE" spirit:order="284">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_PHASE" spirit:order="285">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_PHASE" spirit:order="286">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_PHASE" spirit:order="287">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_PHASE" spirit:order="288">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_DUTY_CYCLE" spirit:order="289">50.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_DUTY_CYCLE" spirit:order="290">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_DUTY_CYCLE" spirit:order="291">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_DUTY_CYCLE" spirit:order="292">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_DUTY_CYCLE" spirit:order="293">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_DUTY_CYCLE" spirit:order="294">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_DUTY_CYCLE" spirit:order="295">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_SAFE_CLOCK_STARTUP</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP" spirit:order="500">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLOCK_SEQUENCING</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLOCK_SEQUENCING" spirit:order="501">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT1_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_SEQUENCE_NUMBER" spirit:order="502">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT2_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_SEQUENCE_NUMBER" spirit:order="503">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT3_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_SEQUENCE_NUMBER" spirit:order="504">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT4_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_SEQUENCE_NUMBER" spirit:order="505">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT5_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_SEQUENCE_NUMBER" spirit:order="506">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT6_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_SEQUENCE_NUMBER" spirit:order="507">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT7_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_SEQUENCE_NUMBER" spirit:order="508">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_NOTES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_NOTES" spirit:order="296">None</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_BANDWIDTH</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_BANDWIDTH" spirit:order="297">OPTIMIZED</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_MULT_F</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_MULT_F" spirit:order="298">9.125</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKIN1_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKIN1_PERIOD" spirit:order="299">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKIN2_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKIN2_PERIOD" spirit:order="300">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_CASCADE</spirit:name>
+        <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_CASCADE" spirit:order="301">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLOCK_HOLD</spirit:name>
+        <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLOCK_HOLD" spirit:order="302">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_COMPENSATION</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_COMPENSATION" spirit:order="303">ZHOLD</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_MMCM_DIVCLK_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_DIVCLK_DIVIDE" spirit:order="304">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_REF_JITTER1</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_REF_JITTER1" spirit:order="305">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_REF_JITTER2</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_REF_JITTER2" spirit:order="306">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_STARTUP_WAIT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_STARTUP_WAIT" spirit:order="307">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_DIVIDE_F</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DIVIDE_F" spirit:order="308">36.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DIVIDE" spirit:order="309">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DIVIDE" spirit:order="310">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DIVIDE" spirit:order="311">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DIVIDE" spirit:order="312">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DIVIDE" spirit:order="313">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DIVIDE" spirit:order="314">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DUTY_CYCLE" spirit:order="315">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DUTY_CYCLE" spirit:order="316">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DUTY_CYCLE" spirit:order="317">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DUTY_CYCLE" spirit:order="318">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DUTY_CYCLE" spirit:order="319">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DUTY_CYCLE" spirit:order="320">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DUTY_CYCLE" spirit:order="321">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_PHASE" spirit:order="322">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_PHASE" spirit:order="323">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_PHASE" spirit:order="324">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_PHASE" spirit:order="325">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_PHASE" spirit:order="326">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_PHASE" spirit:order="327">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_PHASE" spirit:order="328">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_PHASE" spirit:order="329">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_USE_FINE_PS" spirit:order="330">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_USE_FINE_PS" spirit:order="331">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_USE_FINE_PS" spirit:order="332">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_USE_FINE_PS" spirit:order="333">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_USE_FINE_PS" spirit:order="334">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_USE_FINE_PS" spirit:order="335">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_USE_FINE_PS" spirit:order="336">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_USE_FINE_PS" spirit:order="337">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_NOTES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_NOTES" spirit:order="338">No notes</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_BANDWIDTH</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_BANDWIDTH" spirit:order="339">OPTIMIZED</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLK_FEEDBACK</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLK_FEEDBACK" spirit:order="340">CLKFBOUT</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKFBOUT_MULT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKFBOUT_MULT" spirit:order="341">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKIN_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKIN_PERIOD" spirit:order="342">1.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_COMPENSATION</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_COMPENSATION" spirit:order="343">SYSTEM_SYNCHRONOUS</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_DIVCLK_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_DIVCLK_DIVIDE" spirit:order="344">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_REF_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_REF_JITTER" spirit:order="345">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT0_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_DIVIDE" spirit:order="346">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT1_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_DIVIDE" spirit:order="347">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT2_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_DIVIDE" spirit:order="348">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT3_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_DIVIDE" spirit:order="349">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT4_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_DIVIDE" spirit:order="350">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT5_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_DIVIDE" spirit:order="351">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT0_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_DUTY_CYCLE" spirit:order="352">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_DUTY_CYCLE" spirit:order="353">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_DUTY_CYCLE" spirit:order="354">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_DUTY_CYCLE" spirit:order="355">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_DUTY_CYCLE" spirit:order="356">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_DUTY_CYCLE" spirit:order="357">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKFBOUT_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKFBOUT_PHASE" spirit:order="358">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT0_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_PHASE" spirit:order="359">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_PHASE" spirit:order="360">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_PHASE" spirit:order="361">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_PHASE" spirit:order="362">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_PHASE" spirit:order="363">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_PHASE" spirit:order="364">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLOCK_MGR_TYPE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLOCK_MGR_TYPE" spirit:order="365">NA</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_OVERRIDE_MMCM</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OVERRIDE_MMCM" spirit:order="366">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_OVERRIDE_PLL</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OVERRIDE_PLL" spirit:order="367">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMARY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMARY_PORT" spirit:order="368">clk_in1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_PORT" spirit:order="369">clk_in2</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT1_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT1_PORT" spirit:order="370">clk_out1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT2_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT2_PORT" spirit:order="371">clk_out2</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT3_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT3_PORT" spirit:order="372">clk_out3</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT4_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT4_PORT" spirit:order="373">clk_out4</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT5_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT5_PORT" spirit:order="374">clk_out5</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT6_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT6_PORT" spirit:order="375">clk_out6</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT7_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT7_PORT" spirit:order="376">clk_out7</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_RESET_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_RESET_PORT" spirit:order="377">reset</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCKED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCKED_PORT" spirit:order="378">locked</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_PORT" spirit:order="379">clkfb_in</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_P_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_P_PORT" spirit:order="380">clkfb_in_p</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_N_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_N_PORT" spirit:order="381">clkfb_in_n</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_PORT" spirit:order="382">clkfb_out</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_P_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_P_PORT" spirit:order="383">clkfb_out_p</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_N_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_N_PORT" spirit:order="384">clkfb_out_n</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_POWER_DOWN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_POWER_DOWN_PORT" spirit:order="385">power_down</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DADDR_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DADDR_PORT" spirit:order="386">daddr</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DCLK_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DCLK_PORT" spirit:order="387">dclk</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DRDY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DRDY_PORT" spirit:order="388">drdy</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DWE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DWE_PORT" spirit:order="389">dwe</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIN_PORT" spirit:order="390">din</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DOUT_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DOUT_PORT" spirit:order="391">dout</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DEN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DEN_PORT" spirit:order="392">den</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSCLK_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSCLK_PORT" spirit:order="393">psclk</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSEN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSEN_PORT" spirit:order="394">psen</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSINCDEC_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSINCDEC_PORT" spirit:order="395">psincdec</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSDONE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSDONE_PORT" spirit:order="396">psdone</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_VALID_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_VALID_PORT" spirit:order="397">CLK_VALID</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_STATUS_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_STATUS_PORT" spirit:order="398">STATUS</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_IN_SEL_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_IN_SEL_PORT" spirit:order="399">clk_in_sel</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INPUT_CLK_STOPPED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INPUT_CLK_STOPPED_PORT" spirit:order="400">input_clk_stopped</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_STOPPED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_STOPPED_PORT" spirit:order="401">clkfb_stopped</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKIN1_JITTER_PS</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKIN1_JITTER_PS" spirit:order="402">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKIN2_JITTER_PS</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKIN2_JITTER_PS" spirit:order="403">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMITIVE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMITIVE" spirit:order="404">MMCM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SS_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MODE" spirit:order="405">CENTER_HIGH</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_SS_MOD_PERIOD</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MOD_PERIOD" spirit:order="406">4000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SS_MOD_TIME</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MOD_TIME" spirit:order="406.001">0.004</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_HAS_CDDC</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_HAS_CDDC" spirit:order="407">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CDDCDONE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CDDCDONE_PORT" spirit:order="408">cddcdone</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CDDCREQ_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CDDCREQ_PORT" spirit:order="409">cddcreq</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUTPHY_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUTPHY_MODE" spirit:order="410">VCO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_CLKOUTPHY</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_CLKOUTPHY" spirit:order="411">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_INTERFACE_SELECTION</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INTERFACE_SELECTION" spirit:order="412">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_S_AXI_ADDR_WIDTH</spirit:name>
+        <spirit:displayName>C S Axi Addr Width</spirit:displayName>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH" spirit:order="215" spirit:minimum="2" spirit:maximum="32" spirit:rangeType="long">11</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_S_AXI_DATA_WIDTH</spirit:name>
+        <spirit:displayName>C S Axi Data Width</spirit:displayName>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH" spirit:order="216" spirit:minimum="32" spirit:maximum="128" spirit:rangeType="long">32</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_POWER_REG</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_POWER_REG" spirit:order="409">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_2" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFBOUT_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFBOUT_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFBOUT_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFBOUT_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVCLK</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVCLK" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_3" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FILTER_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FILTER_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FILTER_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FILTER_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE1_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE1_AUTO" spirit:order="411">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE2_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE2_AUTO" spirit:order="411">0.25</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE3_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE3_AUTO" spirit:order="411">0.25</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE4_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE4_AUTO" spirit:order="411">0.25</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE5_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE5_AUTO" spirit:order="411">0.25</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE6_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE6_AUTO" spirit:order="411">0.25</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE7_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE7_AUTO" spirit:order="411">0.25</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV1" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV2" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV3" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV4" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV1" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV2" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV3" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV4" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV5</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV5" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV6</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV6" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV7</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV7" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_ACTUAL_FREQ" spirit:order="711">25.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_ACTUAL_FREQ" spirit:order="712">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_ACTUAL_FREQ" spirit:order="713">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_ACTUAL_FREQ" spirit:order="714">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_ACTUAL_FREQ" spirit:order="715">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_ACTUAL_FREQ" spirit:order="716">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_ACTUAL_FREQ" spirit:order="717">100.000</spirit:value>
+      </spirit:modelParameter>
+    </spirit:modelParameters>
+  </spirit:model>
+  <spirit:choices>
+    <spirit:choice>
+      <spirit:name>choice_list_1d3de01d</spirit:name>
+      <spirit:enumeration>WAVEFORM</spirit:enumeration>
+      <spirit:enumeration>LATENCY</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_876bfc32</spirit:name>
+      <spirit:enumeration>UI</spirit:enumeration>
+      <spirit:enumeration>PS</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_a9bdfce0</spirit:name>
+      <spirit:enumeration>LOW</spirit:enumeration>
+      <spirit:enumeration>HIGH</spirit:enumeration>
+      <spirit:enumeration>OPTIMIZED</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_ac75ef1e</spirit:name>
+      <spirit:enumeration>Custom</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_b9d38208</spirit:name>
+      <spirit:enumeration>CLKFBOUT</spirit:enumeration>
+      <spirit:enumeration>CLKOUT0</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_e099fe6c</spirit:name>
+      <spirit:enumeration>MMCM</spirit:enumeration>
+      <spirit:enumeration>PLL</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_035ca1c3</spirit:name>
+      <spirit:enumeration spirit:text="SYSTEM SYNCHRONOUS">SYSTEM_SYNCHRONOUS</spirit:enumeration>
+      <spirit:enumeration spirit:text="SOURCE SYNCHRONOUS">SOURCE_SYNCHRONOUS</spirit:enumeration>
+      <spirit:enumeration spirit:text="INTERNAL">INTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="EXTERNAL">EXTERNAL</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_0920eb1b</spirit:name>
+      <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys diff clock">sys_diff_clock</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_11d71346</spirit:name>
+      <spirit:enumeration spirit:text="Single ended clock capable pin">Single_ended_clock_capable_pin</spirit:enumeration>
+      <spirit:enumeration spirit:text="Differential clock capable pin">Differential_clock_capable_pin</spirit:enumeration>
+      <spirit:enumeration spirit:text="Global buffer">Global_buffer</spirit:enumeration>
+      <spirit:enumeration spirit:text="No buffer">No_buffer</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_15c806d5</spirit:name>
+      <spirit:enumeration spirit:text="Automatic Control On-Chip">FDBK_AUTO</spirit:enumeration>
+      <spirit:enumeration spirit:text="Automatic Control Off-Chip">FDBK_AUTO_OFFCHIP</spirit:enumeration>
+      <spirit:enumeration spirit:text="User-Controlled On-Chip">FDBK_ONCHIP</spirit:enumeration>
+      <spirit:enumeration spirit:text="User-Controlled Off-Chip">FDBK_OFFCHIP</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_3c2d3ec7</spirit:name>
+      <spirit:enumeration spirit:text="Single-ended">SINGLE</spirit:enumeration>
+      <spirit:enumeration spirit:text="Differential">DIFF</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_502d9f23</spirit:name>
+      <spirit:enumeration spirit:text="ZHOLD">ZHOLD</spirit:enumeration>
+      <spirit:enumeration spirit:text="EXTERNAL">EXTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="INTERNAL">INTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUF IN">BUF_IN</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_66e4c81f</spirit:name>
+      <spirit:enumeration spirit:text="BUFG">BUFG</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFH">BUFH</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFGCE">BUFGCE</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFHCE">BUFHCE</spirit:enumeration>
+      <spirit:enumeration spirit:text="No buffer">No_buffer</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_77d3d587</spirit:name>
+      <spirit:enumeration spirit:text="MMCM">MMCM</spirit:enumeration>
+      <spirit:enumeration spirit:text="PLL">PLL</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFGCE DIV">BUFGCE_DIV</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_8b28f1f7</spirit:name>
+      <spirit:enumeration spirit:text="AXI4Lite">Enable_AXI</spirit:enumeration>
+      <spirit:enumeration spirit:text="DRP">Enable_DRP</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_8eea9b32</spirit:name>
+      <spirit:enumeration spirit:text="Units MHz">Units_MHz</spirit:enumeration>
+      <spirit:enumeration spirit:text="Units ns">Units_ns</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_a4fbc00c</spirit:name>
+      <spirit:enumeration spirit:text="Active High">ACTIVE_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="Active Low">ACTIVE_LOW</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_a8642b4c</spirit:name>
+      <spirit:enumeration spirit:text="Balanced">No_Jitter</spirit:enumeration>
+      <spirit:enumeration spirit:text="Minimize Output Jitter">Min_O_Jitter</spirit:enumeration>
+      <spirit:enumeration spirit:text="Maximize Input Jitter filtering">Max_I_Jitter</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_c5ef7212</spirit:name>
+      <spirit:enumeration spirit:text="Units UI">Units_UI</spirit:enumeration>
+      <spirit:enumeration spirit:text="Units ps">Units_ps</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_c6542ce1</spirit:name>
+      <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys clock">sys_clock</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_e1c87518</spirit:name>
+      <spirit:enumeration spirit:text="Primary Clock">REL_PRIMARY</spirit:enumeration>
+      <spirit:enumeration spirit:text="Secondary Clock">REL_SECONDARY</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_f4e10086</spirit:name>
+      <spirit:enumeration spirit:text="CENTER HIGH">CENTER_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="CENTER LOW">CENTER_LOW</spirit:enumeration>
+      <spirit:enumeration spirit:text="DOWN HIGH">DOWN_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="DOWN LOW">DOWN_LOW</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_f669c2f5</spirit:name>
+      <spirit:enumeration spirit:text="Frequency">frequency</spirit:enumeration>
+      <spirit:enumeration spirit:text="Time">Time</spirit:enumeration>
+    </spirit:choice>
+  </spirit:choices>
+  <spirit:fileSets>
+    <spirit:fileSet>
+      <spirit:name>xilinx_vhdlinstantiationtemplate_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.vho</spirit:name>
+        <spirit:userFileType>vhdlTemplate</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:define>
+          <spirit:name>processing_order</spirit:name>
+          <spirit:value>early</spirit:value>
+        </spirit:define>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_ooc.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:userFileType>USED_IN_implementation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType>
+        <spirit:userFileType>USED_IN_synthesis</spirit:userFileType>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_clk_wiz.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesynthesiswrapper_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v5_4_3</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_clk_wiz.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesimulationwrapper_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_implementation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_board.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:userFileType>USED_IN_board</spirit:userFileType>
+        <spirit:userFileType>USED_IN_implementation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_synthesis</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_versioninformation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>doc/clk_wiz_v5_4_changelog.txt</spirit:name>
+        <spirit:userFileType>text</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_externalfiles_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.dcp</spirit:name>
+        <spirit:userFileType>dcp</spirit:userFileType>
+        <spirit:userFileType>USED_IN_implementation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_synthesis</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_stub.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_stub.vhdl</spirit:name>
+        <spirit:fileType>vhdlSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_sim_netlist.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_simulation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_single_language</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_sim_netlist.vhdl</spirit:name>
+        <spirit:fileType>vhdlSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_simulation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_single_language</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+    </spirit:fileSet>
+  </spirit:fileSets>
+  <spirit:description>The Clocking Wizard creates an HDL file (Verilog or VHDL) that contains a clocking circuit customized to the user&apos;s clocking requirements.</spirit:description>
+  <spirit:parameters>
+    <spirit:parameter>
+      <spirit:name>Component_Name</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">clk_wiz_0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ0</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ0" spirit:order="15200">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ1</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ1" spirit:order="15200">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ2</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ2" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ3</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ3" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CLOCK_MONITOR</spirit:name>
+      <spirit:displayName>Enable Clock Monitoring</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CLOCK_MONITOR" spirit:order="10.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK0</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK0" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK1</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK1" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK2</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK2" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK3</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK3" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>Enable_PLL0</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.Enable_PLL0" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>Enable_PLL1</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.Enable_PLL1" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>REF_CLK_FREQ</spirit:name>
+      <spirit:displayName>Reference Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.REF_CLK_FREQ" spirit:order="15300" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRECISION</spirit:name>
+      <spirit:displayName>Tolerance(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRECISION" spirit:order="15400" spirit:minimum="1" spirit:maximum="100">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMITIVE</spirit:name>
+      <spirit:displayName>Primitive</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMITIVE" spirit:choiceRef="choice_list_e099fe6c" spirit:order="2">MMCM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMTYPE_SEL</spirit:name>
+      <spirit:displayName>Primtype Sel</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMTYPE_SEL" spirit:order="3">mmcm_adv</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLOCK_MGR_TYPE</spirit:name>
+      <spirit:displayName>Clock Mgr Type</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLOCK_MGR_TYPE" spirit:order="410">auto</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_FREQ_SYNTH</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_FREQ_SYNTH" spirit:order="6" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_SPREAD_SPECTRUM</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_SPREAD_SPECTRUM" spirit:order="7" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_PHASE_ALIGNMENT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_PHASE_ALIGNMENT" spirit:order="8" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MIN_POWER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MIN_POWER" spirit:order="9" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_DYN_PHASE_SHIFT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_DYN_PHASE_SHIFT" spirit:order="10" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_DYN_RECONFIG</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_DYN_RECONFIG" spirit:order="11" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>JITTER_SEL</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.JITTER_SEL" spirit:choiceRef="choice_pairs_a8642b4c" spirit:order="13" spirit:configGroups="0 NoDisplay">No_Jitter</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_FREQ" spirit:order="14.401" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_TIMEPERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_TIMEPERIOD" spirit:order="14.9" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>IN_FREQ_UNITS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.IN_FREQ_UNITS" spirit:choiceRef="choice_pairs_8eea9b32" spirit:order="15" spirit:configGroups="0 NoDisplay">Units_MHz</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PHASESHIFT_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PHASESHIFT_MODE" spirit:choiceRef="choice_list_1d3de01d" spirit:order="116" spirit:configGroups="0 NoDisplay">WAVEFORM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>IN_JITTER_UNITS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.IN_JITTER_UNITS" spirit:choiceRef="choice_pairs_c5ef7212" spirit:order="16" spirit:configGroups="0 NoDisplay">Units_UI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RELATIVE_INCLK</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RELATIVE_INCLK" spirit:choiceRef="choice_pairs_e1c87518" spirit:order="17" spirit:configGroups="0 NoDisplay">REL_PRIMARY</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_INCLK_SWITCHOVER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_INCLK_SWITCHOVER" spirit:order="13.9" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_FREQ" spirit:order="21.3" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_TIMEPERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD" spirit:order="21.299" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_PORT" spirit:order="20" spirit:configGroups="0 NoDisplay">clk_in2</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_SOURCE" spirit:choiceRef="choice_pairs_11d71346" spirit:order="21" spirit:configGroups="0 NoDisplay">Single_ended_clock_capable_pin</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>JITTER_OPTIONS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.JITTER_OPTIONS" spirit:choiceRef="choice_list_876bfc32" spirit:order="22" spirit:configGroups="0 NoDisplay">UI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN1_UI_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN1_UI_JITTER" spirit:order="23" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN2_UI_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN2_UI_JITTER" spirit:order="24" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_JITTER" spirit:order="25" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_JITTER" spirit:order="26" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN1_JITTER_PS</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN1_JITTER_PS" spirit:order="27" spirit:configGroups="0 NoDisplay">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN2_JITTER_PS</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN2_JITTER_PS" spirit:order="28" spirit:configGroups="0 NoDisplay">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_USED" spirit:order="4" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_USED" spirit:order="29" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_USED" spirit:order="30" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_USED" spirit:order="31" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_USED" spirit:order="32" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_USED" spirit:order="33" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_USED" spirit:order="34" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>NUM_OUT_CLKS</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_OUT_CLKS" spirit:order="407" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT1_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI" spirit:order="36" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT2_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI" spirit:order="37" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT3_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI" spirit:order="38" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT4_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI" spirit:order="39" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT5_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI" spirit:order="40" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT6_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI" spirit:order="41" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT7_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI" spirit:order="42" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMARY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMARY_PORT" spirit:order="43" spirit:configGroups="0 NoDisplay">clk_in1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT1_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT1_PORT" spirit:order="44" spirit:configGroups="0 NoDisplay">clk_out1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT2_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT2_PORT" spirit:order="45" spirit:configGroups="0 NoDisplay">clk_out2</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT3_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT3_PORT" spirit:order="46" spirit:configGroups="0 NoDisplay">clk_out3</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT4_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT4_PORT" spirit:order="47" spirit:configGroups="0 NoDisplay">clk_out4</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT5_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT5_PORT" spirit:order="48" spirit:configGroups="0 NoDisplay">clk_out5</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT6_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT6_PORT" spirit:order="49" spirit:configGroups="0 NoDisplay">clk_out6</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT7_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT7_PORT" spirit:order="50" spirit:configGroups="0 NoDisplay">clk_out7</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DADDR_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DADDR_PORT" spirit:order="51" spirit:configGroups="0 NoDisplay">daddr</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DCLK_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DCLK_PORT" spirit:order="52" spirit:configGroups="0 NoDisplay">dclk</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DRDY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DRDY_PORT" spirit:order="53" spirit:configGroups="0 NoDisplay">drdy</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DWE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DWE_PORT" spirit:order="54" spirit:configGroups="0 NoDisplay">dwe</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIN_PORT" spirit:order="55" spirit:configGroups="0 NoDisplay">din</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DOUT_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DOUT_PORT" spirit:order="56" spirit:configGroups="0 NoDisplay">dout</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DEN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DEN_PORT" spirit:order="57" spirit:configGroups="0 NoDisplay">den</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSCLK_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSCLK_PORT" spirit:order="58" spirit:configGroups="0 NoDisplay">psclk</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSEN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSEN_PORT" spirit:order="59" spirit:configGroups="0 NoDisplay">psen</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSINCDEC_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSINCDEC_PORT" spirit:order="60" spirit:configGroups="0 NoDisplay">psincdec</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSDONE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSDONE_PORT" spirit:order="61" spirit:configGroups="0 NoDisplay">psdone</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ" spirit:order="62" spirit:configGroups="0 NoDisplay">25.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE" spirit:order="63" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE" spirit:order="64" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ" spirit:order="65" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE" spirit:order="66" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE" spirit:order="67" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ" spirit:order="68" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE" spirit:order="69" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE" spirit:order="70" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ" spirit:order="71" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE" spirit:order="72" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE" spirit:order="73" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ" spirit:order="74" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE" spirit:order="75" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE" spirit:order="76" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ" spirit:order="77" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE" spirit:order="78" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE" spirit:order="79" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ" spirit:order="80" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE" spirit:order="81" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE" spirit:order="82" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MAX_I_JITTER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MAX_I_JITTER" spirit:order="83" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MIN_O_JITTER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MIN_O_JITTER" spirit:order="84" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING" spirit:order="984" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING" spirit:order="985" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING" spirit:order="986" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING" spirit:order="987" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING" spirit:order="988" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING" spirit:order="989" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING" spirit:order="990" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_SOURCE" spirit:choiceRef="choice_pairs_11d71346" spirit:order="14.1" spirit:configGroups="0 NoDisplay">Single_ended_clock_capable_pin</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="86" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="87" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="88" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="89" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="90" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="91" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="92" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>FEEDBACK_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.FEEDBACK_SOURCE" spirit:choiceRef="choice_pairs_15c806d5" spirit:order="93" spirit:configGroups="0 NoDisplay">FDBK_AUTO</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_SIGNALING</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_SIGNALING" spirit:choiceRef="choice_pairs_3c2d3ec7" spirit:order="94" spirit:configGroups="0 NoDisplay">SINGLE</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_PORT" spirit:order="95" spirit:configGroups="0 NoDisplay">clkfb_in</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_P_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_P_PORT" spirit:order="96" spirit:configGroups="0 NoDisplay">clkfb_in_p</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_N_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_N_PORT" spirit:order="97" spirit:configGroups="0 NoDisplay">clkfb_in_n</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_PORT" spirit:order="98" spirit:configGroups="0 NoDisplay">clkfb_out</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_P_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_P_PORT" spirit:order="99" spirit:configGroups="0 NoDisplay">clkfb_out_p</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_N_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_N_PORT" spirit:order="100" spirit:configGroups="0 NoDisplay">clkfb_out_n</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLATFORM</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLATFORM" spirit:order="101" spirit:configGroups="0 NoDisplay">UNKNOWN</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SUMMARY_STRINGS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SUMMARY_STRINGS" spirit:order="102" spirit:configGroups="0 NoDisplay">empty</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_LOCKED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_LOCKED" spirit:order="103" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CALC_DONE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CALC_DONE" spirit:order="104" spirit:configGroups="0 NoDisplay">empty</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_RESET</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_RESET" spirit:order="105" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_POWER_DOWN</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_POWER_DOWN" spirit:order="106" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_STATUS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_STATUS" spirit:order="107" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_FREEZE</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_FREEZE" spirit:order="108" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLK_VALID</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLK_VALID" spirit:order="109" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_INCLK_STOPPED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_INCLK_STOPPED" spirit:order="110" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLKFB_STOPPED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLKFB_STOPPED" spirit:order="111" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_PORT" spirit:order="409" spirit:configGroups="0 NoDisplay">reset</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>LOCKED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.LOCKED_PORT" spirit:order="113" spirit:configGroups="0 NoDisplay">locked</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>POWER_DOWN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.POWER_DOWN_PORT" spirit:order="114" spirit:configGroups="0 NoDisplay">power_down</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_VALID_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_VALID_PORT" spirit:order="115" spirit:configGroups="0 NoDisplay">CLK_VALID</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>STATUS_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.STATUS_PORT" spirit:order="116" spirit:configGroups="0 NoDisplay">STATUS</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN_SEL_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN_SEL_PORT" spirit:order="117" spirit:configGroups="0 NoDisplay">clk_in_sel</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INPUT_CLK_STOPPED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INPUT_CLK_STOPPED_PORT" spirit:order="118" spirit:configGroups="0 NoDisplay">input_clk_stopped</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_STOPPED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_STOPPED_PORT" spirit:order="119" spirit:configGroups="0 NoDisplay">clkfb_stopped</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MODE" spirit:choiceRef="choice_pairs_f4e10086" spirit:order="120" spirit:configGroups="0 NoDisplay">CENTER_HIGH</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MOD_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MOD_FREQ" spirit:order="121" spirit:configGroups="0 NoDisplay">250</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MOD_TIME</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MOD_TIME" spirit:order="121.001" spirit:configGroups="0 NoDisplay">0.004</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>OVERRIDE_MMCM</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OVERRIDE_MMCM" spirit:order="122" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_NOTES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_NOTES" spirit:order="123" spirit:configGroups="0 NoDisplay">None</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_DIVCLK_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_DIVCLK_DIVIDE" spirit:order="124" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="106" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_BANDWIDTH</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_BANDWIDTH" spirit:choiceRef="choice_list_a9bdfce0" spirit:order="125" spirit:configGroups="0 NoDisplay">OPTIMIZED</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_MULT_F</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" spirit:order="126" spirit:configGroups="0 NoDisplay">9.125</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_PHASE" spirit:order="127" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS" spirit:order="128" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKIN1_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKIN1_PERIOD" spirit:order="129" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKIN2_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKIN2_PERIOD" spirit:order="130" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_CASCADE</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_CASCADE" spirit:order="131" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLOCK_HOLD</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLOCK_HOLD" spirit:order="132" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_COMPENSATION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_COMPENSATION" spirit:choiceRef="choice_pairs_502d9f23" spirit:order="133" spirit:configGroups="0 NoDisplay">ZHOLD</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_REF_JITTER1</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_REF_JITTER1" spirit:order="134" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_REF_JITTER2</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_REF_JITTER2" spirit:order="135" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_STARTUP_WAIT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_STARTUP_WAIT" spirit:order="136" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_DIVIDE_F</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" spirit:order="137" spirit:configGroups="0 NoDisplay">36.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE" spirit:order="138" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_PHASE" spirit:order="139" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS" spirit:order="140" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE" spirit:order="141" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE" spirit:order="142" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_PHASE" spirit:order="143" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS" spirit:order="144" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE" spirit:order="145" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE" spirit:order="146" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_PHASE" spirit:order="147" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS" spirit:order="148" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE" spirit:order="149" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE" spirit:order="150" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_PHASE" spirit:order="151" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS" spirit:order="152" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE" spirit:order="153" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE" spirit:order="154" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_PHASE" spirit:order="155" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS" spirit:order="156" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE" spirit:order="157" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE" spirit:order="158" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_PHASE" spirit:order="159" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS" spirit:order="160" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE" spirit:order="161" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE" spirit:order="162" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_PHASE" spirit:order="163" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS" spirit:order="164" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>OVERRIDE_PLL</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OVERRIDE_PLL" spirit:order="165" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_NOTES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_NOTES" spirit:order="166" spirit:configGroups="0 NoDisplay">None</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_BANDWIDTH</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_BANDWIDTH" spirit:choiceRef="choice_list_a9bdfce0" spirit:order="167" spirit:configGroups="0 NoDisplay">OPTIMIZED</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKFBOUT_MULT</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKFBOUT_MULT" spirit:order="168" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="64" spirit:rangeType="long">4</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKFBOUT_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKFBOUT_PHASE" spirit:order="169" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLK_FEEDBACK</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLK_FEEDBACK" spirit:choiceRef="choice_list_b9d38208" spirit:order="170" spirit:configGroups="0 NoDisplay">CLKFBOUT</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_DIVCLK_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_DIVCLK_DIVIDE" spirit:order="171" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="52" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKIN_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKIN_PERIOD" spirit:order="172" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_COMPENSATION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_COMPENSATION" spirit:choiceRef="choice_pairs_035ca1c3" spirit:order="173" spirit:configGroups="0 NoDisplay">SYSTEM_SYNCHRONOUS</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_REF_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_REF_JITTER" spirit:order="174" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_DIVIDE" spirit:order="175" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE" spirit:order="176" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_PHASE" spirit:order="177" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_DIVIDE" spirit:order="178" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE" spirit:order="179" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_PHASE" spirit:order="180" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_DIVIDE" spirit:order="181" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE" spirit:order="182" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_PHASE" spirit:order="183" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_DIVIDE" spirit:order="184" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE" spirit:order="185" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_PHASE" spirit:order="186" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_DIVIDE" spirit:order="187" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE" spirit:order="188" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_PHASE" spirit:order="189" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_DIVIDE" spirit:order="190" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE" spirit:order="191" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_PHASE" spirit:order="192" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_TYPE</spirit:name>
+      <spirit:displayName>Reset Type</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_TYPE" spirit:choiceRef="choice_pairs_a4fbc00c" spirit:order="408" spirit:configGroups="0 NoDisplay">ACTIVE_HIGH</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_SAFE_CLOCK_STARTUP</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP" spirit:order="85.5" spirit:configGroups="0; NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLOCK_SEQUENCING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLOCK_SEQUENCING" spirit:order="501" spirit:configGroups="0; NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER" spirit:order="502" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER" spirit:order="503" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER" spirit:order="504" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER" spirit:order="505" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER" spirit:order="506" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER" spirit:order="507" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER" spirit:order="508" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_BOARD_FLOW</spirit:name>
+      <spirit:displayName>Generate Board based IO Constraints</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_BOARD_FLOW" spirit:order="1.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN1_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_c6542ce1" spirit:order="13.8">sys_clock</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN2_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_c6542ce1" spirit:order="13.9">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIFF_CLK_IN1_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.1">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIFF_CLK_IN2_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.2">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>AUTO_PRIMITIVE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.AUTO_PRIMITIVE" spirit:choiceRef="choice_pairs_77d3d587" spirit:order="13212">MMCM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_BOARD_INTERFACE" spirit:choiceRef="choice_list_ac75ef1e" spirit:order="21.4">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CDDC</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CDDC" spirit:order="509">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CDDCDONE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CDDCDONE_PORT" spirit:order="510" spirit:configGroups="0 NoDisplay">cddcdone</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CDDCREQ_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CDDCREQ_PORT" spirit:order="511" spirit:configGroups="0 NoDisplay">cddcreq</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CLKOUTPHY</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CLKOUTPHY" spirit:order="123.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUTPHY_REQUESTED_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ" spirit:order="123.2" spirit:configGroups="0 NoDisplay">600.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_JITTER</spirit:name>
+      <spirit:displayName>Clkout1 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_JITTER" spirit:order="1000">181.828</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout1 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_PHASE_ERROR" spirit:order="1001">104.359</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_JITTER</spirit:name>
+      <spirit:displayName>Clkout2 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_JITTER" spirit:order="1002">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout2 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_PHASE_ERROR" spirit:order="1003">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_JITTER</spirit:name>
+      <spirit:displayName>Clkout3 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_JITTER" spirit:order="1004">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout3 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_PHASE_ERROR" spirit:order="1005">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_JITTER</spirit:name>
+      <spirit:displayName>Clkout4 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_JITTER" spirit:order="1006">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout4 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_PHASE_ERROR" spirit:order="1007">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_JITTER</spirit:name>
+      <spirit:displayName>Clkout5 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_JITTER" spirit:order="1008">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout5 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_PHASE_ERROR" spirit:order="1009">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_JITTER</spirit:name>
+      <spirit:displayName>Clkout6 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_JITTER" spirit:order="1010">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout6 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_PHASE_ERROR" spirit:order="1011">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_JITTER</spirit:name>
+      <spirit:displayName>Clkout7 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_JITTER" spirit:order="1012">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout7 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_PHASE_ERROR" spirit:order="1013">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INPUT_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INPUT_MODE" spirit:choiceRef="choice_pairs_f669c2f5" spirit:order="7.8">frequency</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INTERFACE_SELECTION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INTERFACE_SELECTION" spirit:choiceRef="choice_pairs_8b28f1f7" spirit:order="11.1">Enable_AXI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>AXI_DRP</spirit:name>
+      <spirit:displayName>Write DRP registers</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.AXI_DRP" spirit:order="11.12">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PHASE_DUTY_CONFIG</spirit:name>
+      <spirit:displayName>Phase Duty Cycle Config</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.PHASE_DUTY_CONFIG" spirit:order="11.2">false</spirit:value>
+    </spirit:parameter>
+  </spirit:parameters>
+  <spirit:vendorExtensions>
+    <xilinx:coreExtensions>
+      <xilinx:displayName>Clocking Wizard</xilinx:displayName>
+      <xilinx:xpmLibraries>
+        <xilinx:xpmLibrary>XPM_CDC</xilinx:xpmLibrary>
+      </xilinx:xpmLibraries>
+      <xilinx:coreRevision>3</xilinx:coreRevision>
+      <xilinx:configElementInfos>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_JITTER" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.USE_RESET" xilinx:valueSource="user"/>
+      </xilinx:configElementInfos>
+    </xilinx:coreExtensions>
+    <xilinx:packagingInfo>
+      <xilinx:xilinxVersion>2017.4</xilinx:xilinxVersion>
+      <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="47eccc34"/>
+      <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="0eced063"/>
+      <xilinx:checksum xilinx:scope="ports" xilinx:value="4f3d3737"/>
+      <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="0f2f17dd"/>
+      <xilinx:checksum xilinx:scope="parameters" xilinx:value="488ef609"/>
+    </xilinx:packagingInfo>
+  </spirit:vendorExtensions>
+</spirit:component>
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
new file mode 100644
index 0000000..6c74a3a
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
@@ -0,0 +1,3 @@
+#--------------------Physical Constraints-----------------
+
+set_property BOARD_PIN {sys_clk} [get_ports clk_in1]
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v
new file mode 100644
index 0000000..ba800e7
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v
@@ -0,0 +1,201 @@
+
+// file: clk_wiz_0.v
+// 
+// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+// 
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+// 
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+// 
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+// 
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+// 
+//----------------------------------------------------------------------------
+// User entered comments
+//----------------------------------------------------------------------------
+// None
+//
+//----------------------------------------------------------------------------
+//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+//----------------------------------------------------------------------------
+// clk_out1____25.000______0.000______50.0______181.828____104.359
+//
+//----------------------------------------------------------------------------
+// Input Clock   Freq (MHz)    Input Jitter (UI)
+//----------------------------------------------------------------------------
+// __primary_________100.000____________0.010
+
+`timescale 1ps/1ps
+
+module clk_wiz_0_clk_wiz 
+
+ (// Clock in ports
+  // Clock out ports
+  output        clk_out1,
+  // Status and control signals
+  output        locked,
+  input         clk_in1
+ );
+  // Input buffering
+  //------------------------------------
+wire clk_in1_clk_wiz_0;
+wire clk_in2_clk_wiz_0;
+  IBUF clkin1_ibufg
+   (.O (clk_in1_clk_wiz_0),
+    .I (clk_in1));
+
+
+
+
+  // Clocking PRIMITIVE
+  //------------------------------------
+
+  // Instantiation of the MMCM PRIMITIVE
+  //    * Unused inputs are tied off
+  //    * Unused outputs are labeled unused
+
+  wire        clk_out1_clk_wiz_0;
+  wire        clk_out2_clk_wiz_0;
+  wire        clk_out3_clk_wiz_0;
+  wire        clk_out4_clk_wiz_0;
+  wire        clk_out5_clk_wiz_0;
+  wire        clk_out6_clk_wiz_0;
+  wire        clk_out7_clk_wiz_0;
+
+  wire [15:0] do_unused;
+  wire        drdy_unused;
+  wire        psdone_unused;
+  wire        locked_int;
+  wire        clkfbout_clk_wiz_0;
+  wire        clkfbout_buf_clk_wiz_0;
+  wire        clkfboutb_unused;
+    wire clkout0b_unused;
+   wire clkout1_unused;
+   wire clkout1b_unused;
+   wire clkout2_unused;
+   wire clkout2b_unused;
+   wire clkout3_unused;
+   wire clkout3b_unused;
+   wire clkout4_unused;
+  wire        clkout5_unused;
+  wire        clkout6_unused;
+  wire        clkfbstopped_unused;
+  wire        clkinstopped_unused;
+
+  MMCME2_ADV
+  #(.BANDWIDTH            ("OPTIMIZED"),
+    .CLKOUT4_CASCADE      ("FALSE"),
+    .COMPENSATION         ("ZHOLD"),
+    .STARTUP_WAIT         ("FALSE"),
+    .DIVCLK_DIVIDE        (1),
+    .CLKFBOUT_MULT_F      (9.125),
+    .CLKFBOUT_PHASE       (0.000),
+    .CLKFBOUT_USE_FINE_PS ("FALSE"),
+    .CLKOUT0_DIVIDE_F     (36.500),
+    .CLKOUT0_PHASE        (0.000),
+    .CLKOUT0_DUTY_CYCLE   (0.500),
+    .CLKOUT0_USE_FINE_PS  ("FALSE"),
+    .CLKIN1_PERIOD        (10.000))
+  mmcm_adv_inst
+    // Output clocks
+   (
+    .CLKFBOUT            (clkfbout_clk_wiz_0),
+    .CLKFBOUTB           (clkfboutb_unused),
+    .CLKOUT0             (clk_out1_clk_wiz_0),
+    .CLKOUT0B            (clkout0b_unused),
+    .CLKOUT1             (clkout1_unused),
+    .CLKOUT1B            (clkout1b_unused),
+    .CLKOUT2             (clkout2_unused),
+    .CLKOUT2B            (clkout2b_unused),
+    .CLKOUT3             (clkout3_unused),
+    .CLKOUT3B            (clkout3b_unused),
+    .CLKOUT4             (clkout4_unused),
+    .CLKOUT5             (clkout5_unused),
+    .CLKOUT6             (clkout6_unused),
+     // Input clock control
+    .CLKFBIN             (clkfbout_buf_clk_wiz_0),
+    .CLKIN1              (clk_in1_clk_wiz_0),
+    .CLKIN2              (1'b0),
+     // Tied to always select the primary input clock
+    .CLKINSEL            (1'b1),
+    // Ports for dynamic reconfiguration
+    .DADDR               (7'h0),
+    .DCLK                (1'b0),
+    .DEN                 (1'b0),
+    .DI                  (16'h0),
+    .DO                  (do_unused),
+    .DRDY                (drdy_unused),
+    .DWE                 (1'b0),
+    // Ports for dynamic phase shift
+    .PSCLK               (1'b0),
+    .PSEN                (1'b0),
+    .PSINCDEC            (1'b0),
+    .PSDONE              (psdone_unused),
+    // Other control and status signals
+    .LOCKED              (locked_int),
+    .CLKINSTOPPED        (clkinstopped_unused),
+    .CLKFBSTOPPED        (clkfbstopped_unused),
+    .PWRDWN              (1'b0),
+    .RST                 (1'b0));
+
+  assign locked = locked_int;
+// Clock Monitor clock assigning
+//--------------------------------------
+ // Output buffering
+  //-----------------------------------
+
+  BUFG clkf_buf
+   (.O (clkfbout_buf_clk_wiz_0),
+    .I (clkfbout_clk_wiz_0));
+
+
+
+
+
+
+  BUFG clkout1_buf
+   (.O   (clk_out1),
+    .I   (clk_out1_clk_wiz_0));
+
+
+
+
+endmodule
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
new file mode 100644
index 0000000..9305712
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
@@ -0,0 +1,58 @@
+
+# file: clk_wiz_0_ooc.xdc
+# 
+# (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+# 
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+# 
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+# 
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+# 
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# 
+
+#################
+#DEFAULT CLOCK CONSTRAINTS
+
+############################################################
+# Clock Period Constraints                                 #
+############################################################
+#create_clock -period 10.000 [get_ports clk_in1]
+
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
new file mode 100644
index 0000000..36b6183
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
@@ -0,0 +1,238 @@
+// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+// Date        : Mon Dec 10 14:55:55 2018
+// Host        : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+// Command     : write_verilog -force -mode funcsim
+//               /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
+// Design      : clk_wiz_0
+// Purpose     : This verilog netlist is a functional simulation representation of the design and should not be modified
+//               or synthesized. This netlist cannot be used for SDF annotated simulation.
+// Device      : xc7z020clg484-1
+// --------------------------------------------------------------------------------
+`timescale 1 ps / 1 ps
+
+(* NotValidForBitStream *)
+module clk_wiz_0
+   (clk_out1,
+    locked,
+    clk_in1);
+  output clk_out1;
+  output locked;
+  input clk_in1;
+
+  (* IBUF_LOW_PWR *) wire clk_in1;
+  wire clk_out1;
+  wire locked;
+
+  clk_wiz_0_clk_wiz_0_clk_wiz inst
+       (.clk_in1(clk_in1),
+        .clk_out1(clk_out1),
+        .locked(locked));
+endmodule
+
+(* ORIG_REF_NAME = "clk_wiz_0_clk_wiz" *) 
+module clk_wiz_0_clk_wiz_0_clk_wiz
+   (clk_out1,
+    locked,
+    clk_in1);
+  output clk_out1;
+  output locked;
+  input clk_in1;
+
+  wire clk_in1;
+  wire clk_in1_clk_wiz_0;
+  wire clk_out1;
+  wire clk_out1_clk_wiz_0;
+  wire clkfbout_buf_clk_wiz_0;
+  wire clkfbout_clk_wiz_0;
+  wire locked;
+  wire NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_DRDY_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_PSDONE_UNCONNECTED;
+  wire [15:0]NLW_mmcm_adv_inst_DO_UNCONNECTED;
+
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkf_buf
+       (.I(clkfbout_clk_wiz_0),
+        .O(clkfbout_buf_clk_wiz_0));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  (* CAPACITANCE = "DONT_CARE" *) 
+  (* IBUF_DELAY_VALUE = "0" *) 
+  (* IFD_DELAY_VALUE = "AUTO" *) 
+  IBUF #(
+    .IOSTANDARD("DEFAULT")) 
+    clkin1_ibufg
+       (.I(clk_in1),
+        .O(clk_in1_clk_wiz_0));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkout1_buf
+       (.I(clk_out1_clk_wiz_0),
+        .O(clk_out1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  MMCME2_ADV #(
+    .BANDWIDTH("OPTIMIZED"),
+    .CLKFBOUT_MULT_F(9.125000),
+    .CLKFBOUT_PHASE(0.000000),
+    .CLKFBOUT_USE_FINE_PS("FALSE"),
+    .CLKIN1_PERIOD(10.000000),
+    .CLKIN2_PERIOD(0.000000),
+    .CLKOUT0_DIVIDE_F(36.500000),
+    .CLKOUT0_DUTY_CYCLE(0.500000),
+    .CLKOUT0_PHASE(0.000000),
+    .CLKOUT0_USE_FINE_PS("FALSE"),
+    .CLKOUT1_DIVIDE(1),
+    .CLKOUT1_DUTY_CYCLE(0.500000),
+    .CLKOUT1_PHASE(0.000000),
+    .CLKOUT1_USE_FINE_PS("FALSE"),
+    .CLKOUT2_DIVIDE(1),
+    .CLKOUT2_DUTY_CYCLE(0.500000),
+    .CLKOUT2_PHASE(0.000000),
+    .CLKOUT2_USE_FINE_PS("FALSE"),
+    .CLKOUT3_DIVIDE(1),
+    .CLKOUT3_DUTY_CYCLE(0.500000),
+    .CLKOUT3_PHASE(0.000000),
+    .CLKOUT3_USE_FINE_PS("FALSE"),
+    .CLKOUT4_CASCADE("FALSE"),
+    .CLKOUT4_DIVIDE(1),
+    .CLKOUT4_DUTY_CYCLE(0.500000),
+    .CLKOUT4_PHASE(0.000000),
+    .CLKOUT4_USE_FINE_PS("FALSE"),
+    .CLKOUT5_DIVIDE(1),
+    .CLKOUT5_DUTY_CYCLE(0.500000),
+    .CLKOUT5_PHASE(0.000000),
+    .CLKOUT5_USE_FINE_PS("FALSE"),
+    .CLKOUT6_DIVIDE(1),
+    .CLKOUT6_DUTY_CYCLE(0.500000),
+    .CLKOUT6_PHASE(0.000000),
+    .CLKOUT6_USE_FINE_PS("FALSE"),
+    .COMPENSATION("ZHOLD"),
+    .DIVCLK_DIVIDE(1),
+    .IS_CLKINSEL_INVERTED(1'b0),
+    .IS_PSEN_INVERTED(1'b0),
+    .IS_PSINCDEC_INVERTED(1'b0),
+    .IS_PWRDWN_INVERTED(1'b0),
+    .IS_RST_INVERTED(1'b0),
+    .REF_JITTER1(0.010000),
+    .REF_JITTER2(0.010000),
+    .SS_EN("FALSE"),
+    .SS_MODE("CENTER_HIGH"),
+    .SS_MOD_PERIOD(10000),
+    .STARTUP_WAIT("FALSE")) 
+    mmcm_adv_inst
+       (.CLKFBIN(clkfbout_buf_clk_wiz_0),
+        .CLKFBOUT(clkfbout_clk_wiz_0),
+        .CLKFBOUTB(NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED),
+        .CLKFBSTOPPED(NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED),
+        .CLKIN1(clk_in1_clk_wiz_0),
+        .CLKIN2(1'b0),
+        .CLKINSEL(1'b1),
+        .CLKINSTOPPED(NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED),
+        .CLKOUT0(clk_out1_clk_wiz_0),
+        .CLKOUT0B(NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED),
+        .CLKOUT1(NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED),
+        .CLKOUT1B(NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED),
+        .CLKOUT2(NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED),
+        .CLKOUT2B(NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED),
+        .CLKOUT3(NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED),
+        .CLKOUT3B(NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED),
+        .CLKOUT4(NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED),
+        .CLKOUT5(NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED),
+        .CLKOUT6(NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED),
+        .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DCLK(1'b0),
+        .DEN(1'b0),
+        .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DO(NLW_mmcm_adv_inst_DO_UNCONNECTED[15:0]),
+        .DRDY(NLW_mmcm_adv_inst_DRDY_UNCONNECTED),
+        .DWE(1'b0),
+        .LOCKED(locked),
+        .PSCLK(1'b0),
+        .PSDONE(NLW_mmcm_adv_inst_PSDONE_UNCONNECTED),
+        .PSEN(1'b0),
+        .PSINCDEC(1'b0),
+        .PWRDWN(1'b0),
+        .RST(1'b0));
+endmodule
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
new file mode 100644
index 0000000..b341e6e
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
@@ -0,0 +1,187 @@
+-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+-- Date        : Mon Dec 10 14:55:55 2018
+-- Host        : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+-- Command     : write_vhdl -force -mode funcsim
+--               /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+-- Design      : clk_wiz_0
+-- Purpose     : This VHDL netlist is a functional simulation representation of the design and should not be modified or
+--               synthesized. This netlist cannot be used for SDF annotated simulation.
+-- Device      : xc7z020clg484-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity clk_wiz_0_clk_wiz_0_clk_wiz is
+  port (
+    clk_out1 : out STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+  attribute ORIG_REF_NAME : string;
+  attribute ORIG_REF_NAME of clk_wiz_0_clk_wiz_0_clk_wiz : entity is "clk_wiz_0_clk_wiz";
+end clk_wiz_0_clk_wiz_0_clk_wiz;
+
+architecture STRUCTURE of clk_wiz_0_clk_wiz_0_clk_wiz is
+  signal clk_in1_clk_wiz_0 : STD_LOGIC;
+  signal clk_out1_clk_wiz_0 : STD_LOGIC;
+  signal clkfbout_buf_clk_wiz_0 : STD_LOGIC;
+  signal clkfbout_clk_wiz_0 : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
+  attribute BOX_TYPE : string;
+  attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE";
+  attribute CAPACITANCE : string;
+  attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
+  attribute IBUF_DELAY_VALUE : string;
+  attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
+  attribute IFD_DELAY_VALUE : string;
+  attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
+  attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE";
+begin
+clkf_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clkfbout_clk_wiz_0,
+      O => clkfbout_buf_clk_wiz_0
+    );
+clkin1_ibufg: unisim.vcomponents.IBUF
+    generic map(
+      IOSTANDARD => "DEFAULT"
+    )
+        port map (
+      I => clk_in1,
+      O => clk_in1_clk_wiz_0
+    );
+clkout1_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clk_out1_clk_wiz_0,
+      O => clk_out1
+    );
+mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
+    generic map(
+      BANDWIDTH => "OPTIMIZED",
+      CLKFBOUT_MULT_F => 9.125000,
+      CLKFBOUT_PHASE => 0.000000,
+      CLKFBOUT_USE_FINE_PS => false,
+      CLKIN1_PERIOD => 10.000000,
+      CLKIN2_PERIOD => 0.000000,
+      CLKOUT0_DIVIDE_F => 36.500000,
+      CLKOUT0_DUTY_CYCLE => 0.500000,
+      CLKOUT0_PHASE => 0.000000,
+      CLKOUT0_USE_FINE_PS => false,
+      CLKOUT1_DIVIDE => 1,
+      CLKOUT1_DUTY_CYCLE => 0.500000,
+      CLKOUT1_PHASE => 0.000000,
+      CLKOUT1_USE_FINE_PS => false,
+      CLKOUT2_DIVIDE => 1,
+      CLKOUT2_DUTY_CYCLE => 0.500000,
+      CLKOUT2_PHASE => 0.000000,
+      CLKOUT2_USE_FINE_PS => false,
+      CLKOUT3_DIVIDE => 1,
+      CLKOUT3_DUTY_CYCLE => 0.500000,
+      CLKOUT3_PHASE => 0.000000,
+      CLKOUT3_USE_FINE_PS => false,
+      CLKOUT4_CASCADE => false,
+      CLKOUT4_DIVIDE => 1,
+      CLKOUT4_DUTY_CYCLE => 0.500000,
+      CLKOUT4_PHASE => 0.000000,
+      CLKOUT4_USE_FINE_PS => false,
+      CLKOUT5_DIVIDE => 1,
+      CLKOUT5_DUTY_CYCLE => 0.500000,
+      CLKOUT5_PHASE => 0.000000,
+      CLKOUT5_USE_FINE_PS => false,
+      CLKOUT6_DIVIDE => 1,
+      CLKOUT6_DUTY_CYCLE => 0.500000,
+      CLKOUT6_PHASE => 0.000000,
+      CLKOUT6_USE_FINE_PS => false,
+      COMPENSATION => "ZHOLD",
+      DIVCLK_DIVIDE => 1,
+      IS_CLKINSEL_INVERTED => '0',
+      IS_PSEN_INVERTED => '0',
+      IS_PSINCDEC_INVERTED => '0',
+      IS_PWRDWN_INVERTED => '0',
+      IS_RST_INVERTED => '0',
+      REF_JITTER1 => 0.010000,
+      REF_JITTER2 => 0.010000,
+      SS_EN => "FALSE",
+      SS_MODE => "CENTER_HIGH",
+      SS_MOD_PERIOD => 10000,
+      STARTUP_WAIT => false
+    )
+        port map (
+      CLKFBIN => clkfbout_buf_clk_wiz_0,
+      CLKFBOUT => clkfbout_clk_wiz_0,
+      CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
+      CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
+      CLKIN1 => clk_in1_clk_wiz_0,
+      CLKIN2 => '0',
+      CLKINSEL => '1',
+      CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
+      CLKOUT0 => clk_out1_clk_wiz_0,
+      CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
+      CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
+      CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
+      CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
+      CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
+      CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
+      CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
+      CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
+      CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
+      CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
+      DADDR(6 downto 0) => B"0000000",
+      DCLK => '0',
+      DEN => '0',
+      DI(15 downto 0) => B"0000000000000000",
+      DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
+      DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
+      DWE => '0',
+      LOCKED => locked,
+      PSCLK => '0',
+      PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
+      PSEN => '0',
+      PSINCDEC => '0',
+      PWRDWN => '0',
+      RST => '0'
+    );
+end STRUCTURE;
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity clk_wiz_0 is
+  port (
+    clk_out1 : out STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+  attribute NotValidForBitStream : boolean;
+  attribute NotValidForBitStream of clk_wiz_0 : entity is true;
+end clk_wiz_0;
+
+architecture STRUCTURE of clk_wiz_0 is
+begin
+inst: entity work.clk_wiz_0_clk_wiz_0_clk_wiz
+     port map (
+      clk_in1 => clk_in1,
+      clk_out1 => clk_out1,
+      locked => locked
+    );
+end STRUCTURE;
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
new file mode 100644
index 0000000..2baafeb
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
@@ -0,0 +1,21 @@
+// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+// Date        : Mon Dec 10 14:55:55 2018
+// Host        : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+// Command     : write_verilog -force -mode synth_stub
+//               /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
+// Design      : clk_wiz_0
+// Purpose     : Stub declaration of top-level module interface
+// Device      : xc7z020clg484-1
+// --------------------------------------------------------------------------------
+
+// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
+// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
+// Please paste the declaration into a Verilog source file or add the file as an additional source.
+module clk_wiz_0(clk_out1, locked, clk_in1)
+/* synthesis syn_black_box black_box_pad_pin="clk_out1,locked,clk_in1" */;
+  output clk_out1;
+  output locked;
+  input clk_in1;
+endmodule
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
new file mode 100644
index 0000000..1b2166b
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
@@ -0,0 +1,30 @@
+-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
+-- Date        : Mon Dec 10 14:55:55 2018
+-- Host        : VLSI-01 running 64-bit Ubuntu 16.04.5 LTS
+-- Command     : write_vhdl -force -mode synth_stub
+--               /home/mlipe/dev/fpga_project/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+-- Design      : clk_wiz_0
+-- Purpose     : Stub declaration of top-level module interface
+-- Device      : xc7z020clg484-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+entity clk_wiz_0 is
+  Port ( 
+    clk_out1 : out STD_LOGIC;
+    locked : out STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+
+end clk_wiz_0;
+
+architecture stub of clk_wiz_0 is
+attribute syn_black_box : boolean;
+attribute black_box_pad_pin : string;
+attribute syn_black_box of stub : architecture is true;
+attribute black_box_pad_pin of stub : architecture is "clk_out1,locked,clk_in1";
+begin
+end;
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/doc/clk_wiz_v5_4_changelog.txt b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/doc/clk_wiz_v5_4_changelog.txt
new file mode 100755
index 0000000..c6994bd
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/doc/clk_wiz_v5_4_changelog.txt
@@ -0,0 +1,167 @@
+2017.4:
+ * Version 5.4 (Rev. 3)
+ * Bug Fix: Internal GUI issues are fixed for COMPENSATION mode as INTERNAL
+ * Bug Fix: Fixed issue in dynamic reconfiguration of fractional values of M in MMCME3, MMCME4 CR-991054
+
+2017.3:
+ * Version 5.4 (Rev. 2)
+ * General: Internal GUI changes. No effect on the customer design. Added support for aspartan7 devices
+
+2017.2:
+ * Version 5.4 (Rev. 1)
+ * General: Internal GUI changes. No effect on the customer design.
+
+2017.1:
+ * Version 5.4
+ * Port Change: Minor version upgrade. CLR pins are added to the pin list when selected buffer is BUFGCEDIV for ultrascale and ultrascale plus devices.
+ * Other: Added support for new zynq ultrascale plus devices.
+
+2016.4:
+ * Version 5.3 (Rev. 3)
+ * Bug Fix: Internal GUI issues are fixed.
+
+2016.3:
+ * Version 5.3 (Rev. 2)
+ * Feature Enhancement: Added new option "Auto" under PRIMITIVE selection for ultrascale and above devices. This option allows the Wizard to instantiate appropriate primitive for the user inputs.
+ * Feature Enhancement: Added Matched Routing Option for better timing solutions.
+ * Feature Enhancement: Options 'Buffer' and 'Buffer_with_CE' are added to the buffer selection list.
+ * Other: Source HDL files are concatenated into a single file to speed up synthesis and simulation. No changes required by the user
+ * Other: Added support for Spartan7 devices.
+
+2016.2:
+ * Version 5.3 (Rev. 1)
+ * Internal register bit update, no effect on customer designs.
+
+2016.1:
+ * Version 5.3
+ * Added Clock Monitor Feature as part of clocking wizard
+ * DRP registers can be directly written through AXI without resource utilization
+ * Changes to HDL library management to support Vivado IP simulation library
+
+2015.4.2:
+ * Version 5.2 (Rev. 1)
+ * No changes
+
+2015.4.1:
+ * Version 5.2 (Rev. 1)
+ * No changes
+
+2015.4:
+ * Version 5.2 (Rev. 1)
+ * Internal device family change, no functional changes
+
+2015.3:
+ * Version 5.2
+ * IP revision number added to HDL module, library, and include file names, to support designs with both locked and upgraded IP instances
+ * Port Renaming tab is hidden in the GUI in IP Integrator as this feature is not supported
+ * Phase alignment feature is removed for ultrascale PLL as primitve has limited capabilities of supporting this feature
+ * When clocking wizard is targetted on a board part, the frequency values that gets propagated to primary and secondary clocks are displayed in floating number format
+ * Example design and simulation files are delivered in verilog only
+
+2015.2.1:
+ * Version 5.1 (Rev. 6)
+ * No changes
+
+2015.2:
+ * Version 5.1 (Rev. 6)
+ * No changes
+
+2015.1:
+ * Version 5.1 (Rev. 6)
+ * Updated mmcm_pll_filter_lookup and mmcm_pll_lock_lookup functions in the header file for 7-Series and UltraScale devices
+ * Supported devices and production status are now determined automatically, to simplify support for future devices
+
+2014.4.1:
+ * Version 5.1 (Rev. 5)
+ * No changes
+
+2014.4:
+ * Version 5.1 (Rev. 5)
+ * Internal device family change, no functional changes
+ * updates related to the source selection based on board interface for zed board
+
+2014.3:
+ * Version 5.1 (Rev. 4)
+ * Option added to enable dynamic phase and duty cycle for resource optimization in AXI4-Lite interface
+
+2014.2:
+ * Version 5.1 (Rev. 3)
+ * Updated for AXI4-Lite interface locked status register address and bit mapping to align with the pg065
+
+2014.1:
+ * Version 5.1 (Rev. 2)
+ * Updated to use inverted output CLKOUTB 0-3 of Clocking Primitive based on requested 180 phase w.r.t. previous clock
+ * Internal device family name change, no functional changes
+
+2013.4:
+ * Version 5.1 (Rev. 1)
+ * Added support for Ultrascale devices
+ * Updated Board Flow GUI to select the clock interfaces
+ * Fixed issue with Stub file parameter error for BUFR output driver
+
+2013.3:
+ * Version 5.1
+ * Added AXI4-Lite interface to dynamically reconfigure MMCM/PLL
+ * Improved safe clock logic to remove glitches on clock outputs for odd multiples of input clock frequencies
+ * Fixed precision issues between displayed and actual frequencies
+ * Added tool tips to GUI
+ * Added Jitter and Phase error values to IP properties
+ * Added support for Cadence IES and Synopsys VCS simulators
+ * Reduced warnings in synthesis and simulation
+ * Enhanced support for IP Integrator
+
+2013.2:
+ * Version 5.0 (Rev. 1)
+ * Fixed issue with clock constraints for multiple instances of clocking wizard
+ * Updated Life-Cycle status of devices
+
+2013.1:
+ * Version 5.0
+ * Lower case ports for Verilog
+ * Added Safe Clock Startup and Clock Sequencing
+
+(c) Copyright 2008 - 2017 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh
new file mode 100755
index 0000000..a1326b4
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh
@@ -0,0 +1,665 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Karl Kurbjun and Carl Ribbing
+//    Date:             7/30/2014
+//    Design Name:      MMCME2 DRP
+//    Module Name:      mmcme2_drp_func.h
+//    Version:          1.04
+//    Target Devices:   7 Series || MMCM
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 MMCM.
+//                      
+//	Revision Notes:	3/12 - Updating lookup_low/lookup_high (CR)
+//			4/13 - Fractional divide function in mmcm_frac_count_calc function. CRS610807
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_0111_00,
+         10'b0010_1011_00,
+         10'b0010_1101_00,
+         10'b0010_0011_00,
+         10'b0010_0101_00,
+         10'b0010_0101_00,
+         10'b0010_1001_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0100_1111_00,
+         10'b0101_1011_00,
+         10'b0111_0111_00,
+         10'b1101_0111_00,
+         10'b1110_1011_00,
+         10'b1110_1101_00,
+         10'b1111_0011_00,
+         10'b1110_0101_00,
+         10'b1111_0101_00,
+         10'b1111_1001_00,
+         10'b1101_0001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0111_0001_00,
+         10'b0111_0001_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0110_0001_00,
+         10'b0110_0001_00,
+         10'b0101_0110_00,
+         10'b0101_0110_00,
+         10'b0101_0110_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0100_1010_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh
new file mode 100755
index 0000000..7652088
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh
@@ -0,0 +1,527 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Karl Kurbjun and Carl Ribbing
+//    Date:             7/30/2014
+//    Design Name:      PLLE2 DRP
+//    Module Name:      plle2_drp_func.h
+//    Version:          2.00
+//    Target Devices:   7 Series || PLL
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      Updated for CR663854.
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+`ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+`endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+`ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+`endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+`ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+`endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+`ifdef DEBUG
+      $display("temp: %h", temp);
+`endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_0111_00,
+         10'b0010_1101_00,
+         10'b0010_0101_00,
+         10'b0010_0101_00,
+         10'b0010_1001_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0011_0111_00,
+         10'b0011_0111_00,
+         10'b0101_1111_00,
+         10'b0111_1111_00,
+         10'b0111_1011_00,
+         10'b1101_0111_00,
+         10'b1110_1011_00,
+         10'b1110_1101_00,
+         10'b1111_1101_00,
+         10'b1111_0111_00,
+         10'b1111_1011_00,
+         10'b1111_1101_00,
+         10'b1111_0011_00,
+         10'b1110_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b0100_0010_00,
+         10'b0100_0010_00,
+         10'b0100_0010_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0011_0100_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+`endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+`ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+`endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh
new file mode 100755
index 0000000..f1314b0
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh
@@ -0,0 +1,668 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa
+//    Date:             7/30/2014
+//    Design Name:      MMCME2 DRP
+//    Module Name:      mmcme2_drp_func.h
+//    Version:          1.04
+//    Target Devices:   UltraScale Architecture || MMCM 
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 MMCM.
+//                      
+//	Revision Notes:	3/22 - Updating lookup_low/lookup_high (CR)
+//				4/13 - Fractional divide function in mmcm_frac_count_calc function. CRS610807
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_0111_11,
+         10'b0010_0111_11,
+         10'b0010_0111_11,
+         10'b0010_1101_11,
+         10'b0010_1101_11,
+         10'b0010_1101_11,
+         10'b0010_0011_11,
+         10'b0010_0101_11,
+         10'b0010_0101_11,
+         10'b0010_0101_11,
+         10'b0010_1001_11,
+         10'b0010_1001_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1011_11,
+         10'b0011_1111_11,
+         10'b0100_1111_11,
+         10'b0100_1111_11,
+         10'b0101_1111_11,
+         10'b0110_1111_11,
+         10'b0111_1111_11,
+         10'b0111_1111_11,
+         10'b1100_1111_11,
+         10'b1101_1111_11,
+         10'b0001_1111_11,
+         10'b1111_1111_11,
+         10'b1111_1111_11,
+         10'b1110_0111_11,
+         10'b1110_1011_11,
+         10'b1111_0111_11,
+         10'b1111_1011_11,
+         10'b1111_1011_11,
+         10'b1110_1101_11,
+         10'b1111_1101_11,
+         10'b1111_1101_11,
+         10'b1111_0011_11,
+         10'b1111_0011_11,
+         10'b1111_0011_11,
+         10'b1110_0101_11,
+         10'b1110_0101_11,
+         10'b1110_0101_11,
+         10'b1111_0101_11,
+         10'b1111_0101_11,
+         10'b1111_0101_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+			  reg  [15:0]     drp_reg1;
+			  reg  [15:0]     drp_reg2;
+			  reg  [5:0]     drp_regshared;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
     
+      drp_regshared[5:0] = { 2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac};	
+      drp_reg2[15:0] = { 1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, 4'h0, dt[5:0] };	
+      drp_reg1[15:0] = { pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0] };	
+      mmcm_frac_count_calc[37:0] =   {drp_regshared, drp_reg2, drp_reg1} ;
+
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh
new file mode 100755
index 0000000..d12a6f7
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh
@@ -0,0 +1,524 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa
+//    Date:             6/15/2015
+//    Design Name:      PLLE3 DRP
+//    Module Name:      plle3_drp_func.h
+//    Version:          1.10
+//    Target Devices:   UltraScale Architecture
+//    Tool versions:    2015.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      
+//	Revision Notes:	8/11 - PLLE3 updated for PLLE3 file 4564419
+//	Revision Notes:	6/15 - pll_filter_lookup fixed for max M of 19
+//                         PM_Rise bits have been removed for PLLE3
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [759:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001, //1  
+         40'b00110_00110_1111101000_1111101001_0000000001, //2
+         40'b01000_01000_1111101000_1111101001_0000000001, //3
+         40'b01011_01011_1111101000_1111101001_0000000001, //4
+         40'b01110_01110_1111101000_1111101001_0000000001, //5
+         40'b10001_10001_1111101000_1111101001_0000000001, //6
+         40'b10011_10011_1111101000_1111101001_0000000001, //7
+         40'b10110_10110_1111101000_1111101001_0000000001, //8
+         40'b11001_11001_1111101000_1111101001_0000000001, //9
+         40'b11100_11100_1111101000_1111101001_0000000001, //10
+         40'b11111_11111_1110000100_1111101001_0000000001, //11
+         40'b11111_11111_1100111001_1111101001_0000000001, //12
+         40'b11111_11111_1011101110_1111101001_0000000001, //13
+         40'b11111_11111_1010111100_1111101001_0000000001, //14
+         40'b11111_11111_1010001010_1111101001_0000000001, //15
+         40'b11111_11111_1001110001_1111101001_0000000001, //16
+         40'b11111_11111_1000111111_1111101001_0000000001, //17
+         40'b11111_11111_1000100110_1111101001_0000000001, //18
+         40'b11111_11111_1000001101_1111101001_0000000001 //19
+         
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((19-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary. Removing bandwidth setting for PLLE3.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide // Max divide is 19
+   );
+   
+   reg [639:0] lookup;
+   reg [9:0] lookup_entry;
+   
+   begin
+
+      lookup = {
+         // CP_RES_LFHF
+         10'b0010_1111_01, //1
+         10'b0010_0011_11, //2
+         10'b0011_0011_11, //3
+         10'b0010_0001_11, //4
+         10'b0010_0110_11, //5
+         10'b0010_1010_11, //6
+         10'b0010_1010_11, //7
+         10'b0011_0110_11, //8
+         10'b0010_1100_11, //9
+         10'b0010_1100_11, //10
+         10'b0010_1100_11, //11
+         10'b0010_0010_11, //12
+         10'b0011_1100_11, //13
+         10'b0011_1100_11, //14
+         10'b0011_1100_11, //15
+         10'b0011_1100_11, //16
+         10'b0011_0010_11, //17
+         10'b0011_0010_11, //18
+         10'b0011_0010_11 //19
+      };
+      
+         mmcm_pll_filter_lookup = lookup [ ((19-divide)*10) +: 10];
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function set the CLKOUTPHY divide settings to match
+// the desired CLKOUTPHY_MODE setting. To create VCO_X2, then
+// the CLKOUTPHY will be set to 2'b00 since the VCO is internally
+// doubled and 2'b00 will represent divide by 1. Similarly "VCO" // will need to divide the doubled clock VCO clock frequency by // 2 therefore 2'b01 will match a divide by 2.And VCO_HALF will // need to divide the doubled VCO by 4, therefore 2'b10
+function [9:0] mmcm_pll_clkoutphy_calc
+   (
+      input [8*9:0] CLKOUTPHY_MODE
+   );
+
+      if(CLKOUTPHY_MODE == "VCO_X2") begin
+         mmcm_pll_clkoutphy_calc= 2'b00;
+      end else if(CLKOUTPHY_MODE == "VCO") begin
+         mmcm_pll_clkoutphy_calc= 2'b01;
+      end else if(CLKOUTPHY_MODE == "CLKIN") begin
+         mmcm_pll_clkoutphy_calc= 2'b11;
+      end else begin // Assume "VCO_HALF"
+         mmcm_pll_clkoutphy_calc= 2'b10;
+      end
+      
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], 3'b000);//Removed PM_Rise bits
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_pll_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_pll_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = (odd_and_frac >=2) && (odd_and_frac <=9);//IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_pll_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			3'b000, 1'b0, ht_frac[5:0], lt_frac[5:0] //Removed PM_Rise bits
+//			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, 3'b000, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
+
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh
new file mode 100755
index 0000000..c4978df
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh
@@ -0,0 +1,855 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa. Updated by Ralf Krueger
+//    Date:             7/30/2014
+//    Design Name:      MMCME4 DRP
+//    Module Name:      mmcme4_drp_func.h
+//    Version:          1.31
+//    Target Devices:   UltraScale Plus Architecture
+//    Tool versions:    2017.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for UltraScal+ MMCM.
+//                      
+//	Revision Notes:	3/22 - Updating lookup_low/lookup_high (CR)
+//				4/13 - Fractional divide function in mmcm_frac_count_calc function
+//              2/28/17 - Updated for Ultrascale Plus
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2017 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages during elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+// point numbers.  These should not be modified, they are for development only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+// greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+// fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      // of 1 would modify the fractional so that instead of being a .16
+      // fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+// of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//       is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      // assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_phase-divide:%d,phase:%d", divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [7:0] divide // Max M divide is 128 in UltrascalePlus
+   );
+   
+   reg [5119:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,      // M=1 (not allowed)
+         40'b00110_00110_1111101000_1111101001_0000000001,      // M=2
+         40'b01000_01000_1111101000_1111101001_0000000001,      // M=3
+         40'b01011_01011_1111101000_1111101001_0000000001,      // M=4
+         40'b01110_01110_1111101000_1111101001_0000000001,      // M=5
+         40'b10001_10001_1111101000_1111101001_0000000001,      // M=6
+         40'b10011_10011_1111101000_1111101001_0000000001,      // M=7
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,                                                                    
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,                                                                    
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,      // M=127
+         40'b11111_11111_0011111010_1111101001_0000000001       // M=128
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((128-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [7:0] divide, //  input [7:0] divide // Max M divide is 128 in UltraScalePlus
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [1279:0] lookup_low;
+   reg [1279:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+       	10'b0011_1111_11,    // M=1 - not legal
+       	10'b0011_1111_11,    // M=2
+       	10'b0011_1101_11,    // M=3
+       	10'b0011_0101_11,    // M=4
+       	10'b0011_1001_11,    // M=5
+       	10'b0011_1110_11,    // M=6
+       	10'b0011_1110_11,    // M=7
+       	10'b0011_0001_11,
+       	10'b0011_0110_11,
+       	10'b0011_0110_11,
+       	10'b0011_0110_11,
+       	10'b0011_1010_11,
+       	10'b0011_1010_11,
+       	10'b0011_1010_11,
+       	10'b0100_0110_11,
+       	10'b0011_1100_11,
+       	10'b1110_0110_11,
+       	10'b1111_0110_11,
+       	10'b1110_1010_11,
+       	10'b1110_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1101_1100_11,
+       	10'b1101_1100_11,
+       	10'b1101_1100_11,
+       	10'b1110_1100_11,
+       	10'b1110_1100_11,
+       	10'b1110_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11, // M=127
+       	10'b1101_1000_11  // M=128
+};										
+      
+      lookup_high = {
+         // CP_RES_LFHF
+       10'b0111_1111_11,    // M=1 - not legal
+       10'b0111_1111_11,    // M=2
+       10'b1110_1111_11,    // M=3
+       10'b1111_1111_11,    // M=4
+       10'b1111_1011_11,    // M=5
+       10'b1111_1101_11,    // M=6
+       10'b1111_0011_11,    // M=7
+       10'b1110_0101_11,
+       10'b1111_1001_11,
+       10'b1111_1001_11,
+       10'b1110_1110_11,
+       10'b1111_1110_11,
+       10'b1111_0001_11,
+       10'b1111_0001_11,
+       10'b1111_0001_11,
+       10'b1110_0110_11,
+       10'b1110_0110_11,
+       10'b1111_0110_11,
+       10'b1110_1010_11,
+       10'b1110_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1101_1100_11,
+       10'b1101_1100_11,
+       10'b1101_1100_11,
+       10'b1110_1100_11,
+       10'b1110_1100_11,
+       10'b1110_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11     // M=128
+};
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((128-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((128-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 100,000. Not programmable in fractional
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || (clkout0_divide_int == 2 && clkout0_divide_frac == 1);   //IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);    //IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], 2'b00, dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh
new file mode 100755
index 0000000..9bfa6c8
--- /dev/null
+++ b/game_of_life_v2/game_of_life_v2.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh
@@ -0,0 +1,530 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Ralf Krueger, updated for Ultrascale+ 
+//    Date:             6/15/2015
+//    Design Name:      PLLE4 DRP
+//    Module Name:      plle4_drp_func.h
+//    Version:          2.0
+//    Target Devices:   UltraScale+ Architecture
+//    Tool versions:    2017.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      
+//	Revision Notes:	8/11 - PLLE3 updated for PLLE3 file 4564419
+//	Revision Notes:	6/15 - pll_filter_lookup fixed for max M of 19
+//                           M_Rise bits have been removed for PLLE3
+//	Revision Notes:	2/28/17 - pll_filter_lookup and CPRES updated for 
+//                           Ultrascale+ and for max M of 21
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2017 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 21
+   );
+   
+   reg [839:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001, //1 illegal in Ultrascale+
+         40'b00110_00110_1111101000_1111101001_0000000001, //2
+         40'b01000_01000_1111101000_1111101001_0000000001, //3
+         40'b01011_01011_1111101000_1111101001_0000000001, //4
+         40'b01110_01110_1111101000_1111101001_0000000001, //5
+         40'b10001_10001_1111101000_1111101001_0000000001, //6
+         40'b10011_10011_1111101000_1111101001_0000000001, //7
+         40'b10110_10110_1111101000_1111101001_0000000001, //8
+         40'b11001_11001_1111101000_1111101001_0000000001, //9
+         40'b11100_11100_1111101000_1111101001_0000000001, //10
+         40'b11111_11111_1110000100_1111101001_0000000001, //11
+         40'b11111_11111_1100111001_1111101001_0000000001, //12
+         40'b11111_11111_1011101110_1111101001_0000000001, //13
+         40'b11111_11111_1010111100_1111101001_0000000001, //14
+         40'b11111_11111_1010001010_1111101001_0000000001, //15
+         40'b11111_11111_1001110001_1111101001_0000000001, //16
+         40'b11111_11111_1000111111_1111101001_0000000001, //17
+         40'b11111_11111_1000100110_1111101001_0000000001, //18
+         40'b11111_11111_1000001101_1111101001_0000000001, //19
+         40'b11111_11111_0111110100_1111101001_0000000001, //20
+         40'b11111_11111_0111011011_1111101001_0000000001  //21
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((21-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary. Removing bandwidth setting for PLLE3.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide // Max divide is 21
+   );
+   
+   reg [209:0] lookup;
+   reg [9:0] lookup_entry;
+   
+   begin
+
+      lookup = {
+         // CP_RES_LFHF
+         10'b0011_0111_11, //1  not legal in Ultrascale+
+         10'b0011_0111_11, //2
+         10'b0011_0011_11, //3
+         10'b0011_1001_11, //4
+         10'b0011_0001_11, //5
+         10'b0100_1110_11, //6
+         10'b0011_0110_11, //7
+         10'b0011_1010_11, //8
+         10'b0111_1001_11, //9
+         10'b0111_1001_11, //10
+         10'b0101_0110_11, //11
+         10'b1100_0101_11, //12
+         10'b0101_1010_11, //13
+         10'b0110_0110_11, //14
+         10'b0110_1010_11, //15
+         10'b0111_0110_11, //16
+         10'b1111_0101_11, //17
+         10'b1100_0110_11, //18
+         10'b1110_0001_11, //19
+         10'b1101_0110_11, //20
+         10'b1111_0001_11  //21
+      };
+      
+         mmcm_pll_filter_lookup = lookup [ ((21-divide)*10) +: 10];
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function set the CLKOUTPHY divide settings to match
+// the desired CLKOUTPHY_MODE setting. To create VCO_X2, then
+// the CLKOUTPHY will be set to 2'b00 since the VCO is internally
+// doubled and 2'b00 will represent divide by 1. Similarly "VCO" 
+// will need to divide the doubled clock VCO clock frequency by 
+// 2 therefore 2'b01 will match a divide by 2.And VCO_HALF will 
+// need to divide the doubled VCO by 4, therefore 2'b10
+function [9:0] mmcm_pll_clkoutphy_calc
+   (
+      input [8*9:0] CLKOUTPHY_MODE
+   );
+
+      if(CLKOUTPHY_MODE == "VCO_X2") begin
+         mmcm_pll_clkoutphy_calc= 2'b00;
+      end else if(CLKOUTPHY_MODE == "VCO") begin
+         mmcm_pll_clkoutphy_calc= 2'b01;
+      end else if(CLKOUTPHY_MODE == "CLKIN") begin
+         mmcm_pll_clkoutphy_calc= 2'b11;
+      end else begin // Assume "VCO_HALF"
+         mmcm_pll_clkoutphy_calc= 2'b10;
+      end
+      
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], 3'b000); //Removed PM_Rise bits
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_pll_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("pll_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = (odd_and_frac >=2) && (odd_and_frac <=9);//IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_pll_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			3'b000, 1'b0, ht_frac[5:0], lt_frac[5:0] //Removed PM_Rise bits
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, 3'b000, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
-- 
GitLab